ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ '/Users/wing-sum/Desktop/ME218B Team 6 2022 v35.step', /* time_stamp */ '2022-03-06T16:13:04-08:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v18.1', /* originating_system */ 'Autodesk Translation Framework v10.13.0.1454', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#151,#152, #153,#154,#155,#156,#157,#158,#159,#160,#161,#162,#163,#164,#165,#166,#167, #168,#169,#170,#171,#172,#173,#174,#175,#176,#177,#178,#179,#180,#181,#182, #183,#184,#185,#186,#187,#188,#189,#190,#191,#192,#193,#194,#195,#196,#197, #198,#199,#200),#58110); #11=B_SPLINE_CURVE_WITH_KNOTS('',3,(#55072,#55073,#55074,#55075,#55076, #55077,#55078,#55079,#55080,#55081,#55082,#55083,#55084,#55085,#55086,#55087), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(0.377661336702773,0.402031152507078, 0.459328460468068,0.530002196604538,0.639750360152184,0.692238635500219, 0.731163892105073,0.764323981322065),.UNSPECIFIED.); #12=B_SPLINE_CURVE_WITH_KNOTS('',3,(#55091,#55092,#55093,#55094,#55095, #55096,#55097,#55098,#55099,#55100,#55101,#55102,#55103,#55104,#55105,#55106, #55107,#55108),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(1.85600376899301, 1.86054940292497,1.89032188579759,1.91703579436071,1.95544100839264,2.03402473095478, 2.09538811770528,2.14393884745188,2.15491274197784),.UNSPECIFIED.); #13=B_SPLINE_CURVE_WITH_KNOTS('',3,(#55112,#55113,#55114,#55115,#55116, #55117),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.545196816919161,0.798257510539931, 0.79990905792936),.UNSPECIFIED.); #14=B_SPLINE_CURVE_WITH_KNOTS('',3,(#55119,#55120,#55121,#55122,#55123, #55124),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00179126982508963,0.,0.274469844255414), .UNSPECIFIED.); #15=TOROIDAL_SURFACE('',#35751,0.53125,0.3125); #16=TOROIDAL_SURFACE('',#37398,0.85,0.1); #17=TOROIDAL_SURFACE('',#37409,0.776502269618714,0.1); #18=TOROIDAL_SURFACE('',#37413,0.894427223113058,0.1); #19=TOROIDAL_SURFACE('',#37421,0.717952447782774,0.1); #20=TOROIDAL_SURFACE('',#37426,0.844097150806706,0.1); #21=TOROIDAL_SURFACE('',#37439,0.844097150806707,0.1); #22=TOROIDAL_SURFACE('',#37449,0.894427223113059,0.1); #23=TOROIDAL_SURFACE('',#37460,0.776502269618714,0.1); #24=TOROIDAL_SURFACE('',#37467,0.717952447782774,0.1); #25=TOROIDAL_SURFACE('',#37470,0.844097150806706,0.1); #26=TOROIDAL_SURFACE('',#37475,0.894427223113058,0.1); #27=TOROIDAL_SURFACE('',#37489,0.894427223113059,0.1); #28=TOROIDAL_SURFACE('',#37498,0.844097150806707,0.1); #29=SPHERICAL_SURFACE('',#35748,0.500000015957149); #30=SPHERICAL_SURFACE('',#35784,0.500000015957149); #31=SPHERICAL_SURFACE('',#37405,0.1); #32=SPHERICAL_SURFACE('',#37417,0.1); #33=SPHERICAL_SURFACE('',#37433,0.1); #34=SPHERICAL_SURFACE('',#37435,0.1); #35=SPHERICAL_SURFACE('',#37437,0.75); #36=SPHERICAL_SURFACE('',#37446,1.0000000319143); #37=SPHERICAL_SURFACE('',#37456,0.1); #38=SPHERICAL_SURFACE('',#37463,0.0999999999999997); #39=SPHERICAL_SURFACE('',#37482,0.1); #40=SPHERICAL_SURFACE('',#37484,0.1); #41=SPHERICAL_SURFACE('',#37486,1.0000000319143); #42=SPHERICAL_SURFACE('',#37495,0.75); #43=ITEM_DEFINED_TRANSFORMATION($,$,#35410,#35743); #44=ITEM_DEFINED_TRANSFORMATION($,$,#35556,#35744); #45=ITEM_DEFINED_TRANSFORMATION($,$,#35597,#35745); #46=ITEM_DEFINED_TRANSFORMATION($,$,#35747,#35786); #47=ITEM_DEFINED_TRANSFORMATION($,$,#35783,#35787); #48=ITEM_DEFINED_TRANSFORMATION($,$,#35789,#36190); #49=ITEM_DEFINED_TRANSFORMATION($,$,#35409,#36945); #50=ITEM_DEFINED_TRANSFORMATION($,$,#35746,#36946); #51=ITEM_DEFINED_TRANSFORMATION($,$,#35788,#36947); #52=ITEM_DEFINED_TRANSFORMATION($,$,#36191,#36948); #53=ITEM_DEFINED_TRANSFORMATION($,$,#36312,#36949); #54=ITEM_DEFINED_TRANSFORMATION($,$,#37397,#38300); #55=ITEM_DEFINED_TRANSFORMATION($,$,#37557,#38301); #56=ITEM_DEFINED_TRANSFORMATION($,$,#37860,#38302); #57=ITEM_DEFINED_TRANSFORMATION($,$,#37861,#38303); #58=ITEM_DEFINED_TRANSFORMATION($,$,#36951,#38304); #59=ITEM_DEFINED_TRANSFORMATION($,$,#37396,#38305); #60=ITEM_DEFINED_TRANSFORMATION($,$,#35408,#38307); #61=ITEM_DEFINED_TRANSFORMATION($,$,#36950,#38308); #62=ITEM_DEFINED_TRANSFORMATION($,$,#38306,#38309); #63=( REPRESENTATION_RELATIONSHIP($,$,#58183,#58182) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#43) SHAPE_REPRESENTATION_RELATIONSHIP() ); #64=( REPRESENTATION_RELATIONSHIP($,$,#58184,#58182) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#44) SHAPE_REPRESENTATION_RELATIONSHIP() ); #65=( REPRESENTATION_RELATIONSHIP($,$,#58185,#58182) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#45) SHAPE_REPRESENTATION_RELATIONSHIP() ); #66=( REPRESENTATION_RELATIONSHIP($,$,#58187,#58186) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#46) SHAPE_REPRESENTATION_RELATIONSHIP() ); #67=( REPRESENTATION_RELATIONSHIP($,$,#58188,#58186) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#47) SHAPE_REPRESENTATION_RELATIONSHIP() ); #68=( REPRESENTATION_RELATIONSHIP($,$,#58190,#58189) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#48) SHAPE_REPRESENTATION_RELATIONSHIP() ); #69=( REPRESENTATION_RELATIONSHIP($,$,#58182,#58181) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#49) SHAPE_REPRESENTATION_RELATIONSHIP() ); #70=( REPRESENTATION_RELATIONSHIP($,$,#58186,#58181) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#50) SHAPE_REPRESENTATION_RELATIONSHIP() ); #71=( REPRESENTATION_RELATIONSHIP($,$,#58189,#58181) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#51) SHAPE_REPRESENTATION_RELATIONSHIP() ); #72=( REPRESENTATION_RELATIONSHIP($,$,#58191,#58181) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#52) SHAPE_REPRESENTATION_RELATIONSHIP() ); #73=( REPRESENTATION_RELATIONSHIP($,$,#58192,#58181) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#53) SHAPE_REPRESENTATION_RELATIONSHIP() ); #74=( REPRESENTATION_RELATIONSHIP($,$,#58196,#58195) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#54) SHAPE_REPRESENTATION_RELATIONSHIP() ); #75=( REPRESENTATION_RELATIONSHIP($,$,#58197,#58195) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#55) SHAPE_REPRESENTATION_RELATIONSHIP() ); #76=( REPRESENTATION_RELATIONSHIP($,$,#58198,#58195) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#56) SHAPE_REPRESENTATION_RELATIONSHIP() ); #77=( REPRESENTATION_RELATIONSHIP($,$,#58199,#58195) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#57) SHAPE_REPRESENTATION_RELATIONSHIP() ); #78=( REPRESENTATION_RELATIONSHIP($,$,#58194,#58193) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#58) SHAPE_REPRESENTATION_RELATIONSHIP() ); #79=( REPRESENTATION_RELATIONSHIP($,$,#58195,#58193) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#59) SHAPE_REPRESENTATION_RELATIONSHIP() ); #80=( REPRESENTATION_RELATIONSHIP($,$,#58181,#58180) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#60) SHAPE_REPRESENTATION_RELATIONSHIP() ); #81=( REPRESENTATION_RELATIONSHIP($,$,#58193,#58180) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#61) SHAPE_REPRESENTATION_RELATIONSHIP() ); #82=( REPRESENTATION_RELATIONSHIP($,$,#58200,#58180) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#62) SHAPE_REPRESENTATION_RELATIONSHIP() ); #83=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#63,#58145); #84=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#64,#58146); #85=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#65,#58147); #86=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#66,#58151); #87=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#67,#58152); #88=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#68,#58155); #89=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#69,#58158); #90=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#70,#58159); #91=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#71,#58160); #92=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#72,#58161); #93=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#73,#58162); #94=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#74,#58170); #95=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#75,#58171); #96=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#76,#58172); #97=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#77,#58173); #98=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#78,#58174); #99=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#79,#58175); #100=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#80,#58177); #101=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#81,#58178); #102=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#82,#58179); #103=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Left Wheel:1','Left Wheel:1', 'Left Wheel:1',#58204,#58205,'Left Wheel:1'); #104=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Supports:1','Supports:1', 'Supports:1',#58204,#58206,'Supports:1'); #105=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Right Wheel:1','Right Wheel:1', 'Right Wheel:1',#58204,#58207,'Right Wheel:1'); #106=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Case:1','Case:1','Case:1',#58208,#58209, 'Case:1'); #107=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Ball:1','Ball:1','Ball:1',#58208,#58210, 'Ball:1'); #108=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Wheel Mounts:1','Wheel Mounts:1', 'Wheel Mounts:1',#58211,#58212,'Wheel Mounts:1'); #109=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Drivetrain:1','Drivetrain:1', 'Drivetrain:1',#58203,#58204,'Drivetrain:1'); #110=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Caster:1','Caster:1','Caster:1',#58203, #58208,'Caster:1'); #111=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Base:1','Base:1','Base:1',#58203,#58211, 'Base:1'); #112=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Bottom Stands:1','Bottom Stands:1', 'Bottom Stands:1',#58203,#58213,'Bottom Stands:1'); #113=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Circuit Platforms:1', 'Circuit Platforms:1','Circuit Platforms:1',#58203,#58214, 'Circuit Platforms:1'); #114=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Ball Holder:1','Ball Holder:1', 'Ball Holder:1',#58217,#58218,'Ball Holder:1'); #115=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Rack:1','Rack:1','Rack:1',#58217,#58219, 'Rack:1'); #116=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Pinion:1','Pinion:1','Pinion:1',#58217, #58220,'Pinion:1'); #117=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Middle Stands:1','Middle Stands:1', 'Middle Stands:1',#58217,#58221,'Middle Stands:1'); #118=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Mid Platform:1','Mid Platform:1', 'Mid Platform:1',#58215,#58216,'Mid Platform:1'); #119=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Launcher:1','Launcher:1', 'Launcher:1',#58215,#58217,'Launcher:1'); #120=NEXT_ASSEMBLY_USAGE_OCCURRENCE('First Level:1','First Level:1', 'First Level:1',#58202,#58203,'First Level:1'); #121=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Second Level:1','Second Level:1', 'Second Level:1',#58202,#58215,'Second Level:1'); #122=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Third Level:1','Third Level:1', 'Third Level:1',#58202,#58222,'Third Level:1'); #123=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58183,#137); #124=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58184,#138); #125=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58185,#139); #126=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58187,#140); #127=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58188,#141); #128=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58190,#142); #129=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58189,#143); #130=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58191,#144); #131=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58192,#145); #132=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58194,#146); #133=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58196,#147); #134=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58197,#148); #135=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58199,#149); #136=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#58195,#150); #137=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#201,#202,#203,#204,#205,#206), #58092); #138=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#207),#58093); #139=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#208,#209,#210,#211,#212,#213), #58094); #140=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#214),#58096); #141=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#215),#58097); #142=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#216,#217,#218,#219,#220,#221, #222,#223),#58099); #143=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#224,#225),#58098); #144=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#226,#227,#228,#229,#230,#231), #58100); #145=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#232,#233),#58101); #146=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#234),#58103); #147=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#235),#58105); #148=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#236),#58106); #149=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#237,#238,#239,#240,#241),#58108); #150=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#242,#243,#244,#245,#246,#247, #248,#249,#250),#58104); #151=STYLED_ITEM('',(#58289),#201); #152=STYLED_ITEM('',(#58289),#202); #153=STYLED_ITEM('',(#58290),#203); #154=STYLED_ITEM('',(#58291),#204); #155=STYLED_ITEM('',(#58291),#205); #156=STYLED_ITEM('',(#58291),#206); #157=STYLED_ITEM('',(#58291),#207); #158=STYLED_ITEM('',(#58289),#208); #159=STYLED_ITEM('',(#58289),#209); #160=STYLED_ITEM('',(#58290),#210); #161=STYLED_ITEM('',(#58291),#211); #162=STYLED_ITEM('',(#58291),#212); #163=STYLED_ITEM('',(#58291),#213); #164=STYLED_ITEM('',(#58289),#214); #165=STYLED_ITEM('',(#58289),#215); #166=STYLED_ITEM('',(#58292),#216); #167=STYLED_ITEM('',(#58292),#217); #168=STYLED_ITEM('',(#58292),#218); #169=STYLED_ITEM('',(#58292),#219); #170=STYLED_ITEM('',(#58292),#220); #171=STYLED_ITEM('',(#58292),#221); #172=STYLED_ITEM('',(#58292),#222); #173=STYLED_ITEM('',(#58292),#223); #174=STYLED_ITEM('',(#58292),#224); #175=STYLED_ITEM('',(#58293),#225); #176=STYLED_ITEM('',(#58291),#226); #177=STYLED_ITEM('',(#58291),#227); #178=STYLED_ITEM('',(#58291),#228); #179=STYLED_ITEM('',(#58291),#229); #180=STYLED_ITEM('',(#58291),#230); #181=STYLED_ITEM('',(#58291),#231); #182=STYLED_ITEM('',(#58289),#232); #183=STYLED_ITEM('',(#58289),#233); #184=STYLED_ITEM('',(#58292),#234); #185=STYLED_ITEM('',(#58294),#235); #186=STYLED_ITEM('',(#58294),#236); #187=STYLED_ITEM('',(#58289),#237); #188=STYLED_ITEM('',(#58289),#238); #189=STYLED_ITEM('',(#58289),#239); #190=STYLED_ITEM('',(#58289),#240); #191=STYLED_ITEM('',(#58289),#241); #192=STYLED_ITEM('',(#58291),#242); #193=STYLED_ITEM('',(#58291),#243); #194=STYLED_ITEM('',(#58289),#244); #195=STYLED_ITEM('',(#58289),#245); #196=STYLED_ITEM('',(#58292),#246); #197=STYLED_ITEM('',(#58289),#247); #198=STYLED_ITEM('',(#58289),#248); #199=STYLED_ITEM('',(#58289),#249); #200=STYLED_ITEM('',(#58289),#250); #201=MANIFOLD_SOLID_BREP('Body1',#35202); #202=MANIFOLD_SOLID_BREP('Body2',#35203); #203=MANIFOLD_SOLID_BREP('Body3',#35204); #204=MANIFOLD_SOLID_BREP('Body4',#35205); #205=MANIFOLD_SOLID_BREP('Body5',#35206); #206=MANIFOLD_SOLID_BREP('Body6',#35207); #207=MANIFOLD_SOLID_BREP('Body1',#35208); #208=MANIFOLD_SOLID_BREP('Body1',#35209); #209=MANIFOLD_SOLID_BREP('Body2',#35210); #210=MANIFOLD_SOLID_BREP('Body3',#35211); #211=MANIFOLD_SOLID_BREP('Body4',#35212); #212=MANIFOLD_SOLID_BREP('Body5',#35213); #213=MANIFOLD_SOLID_BREP('Body6',#35214); #214=MANIFOLD_SOLID_BREP('Body1',#35215); #215=MANIFOLD_SOLID_BREP('Body2',#35216); #216=MANIFOLD_SOLID_BREP('Body1',#35217); #217=MANIFOLD_SOLID_BREP('Body2',#35218); #218=MANIFOLD_SOLID_BREP('Body3',#35219); #219=MANIFOLD_SOLID_BREP('Body4',#35220); #220=MANIFOLD_SOLID_BREP('Body5',#35221); #221=MANIFOLD_SOLID_BREP('Body6',#35222); #222=MANIFOLD_SOLID_BREP('Body7',#35223); #223=MANIFOLD_SOLID_BREP('Body8',#35224); #224=MANIFOLD_SOLID_BREP('FullBase',#35225); #225=MANIFOLD_SOLID_BREP('BearingShim',#35226); #226=MANIFOLD_SOLID_BREP('Body1',#35227); #227=MANIFOLD_SOLID_BREP('Body2',#35228); #228=MANIFOLD_SOLID_BREP('Body3',#35229); #229=MANIFOLD_SOLID_BREP('Body4',#35230); #230=MANIFOLD_SOLID_BREP('Body5',#35231); #231=MANIFOLD_SOLID_BREP('Body6',#35232); #232=MANIFOLD_SOLID_BREP('Body1',#35233); #233=MANIFOLD_SOLID_BREP('Body2',#35234); #234=MANIFOLD_SOLID_BREP('Body1',#35235); #235=MANIFOLD_SOLID_BREP('Body1 (1)',#35236); #236=MANIFOLD_SOLID_BREP('Body1 (2)',#35237); #237=MANIFOLD_SOLID_BREP('Body1',#35238); #238=MANIFOLD_SOLID_BREP('Body2',#35239); #239=MANIFOLD_SOLID_BREP('Body3',#35240); #240=MANIFOLD_SOLID_BREP('Body4',#35241); #241=MANIFOLD_SOLID_BREP('Body5',#35242); #242=MANIFOLD_SOLID_BREP('Body1',#35243); #243=MANIFOLD_SOLID_BREP('Body2',#35244); #244=MANIFOLD_SOLID_BREP('Body6',#35245); #245=MANIFOLD_SOLID_BREP('Body3',#35246); #246=MANIFOLD_SOLID_BREP('Body7',#35247); #247=MANIFOLD_SOLID_BREP('Body8',#35248); #248=MANIFOLD_SOLID_BREP('Body9',#35249); #249=MANIFOLD_SOLID_BREP('Body10',#35250); #250=MANIFOLD_SOLID_BREP('Body11',#35251); #251=FACE_BOUND('',#3716,.T.); #252=FACE_BOUND('',#3718,.T.); #253=FACE_BOUND('',#3729,.T.); #254=FACE_BOUND('',#3731,.T.); #255=FACE_BOUND('',#3733,.T.); #256=FACE_BOUND('',#3737,.T.); #257=FACE_BOUND('',#3774,.T.); #258=FACE_BOUND('',#3776,.T.); #259=FACE_BOUND('',#3881,.T.); #260=FACE_BOUND('',#3883,.T.); #261=FACE_BOUND('',#3894,.T.); #262=FACE_BOUND('',#3896,.T.); #263=FACE_BOUND('',#3898,.T.); #264=FACE_BOUND('',#3902,.T.); #265=FACE_BOUND('',#3939,.T.); #266=FACE_BOUND('',#3941,.T.); #267=FACE_BOUND('',#4004,.T.); #268=FACE_BOUND('',#4016,.T.); #269=FACE_BOUND('',#4017,.T.); #270=FACE_BOUND('',#4019,.T.); #271=FACE_BOUND('',#4020,.T.); #272=FACE_BOUND('',#4021,.T.); #273=FACE_BOUND('',#4035,.T.); #274=FACE_BOUND('',#4037,.T.); #275=FACE_BOUND('',#4050,.T.); #276=FACE_BOUND('',#4052,.T.); #277=FACE_BOUND('',#4067,.T.); #278=FACE_BOUND('',#4068,.T.); #279=FACE_BOUND('',#4069,.T.); #280=FACE_BOUND('',#4071,.T.); #281=FACE_BOUND('',#4072,.T.); #282=FACE_BOUND('',#4073,.T.); #283=FACE_BOUND('',#4088,.T.); #284=FACE_BOUND('',#4089,.T.); #285=FACE_BOUND('',#4090,.T.); #286=FACE_BOUND('',#4092,.T.); #287=FACE_BOUND('',#4093,.T.); #288=FACE_BOUND('',#4094,.T.); #289=FACE_BOUND('',#4107,.T.); #290=FACE_BOUND('',#4109,.T.); #291=FACE_BOUND('',#4122,.T.); #292=FACE_BOUND('',#4124,.T.); #293=FACE_BOUND('',#4139,.T.); #294=FACE_BOUND('',#4140,.T.); #295=FACE_BOUND('',#4141,.T.); #296=FACE_BOUND('',#4143,.T.); #297=FACE_BOUND('',#4144,.T.); #298=FACE_BOUND('',#4145,.T.); #299=FACE_BOUND('',#4160,.T.); #300=FACE_BOUND('',#4161,.T.); #301=FACE_BOUND('',#4162,.T.); #302=FACE_BOUND('',#4164,.T.); #303=FACE_BOUND('',#4165,.T.); #304=FACE_BOUND('',#4166,.T.); #305=FACE_BOUND('',#4309,.T.); #306=FACE_BOUND('',#4310,.T.); #307=FACE_BOUND('',#4311,.T.); #308=FACE_BOUND('',#4312,.T.); #309=FACE_BOUND('',#4313,.T.); #310=FACE_BOUND('',#4314,.T.); #311=FACE_BOUND('',#4315,.T.); #312=FACE_BOUND('',#4316,.T.); #313=FACE_BOUND('',#4317,.T.); #314=FACE_BOUND('',#4318,.T.); #315=FACE_BOUND('',#4319,.T.); #316=FACE_BOUND('',#4320,.T.); #317=FACE_BOUND('',#4321,.T.); #318=FACE_BOUND('',#4322,.T.); #319=FACE_BOUND('',#4323,.T.); #320=FACE_BOUND('',#4324,.T.); #321=FACE_BOUND('',#4325,.T.); #322=FACE_BOUND('',#4326,.T.); #323=FACE_BOUND('',#4327,.T.); #324=FACE_BOUND('',#4328,.T.); #325=FACE_BOUND('',#4329,.T.); #326=FACE_BOUND('',#4330,.T.); #327=FACE_BOUND('',#4331,.T.); #328=FACE_BOUND('',#4332,.T.); #329=FACE_BOUND('',#4333,.T.); #330=FACE_BOUND('',#4334,.T.); #331=FACE_BOUND('',#4335,.T.); #332=FACE_BOUND('',#4336,.T.); #333=FACE_BOUND('',#4337,.T.); #334=FACE_BOUND('',#4338,.T.); #335=FACE_BOUND('',#4339,.T.); #336=FACE_BOUND('',#4340,.T.); #337=FACE_BOUND('',#4341,.T.); #338=FACE_BOUND('',#4342,.T.); #339=FACE_BOUND('',#4343,.T.); #340=FACE_BOUND('',#4344,.T.); #341=FACE_BOUND('',#4345,.T.); #342=FACE_BOUND('',#4346,.T.); #343=FACE_BOUND('',#4347,.T.); #344=FACE_BOUND('',#4349,.T.); #345=FACE_BOUND('',#4350,.T.); #346=FACE_BOUND('',#4351,.T.); #347=FACE_BOUND('',#4352,.T.); #348=FACE_BOUND('',#4353,.T.); #349=FACE_BOUND('',#4354,.T.); #350=FACE_BOUND('',#4355,.T.); #351=FACE_BOUND('',#4356,.T.); #352=FACE_BOUND('',#4357,.T.); #353=FACE_BOUND('',#4358,.T.); #354=FACE_BOUND('',#4359,.T.); #355=FACE_BOUND('',#4360,.T.); #356=FACE_BOUND('',#4361,.T.); #357=FACE_BOUND('',#4362,.T.); #358=FACE_BOUND('',#4363,.T.); #359=FACE_BOUND('',#4364,.T.); #360=FACE_BOUND('',#4365,.T.); #361=FACE_BOUND('',#4366,.T.); #362=FACE_BOUND('',#4367,.T.); #363=FACE_BOUND('',#4368,.T.); #364=FACE_BOUND('',#4369,.T.); #365=FACE_BOUND('',#4370,.T.); #366=FACE_BOUND('',#4371,.T.); #367=FACE_BOUND('',#4372,.T.); #368=FACE_BOUND('',#4373,.T.); #369=FACE_BOUND('',#4374,.T.); #370=FACE_BOUND('',#4375,.T.); #371=FACE_BOUND('',#4376,.T.); #372=FACE_BOUND('',#4377,.T.); #373=FACE_BOUND('',#4378,.T.); #374=FACE_BOUND('',#4379,.T.); #375=FACE_BOUND('',#4380,.T.); #376=FACE_BOUND('',#4381,.T.); #377=FACE_BOUND('',#4382,.T.); #378=FACE_BOUND('',#4383,.T.); #379=FACE_BOUND('',#4384,.T.); #380=FACE_BOUND('',#4385,.T.); #381=FACE_BOUND('',#4386,.T.); #382=FACE_BOUND('',#4387,.T.); #383=FACE_BOUND('',#4400,.T.); #384=FACE_BOUND('',#4401,.T.); #385=FACE_BOUND('',#4402,.T.); #386=FACE_BOUND('',#4404,.T.); #387=FACE_BOUND('',#4405,.T.); #388=FACE_BOUND('',#4406,.T.); #389=FACE_BOUND('',#4422,.T.); #390=FACE_BOUND('',#4423,.T.); #391=FACE_BOUND('',#4425,.T.); #392=FACE_BOUND('',#4426,.T.); #393=FACE_BOUND('',#4442,.T.); #394=FACE_BOUND('',#4443,.T.); #395=FACE_BOUND('',#4445,.T.); #396=FACE_BOUND('',#4446,.T.); #397=FACE_BOUND('',#4462,.T.); #398=FACE_BOUND('',#4463,.T.); #399=FACE_BOUND('',#4465,.T.); #400=FACE_BOUND('',#4466,.T.); #401=FACE_BOUND('',#4482,.T.); #402=FACE_BOUND('',#4483,.T.); #403=FACE_BOUND('',#4485,.T.); #404=FACE_BOUND('',#4486,.T.); #405=FACE_BOUND('',#4502,.T.); #406=FACE_BOUND('',#4503,.T.); #407=FACE_BOUND('',#4505,.T.); #408=FACE_BOUND('',#4506,.T.); #409=FACE_BOUND('',#4522,.T.); #410=FACE_BOUND('',#4523,.T.); #411=FACE_BOUND('',#4525,.T.); #412=FACE_BOUND('',#4526,.T.); #413=FACE_BOUND('',#4690,.T.); #414=FACE_BOUND('',#4691,.T.); #415=FACE_BOUND('',#4692,.T.); #416=FACE_BOUND('',#4693,.T.); #417=FACE_BOUND('',#4694,.T.); #418=FACE_BOUND('',#4695,.T.); #419=FACE_BOUND('',#4696,.T.); #420=FACE_BOUND('',#4697,.T.); #421=FACE_BOUND('',#4698,.T.); #422=FACE_BOUND('',#4699,.T.); #423=FACE_BOUND('',#4700,.T.); #424=FACE_BOUND('',#4701,.T.); #425=FACE_BOUND('',#4702,.T.); #426=FACE_BOUND('',#4703,.T.); #427=FACE_BOUND('',#4704,.T.); #428=FACE_BOUND('',#4705,.T.); #429=FACE_BOUND('',#4706,.T.); #430=FACE_BOUND('',#4707,.T.); #431=FACE_BOUND('',#4709,.T.); #432=FACE_BOUND('',#4710,.T.); #433=FACE_BOUND('',#4711,.T.); #434=FACE_BOUND('',#4712,.T.); #435=FACE_BOUND('',#4713,.T.); #436=FACE_BOUND('',#4714,.T.); #437=FACE_BOUND('',#4715,.T.); #438=FACE_BOUND('',#4716,.T.); #439=FACE_BOUND('',#4717,.T.); #440=FACE_BOUND('',#4718,.T.); #441=FACE_BOUND('',#4719,.T.); #442=FACE_BOUND('',#4720,.T.); #443=FACE_BOUND('',#4721,.T.); #444=FACE_BOUND('',#4722,.T.); #445=FACE_BOUND('',#4723,.T.); #446=FACE_BOUND('',#4724,.T.); #447=FACE_BOUND('',#4725,.T.); #448=FACE_BOUND('',#4726,.T.); #449=FACE_BOUND('',#4890,.T.); #450=FACE_BOUND('',#4891,.T.); #451=FACE_BOUND('',#4892,.T.); #452=FACE_BOUND('',#4893,.T.); #453=FACE_BOUND('',#4894,.T.); #454=FACE_BOUND('',#4895,.T.); #455=FACE_BOUND('',#4896,.T.); #456=FACE_BOUND('',#4897,.T.); #457=FACE_BOUND('',#4898,.T.); #458=FACE_BOUND('',#4899,.T.); #459=FACE_BOUND('',#4900,.T.); #460=FACE_BOUND('',#4901,.T.); #461=FACE_BOUND('',#4902,.T.); #462=FACE_BOUND('',#4903,.T.); #463=FACE_BOUND('',#4904,.T.); #464=FACE_BOUND('',#4905,.T.); #465=FACE_BOUND('',#4906,.T.); #466=FACE_BOUND('',#4907,.T.); #467=FACE_BOUND('',#4909,.T.); #468=FACE_BOUND('',#4910,.T.); #469=FACE_BOUND('',#4911,.T.); #470=FACE_BOUND('',#4912,.T.); #471=FACE_BOUND('',#4913,.T.); #472=FACE_BOUND('',#4914,.T.); #473=FACE_BOUND('',#4915,.T.); #474=FACE_BOUND('',#4916,.T.); #475=FACE_BOUND('',#4917,.T.); #476=FACE_BOUND('',#4918,.T.); #477=FACE_BOUND('',#4919,.T.); #478=FACE_BOUND('',#4920,.T.); #479=FACE_BOUND('',#4921,.T.); #480=FACE_BOUND('',#4922,.T.); #481=FACE_BOUND('',#4923,.T.); #482=FACE_BOUND('',#4924,.T.); #483=FACE_BOUND('',#4925,.T.); #484=FACE_BOUND('',#4926,.T.); #485=FACE_BOUND('',#5174,.T.); #486=FACE_BOUND('',#5175,.T.); #487=FACE_BOUND('',#5176,.T.); #488=FACE_BOUND('',#5177,.T.); #489=FACE_BOUND('',#5178,.T.); #490=FACE_BOUND('',#5179,.T.); #491=FACE_BOUND('',#5180,.T.); #492=FACE_BOUND('',#5181,.T.); #493=FACE_BOUND('',#5182,.T.); #494=FACE_BOUND('',#5183,.T.); #495=FACE_BOUND('',#5184,.T.); #496=FACE_BOUND('',#5185,.T.); #497=FACE_BOUND('',#5186,.T.); #498=FACE_BOUND('',#5187,.T.); #499=FACE_BOUND('',#5188,.T.); #500=FACE_BOUND('',#5189,.T.); #501=FACE_BOUND('',#5190,.T.); #502=FACE_BOUND('',#5191,.T.); #503=FACE_BOUND('',#5192,.T.); #504=FACE_BOUND('',#5193,.T.); #505=FACE_BOUND('',#5194,.T.); #506=FACE_BOUND('',#5195,.T.); #507=FACE_BOUND('',#5196,.T.); #508=FACE_BOUND('',#5197,.T.); #509=FACE_BOUND('',#5198,.T.); #510=FACE_BOUND('',#5199,.T.); #511=FACE_BOUND('',#5200,.T.); #512=FACE_BOUND('',#5201,.T.); #513=FACE_BOUND('',#5202,.T.); #514=FACE_BOUND('',#5203,.T.); #515=FACE_BOUND('',#5204,.T.); #516=FACE_BOUND('',#5205,.T.); #517=FACE_BOUND('',#5206,.T.); #518=FACE_BOUND('',#5207,.T.); #519=FACE_BOUND('',#5208,.T.); #520=FACE_BOUND('',#5209,.T.); #521=FACE_BOUND('',#5210,.T.); #522=FACE_BOUND('',#5211,.T.); #523=FACE_BOUND('',#5212,.T.); #524=FACE_BOUND('',#5213,.T.); #525=FACE_BOUND('',#5214,.T.); #526=FACE_BOUND('',#5215,.T.); #527=FACE_BOUND('',#5216,.T.); #528=FACE_BOUND('',#5217,.T.); #529=FACE_BOUND('',#5218,.T.); #530=FACE_BOUND('',#5219,.T.); #531=FACE_BOUND('',#5220,.T.); #532=FACE_BOUND('',#5221,.T.); #533=FACE_BOUND('',#5222,.T.); #534=FACE_BOUND('',#5223,.T.); #535=FACE_BOUND('',#5225,.T.); #536=FACE_BOUND('',#5226,.T.); #537=FACE_BOUND('',#5227,.T.); #538=FACE_BOUND('',#5228,.T.); #539=FACE_BOUND('',#5229,.T.); #540=FACE_BOUND('',#5230,.T.); #541=FACE_BOUND('',#5231,.T.); #542=FACE_BOUND('',#5232,.T.); #543=FACE_BOUND('',#5233,.T.); #544=FACE_BOUND('',#5234,.T.); #545=FACE_BOUND('',#5235,.T.); #546=FACE_BOUND('',#5236,.T.); #547=FACE_BOUND('',#5237,.T.); #548=FACE_BOUND('',#5238,.T.); #549=FACE_BOUND('',#5239,.T.); #550=FACE_BOUND('',#5240,.T.); #551=FACE_BOUND('',#5241,.T.); #552=FACE_BOUND('',#5242,.T.); #553=FACE_BOUND('',#5243,.T.); #554=FACE_BOUND('',#5244,.T.); #555=FACE_BOUND('',#5245,.T.); #556=FACE_BOUND('',#5246,.T.); #557=FACE_BOUND('',#5247,.T.); #558=FACE_BOUND('',#5248,.T.); #559=FACE_BOUND('',#5249,.T.); #560=FACE_BOUND('',#5250,.T.); #561=FACE_BOUND('',#5251,.T.); #562=FACE_BOUND('',#5252,.T.); #563=FACE_BOUND('',#5253,.T.); #564=FACE_BOUND('',#5254,.T.); #565=FACE_BOUND('',#5255,.T.); #566=FACE_BOUND('',#5256,.T.); #567=FACE_BOUND('',#5257,.T.); #568=FACE_BOUND('',#5258,.T.); #569=FACE_BOUND('',#5259,.T.); #570=FACE_BOUND('',#5260,.T.); #571=FACE_BOUND('',#5261,.T.); #572=FACE_BOUND('',#5262,.T.); #573=FACE_BOUND('',#5263,.T.); #574=FACE_BOUND('',#5264,.T.); #575=FACE_BOUND('',#5265,.T.); #576=FACE_BOUND('',#5266,.T.); #577=FACE_BOUND('',#5267,.T.); #578=FACE_BOUND('',#5268,.T.); #579=FACE_BOUND('',#5269,.T.); #580=FACE_BOUND('',#5270,.T.); #581=FACE_BOUND('',#5271,.T.); #582=FACE_BOUND('',#5272,.T.); #583=FACE_BOUND('',#5273,.T.); #584=FACE_BOUND('',#5274,.T.); #585=FACE_BOUND('',#5338,.T.); #586=FACE_BOUND('',#5339,.T.); #587=FACE_BOUND('',#5340,.T.); #588=FACE_BOUND('',#5341,.T.); #589=FACE_BOUND('',#5342,.T.); #590=FACE_BOUND('',#5343,.T.); #591=FACE_BOUND('',#5344,.T.); #592=FACE_BOUND('',#5346,.T.); #593=FACE_BOUND('',#5347,.T.); #594=FACE_BOUND('',#5348,.T.); #595=FACE_BOUND('',#5349,.T.); #596=FACE_BOUND('',#5350,.T.); #597=FACE_BOUND('',#5351,.T.); #598=FACE_BOUND('',#5479,.T.); #599=FACE_BOUND('',#5480,.T.); #600=FACE_BOUND('',#5481,.T.); #601=FACE_BOUND('',#5482,.T.); #602=FACE_BOUND('',#5505,.T.); #603=FACE_BOUND('',#5506,.T.); #604=FACE_BOUND('',#5507,.T.); #605=FACE_BOUND('',#5508,.T.); #606=FACE_BOUND('',#5510,.T.); #607=FACE_BOUND('',#5511,.T.); #608=FACE_BOUND('',#5512,.T.); #609=FACE_BOUND('',#5513,.T.); #610=FACE_BOUND('',#5514,.T.); #611=FACE_BOUND('',#5515,.T.); #612=FACE_BOUND('',#5516,.T.); #613=FACE_BOUND('',#5517,.T.); #614=FACE_BOUND('',#5532,.T.); #615=FACE_BOUND('',#5534,.T.); #616=FACE_BOUND('',#5554,.T.); #617=FACE_BOUND('',#5555,.T.); #618=FACE_BOUND('',#5556,.T.); #619=FACE_BOUND('',#5558,.T.); #620=FACE_BOUND('',#5559,.T.); #621=FACE_BOUND('',#5560,.T.); #622=FACE_BOUND('',#5575,.T.); #623=FACE_BOUND('',#5577,.T.); #624=FACE_BOUND('',#5597,.T.); #625=FACE_BOUND('',#5598,.T.); #626=FACE_BOUND('',#5599,.T.); #627=FACE_BOUND('',#5601,.T.); #628=FACE_BOUND('',#5602,.T.); #629=FACE_BOUND('',#5603,.T.); #630=FACE_BOUND('',#5623,.T.); #631=FACE_BOUND('',#5624,.T.); #632=FACE_BOUND('',#5625,.T.); #633=FACE_BOUND('',#5627,.T.); #634=FACE_BOUND('',#5628,.T.); #635=FACE_BOUND('',#5629,.T.); #636=FACE_BOUND('',#5660,.T.); #637=FACE_BOUND('',#5661,.T.); #638=FACE_BOUND('',#5662,.T.); #639=FACE_BOUND('',#5663,.T.); #640=FACE_BOUND('',#5664,.T.); #641=FACE_BOUND('',#5665,.T.); #642=FACE_BOUND('',#5666,.T.); #643=FACE_BOUND('',#5667,.T.); #644=FACE_BOUND('',#5668,.T.); #645=FACE_BOUND('',#5669,.T.); #646=FACE_BOUND('',#5670,.T.); #647=FACE_BOUND('',#5671,.T.); #648=FACE_BOUND('',#5672,.T.); #649=FACE_BOUND('',#5674,.T.); #650=FACE_BOUND('',#5675,.T.); #651=FACE_BOUND('',#5676,.T.); #652=FACE_BOUND('',#5677,.T.); #653=FACE_BOUND('',#5678,.T.); #654=FACE_BOUND('',#5679,.T.); #655=FACE_BOUND('',#5680,.T.); #656=FACE_BOUND('',#5681,.T.); #657=FACE_BOUND('',#5682,.T.); #658=FACE_BOUND('',#5683,.T.); #659=FACE_BOUND('',#5684,.T.); #660=FACE_BOUND('',#5685,.T.); #661=FACE_BOUND('',#5686,.T.); #662=FACE_BOUND('',#5717,.T.); #663=FACE_BOUND('',#5718,.T.); #664=FACE_BOUND('',#5719,.T.); #665=FACE_BOUND('',#5720,.T.); #666=FACE_BOUND('',#5721,.T.); #667=FACE_BOUND('',#5722,.T.); #668=FACE_BOUND('',#5723,.T.); #669=FACE_BOUND('',#5724,.T.); #670=FACE_BOUND('',#5725,.T.); #671=FACE_BOUND('',#5726,.T.); #672=FACE_BOUND('',#5727,.T.); #673=FACE_BOUND('',#5728,.T.); #674=FACE_BOUND('',#5729,.T.); #675=FACE_BOUND('',#5731,.T.); #676=FACE_BOUND('',#5732,.T.); #677=FACE_BOUND('',#5733,.T.); #678=FACE_BOUND('',#5734,.T.); #679=FACE_BOUND('',#5735,.T.); #680=FACE_BOUND('',#5736,.T.); #681=FACE_BOUND('',#5737,.T.); #682=FACE_BOUND('',#5738,.T.); #683=FACE_BOUND('',#5739,.T.); #684=FACE_BOUND('',#5740,.T.); #685=FACE_BOUND('',#5741,.T.); #686=FACE_BOUND('',#5742,.T.); #687=FACE_BOUND('',#5743,.T.); #688=FACE_BOUND('',#5762,.T.); #689=FACE_BOUND('',#5763,.T.); #690=FACE_BOUND('',#5764,.T.); #691=FACE_BOUND('',#5765,.T.); #692=FACE_BOUND('',#5766,.T.); #693=FACE_BOUND('',#5767,.T.); #694=FACE_BOUND('',#5768,.T.); #695=FACE_BOUND('',#5769,.T.); #696=FACE_BOUND('',#5770,.T.); #697=FACE_BOUND('',#5771,.T.); #698=FACE_BOUND('',#5772,.T.); #699=FACE_BOUND('',#5774,.T.); #700=FACE_BOUND('',#5775,.T.); #701=FACE_BOUND('',#5776,.T.); #702=FACE_BOUND('',#5777,.T.); #703=FACE_BOUND('',#5778,.T.); #704=FACE_BOUND('',#5779,.T.); #705=FACE_BOUND('',#5780,.T.); #706=FACE_BOUND('',#5781,.T.); #707=FACE_BOUND('',#5782,.T.); #708=FACE_BOUND('',#5783,.T.); #709=FACE_BOUND('',#5784,.T.); #710=FACE_BOUND('',#5841,.T.); #711=FACE_BOUND('',#5842,.T.); #712=FACE_BOUND('',#5843,.T.); #713=FACE_BOUND('',#5844,.T.); #714=FACE_BOUND('',#5846,.T.); #715=FACE_BOUND('',#5847,.T.); #716=FACE_BOUND('',#5848,.T.); #717=FACE_BOUND('',#5849,.T.); #718=FACE_BOUND('',#5877,.T.); #719=FACE_BOUND('',#5878,.T.); #720=FACE_BOUND('',#5880,.T.); #721=FACE_BOUND('',#5881,.T.); #722=FACE_BOUND('',#5896,.T.); #723=FACE_BOUND('',#5898,.T.); #724=FACE_BOUND('',#5926,.T.); #725=FACE_BOUND('',#5927,.T.); #726=FACE_BOUND('',#5929,.T.); #727=FACE_BOUND('',#5930,.T.); #728=FACE_BOUND('',#5945,.T.); #729=FACE_BOUND('',#5947,.T.); #730=PLANE('',#35414); #731=PLANE('',#35415); #732=PLANE('',#35416); #733=PLANE('',#35417); #734=PLANE('',#35418); #735=PLANE('',#35419); #736=PLANE('',#35420); #737=PLANE('',#35424); #738=PLANE('',#35425); #739=PLANE('',#35429); #740=PLANE('',#35430); #741=PLANE('',#35431); #742=PLANE('',#35432); #743=PLANE('',#35433); #744=PLANE('',#35434); #745=PLANE('',#35435); #746=PLANE('',#35439); #747=PLANE('',#35440); #748=PLANE('',#35441); #749=PLANE('',#35448); #750=PLANE('',#35449); #751=PLANE('',#35456); #752=PLANE('',#35457); #753=PLANE('',#35458); #754=PLANE('',#35459); #755=PLANE('',#35460); #756=PLANE('',#35461); #757=PLANE('',#35462); #758=PLANE('',#35463); #759=PLANE('',#35464); #760=PLANE('',#35465); #761=PLANE('',#35466); #762=PLANE('',#35467); #763=PLANE('',#35468); #764=PLANE('',#35469); #765=PLANE('',#35470); #766=PLANE('',#35471); #767=PLANE('',#35472); #768=PLANE('',#35473); #769=PLANE('',#35474); #770=PLANE('',#35475); #771=PLANE('',#35476); #772=PLANE('',#35477); #773=PLANE('',#35478); #774=PLANE('',#35479); #775=PLANE('',#35480); #776=PLANE('',#35481); #777=PLANE('',#35482); #778=PLANE('',#35483); #779=PLANE('',#35484); #780=PLANE('',#35485); #781=PLANE('',#35489); #782=PLANE('',#35490); #783=PLANE('',#35491); #784=PLANE('',#35492); #785=PLANE('',#35493); #786=PLANE('',#35494); #787=PLANE('',#35498); #788=PLANE('',#35502); #789=PLANE('',#35503); #790=PLANE('',#35504); #791=PLANE('',#35505); #792=PLANE('',#35506); #793=PLANE('',#35507); #794=PLANE('',#35508); #795=PLANE('',#35509); #796=PLANE('',#35510); #797=PLANE('',#35511); #798=PLANE('',#35512); #799=PLANE('',#35513); #800=PLANE('',#35514); #801=PLANE('',#35515); #802=PLANE('',#35516); #803=PLANE('',#35517); #804=PLANE('',#35518); #805=PLANE('',#35519); #806=PLANE('',#35520); #807=PLANE('',#35521); #808=PLANE('',#35522); #809=PLANE('',#35523); #810=PLANE('',#35524); #811=PLANE('',#35525); #812=PLANE('',#35526); #813=PLANE('',#35527); #814=PLANE('',#35528); #815=PLANE('',#35529); #816=PLANE('',#35530); #817=PLANE('',#35531); #818=PLANE('',#35532); #819=PLANE('',#35536); #820=PLANE('',#35537); #821=PLANE('',#35538); #822=PLANE('',#35539); #823=PLANE('',#35540); #824=PLANE('',#35541); #825=PLANE('',#35542); #826=PLANE('',#35543); #827=PLANE('',#35544); #828=PLANE('',#35545); #829=PLANE('',#35546); #830=PLANE('',#35547); #831=PLANE('',#35548); #832=PLANE('',#35549); #833=PLANE('',#35550); #834=PLANE('',#35551); #835=PLANE('',#35552); #836=PLANE('',#35553); #837=PLANE('',#35554); #838=PLANE('',#35555); #839=PLANE('',#35557); #840=PLANE('',#35558); #841=PLANE('',#35559); #842=PLANE('',#35560); #843=PLANE('',#35561); #844=PLANE('',#35562); #845=PLANE('',#35563); #846=PLANE('',#35564); #847=PLANE('',#35565); #848=PLANE('',#35566); #849=PLANE('',#35567); #850=PLANE('',#35568); #851=PLANE('',#35569); #852=PLANE('',#35570); #853=PLANE('',#35571); #854=PLANE('',#35572); #855=PLANE('',#35573); #856=PLANE('',#35574); #857=PLANE('',#35575); #858=PLANE('',#35576); #859=PLANE('',#35577); #860=PLANE('',#35578); #861=PLANE('',#35579); #862=PLANE('',#35580); #863=PLANE('',#35581); #864=PLANE('',#35582); #865=PLANE('',#35583); #866=PLANE('',#35584); #867=PLANE('',#35585); #868=PLANE('',#35586); #869=PLANE('',#35587); #870=PLANE('',#35591); #871=PLANE('',#35595); #872=PLANE('',#35596); #873=PLANE('',#35601); #874=PLANE('',#35602); #875=PLANE('',#35603); #876=PLANE('',#35604); #877=PLANE('',#35605); #878=PLANE('',#35606); #879=PLANE('',#35607); #880=PLANE('',#35611); #881=PLANE('',#35612); #882=PLANE('',#35616); #883=PLANE('',#35617); #884=PLANE('',#35618); #885=PLANE('',#35619); #886=PLANE('',#35620); #887=PLANE('',#35621); #888=PLANE('',#35622); #889=PLANE('',#35626); #890=PLANE('',#35627); #891=PLANE('',#35628); #892=PLANE('',#35635); #893=PLANE('',#35636); #894=PLANE('',#35643); #895=PLANE('',#35644); #896=PLANE('',#35645); #897=PLANE('',#35646); #898=PLANE('',#35647); #899=PLANE('',#35648); #900=PLANE('',#35649); #901=PLANE('',#35650); #902=PLANE('',#35651); #903=PLANE('',#35652); #904=PLANE('',#35653); #905=PLANE('',#35654); #906=PLANE('',#35655); #907=PLANE('',#35656); #908=PLANE('',#35657); #909=PLANE('',#35658); #910=PLANE('',#35659); #911=PLANE('',#35660); #912=PLANE('',#35661); #913=PLANE('',#35662); #914=PLANE('',#35663); #915=PLANE('',#35664); #916=PLANE('',#35665); #917=PLANE('',#35666); #918=PLANE('',#35667); #919=PLANE('',#35668); #920=PLANE('',#35669); #921=PLANE('',#35670); #922=PLANE('',#35671); #923=PLANE('',#35672); #924=PLANE('',#35676); #925=PLANE('',#35677); #926=PLANE('',#35678); #927=PLANE('',#35679); #928=PLANE('',#35680); #929=PLANE('',#35681); #930=PLANE('',#35685); #931=PLANE('',#35689); #932=PLANE('',#35690); #933=PLANE('',#35691); #934=PLANE('',#35692); #935=PLANE('',#35693); #936=PLANE('',#35694); #937=PLANE('',#35695); #938=PLANE('',#35696); #939=PLANE('',#35697); #940=PLANE('',#35698); #941=PLANE('',#35699); #942=PLANE('',#35700); #943=PLANE('',#35701); #944=PLANE('',#35702); #945=PLANE('',#35703); #946=PLANE('',#35704); #947=PLANE('',#35705); #948=PLANE('',#35706); #949=PLANE('',#35707); #950=PLANE('',#35708); #951=PLANE('',#35709); #952=PLANE('',#35710); #953=PLANE('',#35711); #954=PLANE('',#35712); #955=PLANE('',#35713); #956=PLANE('',#35714); #957=PLANE('',#35715); #958=PLANE('',#35716); #959=PLANE('',#35717); #960=PLANE('',#35718); #961=PLANE('',#35719); #962=PLANE('',#35723); #963=PLANE('',#35724); #964=PLANE('',#35725); #965=PLANE('',#35726); #966=PLANE('',#35727); #967=PLANE('',#35728); #968=PLANE('',#35729); #969=PLANE('',#35730); #970=PLANE('',#35731); #971=PLANE('',#35732); #972=PLANE('',#35733); #973=PLANE('',#35734); #974=PLANE('',#35735); #975=PLANE('',#35736); #976=PLANE('',#35737); #977=PLANE('',#35738); #978=PLANE('',#35739); #979=PLANE('',#35740); #980=PLANE('',#35741); #981=PLANE('',#35742); #982=PLANE('',#35758); #983=PLANE('',#35765); #984=PLANE('',#35769); #985=PLANE('',#35773); #986=PLANE('',#35777); #987=PLANE('',#35781); #988=PLANE('',#35782); #989=PLANE('',#35793); #990=PLANE('',#35797); #991=PLANE('',#35798); #992=PLANE('',#35799); #993=PLANE('',#35800); #994=PLANE('',#35801); #995=PLANE('',#35802); #996=PLANE('',#35803); #997=PLANE('',#35807); #998=PLANE('',#35808); #999=PLANE('',#35812); #1000=PLANE('',#35816); #1001=PLANE('',#35817); #1002=PLANE('',#35818); #1003=PLANE('',#35819); #1004=PLANE('',#35820); #1005=PLANE('',#35821); #1006=PLANE('',#35822); #1007=PLANE('',#35826); #1008=PLANE('',#35827); #1009=PLANE('',#35840); #1010=PLANE('',#35841); #1011=PLANE('',#35842); #1012=PLANE('',#35843); #1013=PLANE('',#35844); #1014=PLANE('',#35845); #1015=PLANE('',#35846); #1016=PLANE('',#35850); #1017=PLANE('',#35851); #1018=PLANE('',#35852); #1019=PLANE('',#35865); #1020=PLANE('',#35866); #1021=PLANE('',#35867); #1022=PLANE('',#35868); #1023=PLANE('',#35869); #1024=PLANE('',#35870); #1025=PLANE('',#35871); #1026=PLANE('',#35875); #1027=PLANE('',#35876); #1028=PLANE('',#35877); #1029=PLANE('',#35881); #1030=PLANE('',#35885); #1031=PLANE('',#35886); #1032=PLANE('',#35887); #1033=PLANE('',#35888); #1034=PLANE('',#35889); #1035=PLANE('',#35890); #1036=PLANE('',#35891); #1037=PLANE('',#35895); #1038=PLANE('',#35896); #1039=PLANE('',#35900); #1040=PLANE('',#35904); #1041=PLANE('',#35905); #1042=PLANE('',#35906); #1043=PLANE('',#35907); #1044=PLANE('',#35908); #1045=PLANE('',#35909); #1046=PLANE('',#35910); #1047=PLANE('',#35914); #1048=PLANE('',#35915); #1049=PLANE('',#35928); #1050=PLANE('',#35929); #1051=PLANE('',#35930); #1052=PLANE('',#35931); #1053=PLANE('',#35932); #1054=PLANE('',#35933); #1055=PLANE('',#35934); #1056=PLANE('',#35938); #1057=PLANE('',#35939); #1058=PLANE('',#35940); #1059=PLANE('',#35953); #1060=PLANE('',#35954); #1061=PLANE('',#35955); #1062=PLANE('',#35956); #1063=PLANE('',#35957); #1064=PLANE('',#35958); #1065=PLANE('',#35959); #1066=PLANE('',#35963); #1067=PLANE('',#35964); #1068=PLANE('',#35965); #1069=PLANE('',#35966); #1070=PLANE('',#35967); #1071=PLANE('',#35968); #1072=PLANE('',#35969); #1073=PLANE('',#35970); #1074=PLANE('',#35971); #1075=PLANE('',#35972); #1076=PLANE('',#35973); #1077=PLANE('',#35974); #1078=PLANE('',#35975); #1079=PLANE('',#35976); #1080=PLANE('',#35977); #1081=PLANE('',#35996); #1082=PLANE('',#35997); #1083=PLANE('',#35998); #1084=PLANE('',#35999); #1085=PLANE('',#36000); #1086=PLANE('',#36001); #1087=PLANE('',#36002); #1088=PLANE('',#36003); #1089=PLANE('',#36004); #1090=PLANE('',#36005); #1091=PLANE('',#36006); #1092=PLANE('',#36007); #1093=PLANE('',#36008); #1094=PLANE('',#36009); #1095=PLANE('',#36010); #1096=PLANE('',#36011); #1097=PLANE('',#36012); #1098=PLANE('',#36013); #1099=PLANE('',#36014); #1100=PLANE('',#36015); #1101=PLANE('',#36016); #1102=PLANE('',#36017); #1103=PLANE('',#36018); #1104=PLANE('',#36019); #1105=PLANE('',#36023); #1106=PLANE('',#36024); #1107=PLANE('',#36025); #1108=PLANE('',#36026); #1109=PLANE('',#36027); #1110=PLANE('',#36028); #1111=PLANE('',#36029); #1112=PLANE('',#36030); #1113=PLANE('',#36031); #1114=PLANE('',#36032); #1115=PLANE('',#36033); #1116=PLANE('',#36034); #1117=PLANE('',#36035); #1118=PLANE('',#36036); #1119=PLANE('',#36037); #1120=PLANE('',#36038); #1121=PLANE('',#36039); #1122=PLANE('',#36040); #1123=PLANE('',#36041); #1124=PLANE('',#36042); #1125=PLANE('',#36049); #1126=PLANE('',#36050); #1127=PLANE('',#36051); #1128=PLANE('',#36052); #1129=PLANE('',#36062); #1130=PLANE('',#36063); #1131=PLANE('',#36064); #1132=PLANE('',#36065); #1133=PLANE('',#36075); #1134=PLANE('',#36076); #1135=PLANE('',#36077); #1136=PLANE('',#36078); #1137=PLANE('',#36085); #1138=PLANE('',#36095); #1139=PLANE('',#36099); #1140=PLANE('',#36100); #1141=PLANE('',#36101); #1142=PLANE('',#36102); #1143=PLANE('',#36106); #1144=PLANE('',#36107); #1145=PLANE('',#36108); #1146=PLANE('',#36109); #1147=PLANE('',#36110); #1148=PLANE('',#36111); #1149=PLANE('',#36112); #1150=PLANE('',#36113); #1151=PLANE('',#36114); #1152=PLANE('',#36115); #1153=PLANE('',#36116); #1154=PLANE('',#36117); #1155=PLANE('',#36121); #1156=PLANE('',#36122); #1157=PLANE('',#36123); #1158=PLANE('',#36124); #1159=PLANE('',#36125); #1160=PLANE('',#36126); #1161=PLANE('',#36127); #1162=PLANE('',#36128); #1163=PLANE('',#36132); #1164=PLANE('',#36136); #1165=PLANE('',#36137); #1166=PLANE('',#36138); #1167=PLANE('',#36139); #1168=PLANE('',#36140); #1169=PLANE('',#36141); #1170=PLANE('',#36142); #1171=PLANE('',#36143); #1172=PLANE('',#36144); #1173=PLANE('',#36145); #1174=PLANE('',#36146); #1175=PLANE('',#36147); #1176=PLANE('',#36148); #1177=PLANE('',#36152); #1178=PLANE('',#36156); #1179=PLANE('',#36157); #1180=PLANE('',#36158); #1181=PLANE('',#36159); #1182=PLANE('',#36160); #1183=PLANE('',#36161); #1184=PLANE('',#36162); #1185=PLANE('',#36172); #1186=PLANE('',#36176); #1187=PLANE('',#36180); #1188=PLANE('',#36184); #1189=PLANE('',#36188); #1190=PLANE('',#36189); #1191=PLANE('',#36198); #1192=PLANE('',#36199); #1193=PLANE('',#36200); #1194=PLANE('',#36201); #1195=PLANE('',#36202); #1196=PLANE('',#36203); #1197=PLANE('',#36204); #1198=PLANE('',#36205); #1199=PLANE('',#36206); #1200=PLANE('',#36207); #1201=PLANE('',#36208); #1202=PLANE('',#36209); #1203=PLANE('',#36210); #1204=PLANE('',#36211); #1205=PLANE('',#36218); #1206=PLANE('',#36219); #1207=PLANE('',#36220); #1208=PLANE('',#36221); #1209=PLANE('',#36222); #1210=PLANE('',#36223); #1211=PLANE('',#36224); #1212=PLANE('',#36225); #1213=PLANE('',#36226); #1214=PLANE('',#36227); #1215=PLANE('',#36228); #1216=PLANE('',#36229); #1217=PLANE('',#36230); #1218=PLANE('',#36231); #1219=PLANE('',#36238); #1220=PLANE('',#36239); #1221=PLANE('',#36240); #1222=PLANE('',#36241); #1223=PLANE('',#36242); #1224=PLANE('',#36243); #1225=PLANE('',#36244); #1226=PLANE('',#36245); #1227=PLANE('',#36246); #1228=PLANE('',#36247); #1229=PLANE('',#36248); #1230=PLANE('',#36249); #1231=PLANE('',#36250); #1232=PLANE('',#36251); #1233=PLANE('',#36258); #1234=PLANE('',#36259); #1235=PLANE('',#36260); #1236=PLANE('',#36261); #1237=PLANE('',#36262); #1238=PLANE('',#36263); #1239=PLANE('',#36264); #1240=PLANE('',#36265); #1241=PLANE('',#36266); #1242=PLANE('',#36267); #1243=PLANE('',#36268); #1244=PLANE('',#36269); #1245=PLANE('',#36270); #1246=PLANE('',#36271); #1247=PLANE('',#36278); #1248=PLANE('',#36279); #1249=PLANE('',#36280); #1250=PLANE('',#36281); #1251=PLANE('',#36282); #1252=PLANE('',#36283); #1253=PLANE('',#36284); #1254=PLANE('',#36285); #1255=PLANE('',#36286); #1256=PLANE('',#36287); #1257=PLANE('',#36288); #1258=PLANE('',#36289); #1259=PLANE('',#36290); #1260=PLANE('',#36291); #1261=PLANE('',#36298); #1262=PLANE('',#36299); #1263=PLANE('',#36300); #1264=PLANE('',#36301); #1265=PLANE('',#36302); #1266=PLANE('',#36303); #1267=PLANE('',#36304); #1268=PLANE('',#36305); #1269=PLANE('',#36306); #1270=PLANE('',#36307); #1271=PLANE('',#36308); #1272=PLANE('',#36309); #1273=PLANE('',#36310); #1274=PLANE('',#36311); #1275=PLANE('',#36313); #1276=PLANE('',#36317); #1277=PLANE('',#36321); #1278=PLANE('',#36325); #1279=PLANE('',#36329); #1280=PLANE('',#36333); #1281=PLANE('',#36337); #1282=PLANE('',#36341); #1283=PLANE('',#36345); #1284=PLANE('',#36349); #1285=PLANE('',#36353); #1286=PLANE('',#36357); #1287=PLANE('',#36361); #1288=PLANE('',#36365); #1289=PLANE('',#36369); #1290=PLANE('',#36373); #1291=PLANE('',#36377); #1292=PLANE('',#36381); #1293=PLANE('',#36385); #1294=PLANE('',#36389); #1295=PLANE('',#36393); #1296=PLANE('',#36397); #1297=PLANE('',#36401); #1298=PLANE('',#36405); #1299=PLANE('',#36409); #1300=PLANE('',#36413); #1301=PLANE('',#36417); #1302=PLANE('',#36421); #1303=PLANE('',#36425); #1304=PLANE('',#36429); #1305=PLANE('',#36433); #1306=PLANE('',#36437); #1307=PLANE('',#36441); #1308=PLANE('',#36445); #1309=PLANE('',#36449); #1310=PLANE('',#36453); #1311=PLANE('',#36457); #1312=PLANE('',#36461); #1313=PLANE('',#36465); #1314=PLANE('',#36469); #1315=PLANE('',#36473); #1316=PLANE('',#36477); #1317=PLANE('',#36481); #1318=PLANE('',#36485); #1319=PLANE('',#36489); #1320=PLANE('',#36493); #1321=PLANE('',#36497); #1322=PLANE('',#36501); #1323=PLANE('',#36505); #1324=PLANE('',#36509); #1325=PLANE('',#36513); #1326=PLANE('',#36517); #1327=PLANE('',#36521); #1328=PLANE('',#36525); #1329=PLANE('',#36529); #1330=PLANE('',#36533); #1331=PLANE('',#36537); #1332=PLANE('',#36541); #1333=PLANE('',#36545); #1334=PLANE('',#36549); #1335=PLANE('',#36553); #1336=PLANE('',#36557); #1337=PLANE('',#36561); #1338=PLANE('',#36565); #1339=PLANE('',#36569); #1340=PLANE('',#36573); #1341=PLANE('',#36577); #1342=PLANE('',#36581); #1343=PLANE('',#36585); #1344=PLANE('',#36589); #1345=PLANE('',#36593); #1346=PLANE('',#36597); #1347=PLANE('',#36601); #1348=PLANE('',#36602); #1349=PLANE('',#36603); #1350=PLANE('',#36607); #1351=PLANE('',#36611); #1352=PLANE('',#36612); #1353=PLANE('',#36613); #1354=PLANE('',#36614); #1355=PLANE('',#36618); #1356=PLANE('',#36619); #1357=PLANE('',#36620); #1358=PLANE('',#36621); #1359=PLANE('',#36622); #1360=PLANE('',#36626); #1361=PLANE('',#36627); #1362=PLANE('',#36628); #1363=PLANE('',#36629); #1364=PLANE('',#36633); #1365=PLANE('',#36637); #1366=PLANE('',#36641); #1367=PLANE('',#36645); #1368=PLANE('',#36649); #1369=PLANE('',#36653); #1370=PLANE('',#36657); #1371=PLANE('',#36661); #1372=PLANE('',#36665); #1373=PLANE('',#36669); #1374=PLANE('',#36673); #1375=PLANE('',#36677); #1376=PLANE('',#36681); #1377=PLANE('',#36685); #1378=PLANE('',#36689); #1379=PLANE('',#36693); #1380=PLANE('',#36697); #1381=PLANE('',#36701); #1382=PLANE('',#36705); #1383=PLANE('',#36709); #1384=PLANE('',#36713); #1385=PLANE('',#36717); #1386=PLANE('',#36721); #1387=PLANE('',#36725); #1388=PLANE('',#36729); #1389=PLANE('',#36733); #1390=PLANE('',#36737); #1391=PLANE('',#36741); #1392=PLANE('',#36745); #1393=PLANE('',#36749); #1394=PLANE('',#36753); #1395=PLANE('',#36757); #1396=PLANE('',#36761); #1397=PLANE('',#36765); #1398=PLANE('',#36769); #1399=PLANE('',#36773); #1400=PLANE('',#36777); #1401=PLANE('',#36781); #1402=PLANE('',#36785); #1403=PLANE('',#36789); #1404=PLANE('',#36793); #1405=PLANE('',#36797); #1406=PLANE('',#36801); #1407=PLANE('',#36805); #1408=PLANE('',#36809); #1409=PLANE('',#36813); #1410=PLANE('',#36817); #1411=PLANE('',#36821); #1412=PLANE('',#36825); #1413=PLANE('',#36829); #1414=PLANE('',#36833); #1415=PLANE('',#36837); #1416=PLANE('',#36841); #1417=PLANE('',#36845); #1418=PLANE('',#36849); #1419=PLANE('',#36853); #1420=PLANE('',#36857); #1421=PLANE('',#36861); #1422=PLANE('',#36865); #1423=PLANE('',#36869); #1424=PLANE('',#36873); #1425=PLANE('',#36877); #1426=PLANE('',#36881); #1427=PLANE('',#36885); #1428=PLANE('',#36889); #1429=PLANE('',#36893); #1430=PLANE('',#36897); #1431=PLANE('',#36901); #1432=PLANE('',#36905); #1433=PLANE('',#36909); #1434=PLANE('',#36913); #1435=PLANE('',#36917); #1436=PLANE('',#36918); #1437=PLANE('',#36919); #1438=PLANE('',#36923); #1439=PLANE('',#36927); #1440=PLANE('',#36928); #1441=PLANE('',#36929); #1442=PLANE('',#36930); #1443=PLANE('',#36934); #1444=PLANE('',#36935); #1445=PLANE('',#36936); #1446=PLANE('',#36937); #1447=PLANE('',#36938); #1448=PLANE('',#36942); #1449=PLANE('',#36943); #1450=PLANE('',#36944); #1451=PLANE('',#36955); #1452=PLANE('',#36959); #1453=PLANE('',#36963); #1454=PLANE('',#36967); #1455=PLANE('',#36968); #1456=PLANE('',#36969); #1457=PLANE('',#36970); #1458=PLANE('',#36971); #1459=PLANE('',#36972); #1460=PLANE('',#36976); #1461=PLANE('',#36980); #1462=PLANE('',#36984); #1463=PLANE('',#36988); #1464=PLANE('',#36989); #1465=PLANE('',#36990); #1466=PLANE('',#36991); #1467=PLANE('',#36998); #1468=PLANE('',#36999); #1469=PLANE('',#37000); #1470=PLANE('',#37001); #1471=PLANE('',#37002); #1472=PLANE('',#37003); #1473=PLANE('',#37004); #1474=PLANE('',#37005); #1475=PLANE('',#37012); #1476=PLANE('',#37016); #1477=PLANE('',#37020); #1478=PLANE('',#37024); #1479=PLANE('',#37043); #1480=PLANE('',#37044); #1481=PLANE('',#37045); #1482=PLANE('',#37046); #1483=PLANE('',#37053); #1484=PLANE('',#37054); #1485=PLANE('',#37055); #1486=PLANE('',#37056); #1487=PLANE('',#37057); #1488=PLANE('',#37058); #1489=PLANE('',#37059); #1490=PLANE('',#37060); #1491=PLANE('',#37061); #1492=PLANE('',#37065); #1493=PLANE('',#37069); #1494=PLANE('',#37073); #1495=PLANE('',#37077); #1496=PLANE('',#37081); #1497=PLANE('',#37085); #1498=PLANE('',#37089); #1499=PLANE('',#37093); #1500=PLANE('',#37097); #1501=PLANE('',#37101); #1502=PLANE('',#37105); #1503=PLANE('',#37109); #1504=PLANE('',#37113); #1505=PLANE('',#37117); #1506=PLANE('',#37121); #1507=PLANE('',#37125); #1508=PLANE('',#37129); #1509=PLANE('',#37133); #1510=PLANE('',#37137); #1511=PLANE('',#37144); #1512=PLANE('',#37148); #1513=PLANE('',#37152); #1514=PLANE('',#37156); #1515=PLANE('',#37160); #1516=PLANE('',#37164); #1517=PLANE('',#37168); #1518=PLANE('',#37172); #1519=PLANE('',#37176); #1520=PLANE('',#37180); #1521=PLANE('',#37184); #1522=PLANE('',#37188); #1523=PLANE('',#37192); #1524=PLANE('',#37196); #1525=PLANE('',#37200); #1526=PLANE('',#37204); #1527=PLANE('',#37205); #1528=PLANE('',#37209); #1529=PLANE('',#37213); #1530=PLANE('',#37217); #1531=PLANE('',#37221); #1532=PLANE('',#37225); #1533=PLANE('',#37229); #1534=PLANE('',#37233); #1535=PLANE('',#37237); #1536=PLANE('',#37241); #1537=PLANE('',#37245); #1538=PLANE('',#37249); #1539=PLANE('',#37253); #1540=PLANE('',#37254); #1541=PLANE('',#37255); #1542=PLANE('',#37256); #1543=PLANE('',#37257); #1544=PLANE('',#37261); #1545=PLANE('',#37265); #1546=PLANE('',#37269); #1547=PLANE('',#37273); #1548=PLANE('',#37277); #1549=PLANE('',#37281); #1550=PLANE('',#37285); #1551=PLANE('',#37289); #1552=PLANE('',#37293); #1553=PLANE('',#37297); #1554=PLANE('',#37301); #1555=PLANE('',#37305); #1556=PLANE('',#37306); #1557=PLANE('',#37307); #1558=PLANE('',#37308); #1559=PLANE('',#37309); #1560=PLANE('',#37310); #1561=PLANE('',#37311); #1562=PLANE('',#37312); #1563=PLANE('',#37313); #1564=PLANE('',#37314); #1565=PLANE('',#37315); #1566=PLANE('',#37316); #1567=PLANE('',#37317); #1568=PLANE('',#37318); #1569=PLANE('',#37319); #1570=PLANE('',#37320); #1571=PLANE('',#37324); #1572=PLANE('',#37328); #1573=PLANE('',#37332); #1574=PLANE('',#37336); #1575=PLANE('',#37340); #1576=PLANE('',#37344); #1577=PLANE('',#37348); #1578=PLANE('',#37352); #1579=PLANE('',#37356); #1580=PLANE('',#37357); #1581=PLANE('',#37358); #1582=PLANE('',#37359); #1583=PLANE('',#37360); #1584=PLANE('',#37361); #1585=PLANE('',#37362); #1586=PLANE('',#37363); #1587=PLANE('',#37367); #1588=PLANE('',#37368); #1589=PLANE('',#37369); #1590=PLANE('',#37370); #1591=PLANE('',#37374); #1592=PLANE('',#37375); #1593=PLANE('',#37376); #1594=PLANE('',#37377); #1595=PLANE('',#37378); #1596=PLANE('',#37382); #1597=PLANE('',#37386); #1598=PLANE('',#37390); #1599=PLANE('',#37394); #1600=PLANE('',#37395); #1601=PLANE('',#37442); #1602=PLANE('',#37444); #1603=PLANE('',#37445); #1604=PLANE('',#37451); #1605=PLANE('',#37492); #1606=PLANE('',#37494); #1607=PLANE('',#37497); #1608=PLANE('',#37512); #1609=PLANE('',#37531); #1610=PLANE('',#37535); #1611=PLANE('',#37539); #1612=PLANE('',#37543); #1613=PLANE('',#37547); #1614=PLANE('',#37551); #1615=PLANE('',#37555); #1616=PLANE('',#37556); #1617=PLANE('',#37558); #1618=PLANE('',#37621); #1619=PLANE('',#37624); #1620=PLANE('',#37627); #1621=PLANE('',#37630); #1622=PLANE('',#37633); #1623=PLANE('',#37636); #1624=PLANE('',#37639); #1625=PLANE('',#37642); #1626=PLANE('',#37645); #1627=PLANE('',#37648); #1628=PLANE('',#37651); #1629=PLANE('',#37654); #1630=PLANE('',#37657); #1631=PLANE('',#37660); #1632=PLANE('',#37663); #1633=PLANE('',#37666); #1634=PLANE('',#37669); #1635=PLANE('',#37672); #1636=PLANE('',#37675); #1637=PLANE('',#37678); #1638=PLANE('',#37681); #1639=PLANE('',#37684); #1640=PLANE('',#37687); #1641=PLANE('',#37690); #1642=PLANE('',#37693); #1643=PLANE('',#37696); #1644=PLANE('',#37699); #1645=PLANE('',#37702); #1646=PLANE('',#37705); #1647=PLANE('',#37708); #1648=PLANE('',#37711); #1649=PLANE('',#37714); #1650=PLANE('',#37717); #1651=PLANE('',#37720); #1652=PLANE('',#37723); #1653=PLANE('',#37726); #1654=PLANE('',#37729); #1655=PLANE('',#37732); #1656=PLANE('',#37735); #1657=PLANE('',#37738); #1658=PLANE('',#37741); #1659=PLANE('',#37744); #1660=PLANE('',#37747); #1661=PLANE('',#37750); #1662=PLANE('',#37753); #1663=PLANE('',#37756); #1664=PLANE('',#37759); #1665=PLANE('',#37762); #1666=PLANE('',#37765); #1667=PLANE('',#37768); #1668=PLANE('',#37771); #1669=PLANE('',#37774); #1670=PLANE('',#37777); #1671=PLANE('',#37780); #1672=PLANE('',#37783); #1673=PLANE('',#37786); #1674=PLANE('',#37789); #1675=PLANE('',#37792); #1676=PLANE('',#37795); #1677=PLANE('',#37798); #1678=PLANE('',#37801); #1679=PLANE('',#37804); #1680=PLANE('',#37807); #1681=PLANE('',#37808); #1682=PLANE('',#37816); #1683=PLANE('',#37817); #1684=PLANE('',#37838); #1685=PLANE('',#37841); #1686=PLANE('',#37845); #1687=PLANE('',#37849); #1688=PLANE('',#37855); #1689=PLANE('',#37858); #1690=PLANE('',#37859); #1691=PLANE('',#37865); #1692=PLANE('',#37866); #1693=PLANE('',#37867); #1694=PLANE('',#37868); #1695=PLANE('',#37869); #1696=PLANE('',#37870); #1697=PLANE('',#37871); #1698=PLANE('',#37872); #1699=PLANE('',#37873); #1700=PLANE('',#37874); #1701=PLANE('',#37875); #1702=PLANE('',#37876); #1703=PLANE('',#37877); #1704=PLANE('',#37878); #1705=PLANE('',#37879); #1706=PLANE('',#37880); #1707=PLANE('',#37881); #1708=PLANE('',#37882); #1709=PLANE('',#37889); #1710=PLANE('',#37890); #1711=PLANE('',#37891); #1712=PLANE('',#37892); #1713=PLANE('',#37893); #1714=PLANE('',#37894); #1715=PLANE('',#37895); #1716=PLANE('',#37896); #1717=PLANE('',#37897); #1718=PLANE('',#37898); #1719=PLANE('',#37899); #1720=PLANE('',#37900); #1721=PLANE('',#37901); #1722=PLANE('',#37902); #1723=PLANE('',#37906); #1724=PLANE('',#37907); #1725=PLANE('',#37908); #1726=PLANE('',#37909); #1727=PLANE('',#37910); #1728=PLANE('',#37911); #1729=PLANE('',#37912); #1730=PLANE('',#37913); #1731=PLANE('',#37914); #1732=PLANE('',#37915); #1733=PLANE('',#37916); #1734=PLANE('',#37917); #1735=PLANE('',#37918); #1736=PLANE('',#37919); #1737=PLANE('',#37920); #1738=PLANE('',#37921); #1739=PLANE('',#37922); #1740=PLANE('',#37923); #1741=PLANE('',#37930); #1742=PLANE('',#37931); #1743=PLANE('',#37932); #1744=PLANE('',#37933); #1745=PLANE('',#37934); #1746=PLANE('',#37935); #1747=PLANE('',#37936); #1748=PLANE('',#37937); #1749=PLANE('',#37938); #1750=PLANE('',#37939); #1751=PLANE('',#37940); #1752=PLANE('',#37941); #1753=PLANE('',#37942); #1754=PLANE('',#37943); #1755=PLANE('',#37947); #1756=PLANE('',#37948); #1757=PLANE('',#37949); #1758=PLANE('',#37950); #1759=PLANE('',#37954); #1760=PLANE('',#37955); #1761=PLANE('',#37956); #1762=PLANE('',#37957); #1763=PLANE('',#37958); #1764=PLANE('',#37959); #1765=PLANE('',#37960); #1766=PLANE('',#37961); #1767=PLANE('',#37962); #1768=PLANE('',#37963); #1769=PLANE('',#37964); #1770=PLANE('',#37965); #1771=PLANE('',#37966); #1772=PLANE('',#37967); #1773=PLANE('',#38007); #1774=PLANE('',#38008); #1775=PLANE('',#38009); #1776=PLANE('',#38010); #1777=PLANE('',#38011); #1778=PLANE('',#38012); #1779=PLANE('',#38013); #1780=PLANE('',#38014); #1781=PLANE('',#38015); #1782=PLANE('',#38016); #1783=PLANE('',#38017); #1784=PLANE('',#38018); #1785=PLANE('',#38019); #1786=PLANE('',#38020); #1787=PLANE('',#38021); #1788=PLANE('',#38022); #1789=PLANE('',#38023); #1790=PLANE('',#38024); #1791=PLANE('',#38064); #1792=PLANE('',#38065); #1793=PLANE('',#38066); #1794=PLANE('',#38067); #1795=PLANE('',#38068); #1796=PLANE('',#38069); #1797=PLANE('',#38070); #1798=PLANE('',#38071); #1799=PLANE('',#38072); #1800=PLANE('',#38073); #1801=PLANE('',#38074); #1802=PLANE('',#38075); #1803=PLANE('',#38076); #1804=PLANE('',#38077); #1805=PLANE('',#38078); #1806=PLANE('',#38079); #1807=PLANE('',#38080); #1808=PLANE('',#38081); #1809=PLANE('',#38115); #1810=PLANE('',#38116); #1811=PLANE('',#38120); #1812=PLANE('',#38124); #1813=PLANE('',#38125); #1814=PLANE('',#38126); #1815=PLANE('',#38127); #1816=PLANE('',#38128); #1817=PLANE('',#38129); #1818=PLANE('',#38130); #1819=PLANE('',#38131); #1820=PLANE('',#38132); #1821=PLANE('',#38133); #1822=PLANE('',#38134); #1823=PLANE('',#38135); #1824=PLANE('',#38136); #1825=PLANE('',#38140); #1826=PLANE('',#38141); #1827=PLANE('',#38142); #1828=PLANE('',#38143); #1829=PLANE('',#38144); #1830=PLANE('',#38145); #1831=PLANE('',#38146); #1832=PLANE('',#38147); #1833=PLANE('',#38148); #1834=PLANE('',#38149); #1835=PLANE('',#38150); #1836=PLANE('',#38151); #1837=PLANE('',#38152); #1838=PLANE('',#38153); #1839=PLANE('',#38154); #1840=PLANE('',#38155); #1841=PLANE('',#38156); #1842=PLANE('',#38157); #1843=PLANE('',#38158); #1844=PLANE('',#38159); #1845=PLANE('',#38160); #1846=PLANE('',#38161); #1847=PLANE('',#38162); #1848=PLANE('',#38163); #1849=PLANE('',#38164); #1850=PLANE('',#38165); #1851=PLANE('',#38166); #1852=PLANE('',#38167); #1853=PLANE('',#38168); #1854=PLANE('',#38169); #1855=PLANE('',#38170); #1856=PLANE('',#38171); #1857=PLANE('',#38172); #1858=PLANE('',#38173); #1859=PLANE('',#38174); #1860=PLANE('',#38175); #1861=PLANE('',#38176); #1862=PLANE('',#38177); #1863=PLANE('',#38178); #1864=PLANE('',#38179); #1865=PLANE('',#38180); #1866=PLANE('',#38181); #1867=PLANE('',#38182); #1868=PLANE('',#38183); #1869=PLANE('',#38184); #1870=PLANE('',#38185); #1871=PLANE('',#38186); #1872=PLANE('',#38187); #1873=PLANE('',#38188); #1874=PLANE('',#38189); #1875=PLANE('',#38190); #1876=PLANE('',#38191); #1877=PLANE('',#38192); #1878=PLANE('',#38193); #1879=PLANE('',#38194); #1880=PLANE('',#38198); #1881=PLANE('',#38202); #1882=PLANE('',#38206); #1883=PLANE('',#38207); #1884=PLANE('',#38208); #1885=PLANE('',#38209); #1886=PLANE('',#38213); #1887=PLANE('',#38217); #1888=PLANE('',#38218); #1889=PLANE('',#38219); #1890=PLANE('',#38220); #1891=PLANE('',#38224); #1892=PLANE('',#38225); #1893=PLANE('',#38229); #1894=PLANE('',#38230); #1895=PLANE('',#38231); #1896=PLANE('',#38232); #1897=PLANE('',#38233); #1898=PLANE('',#38234); #1899=PLANE('',#38235); #1900=PLANE('',#38236); #1901=PLANE('',#38237); #1902=PLANE('',#38238); #1903=PLANE('',#38239); #1904=PLANE('',#38240); #1905=PLANE('',#38241); #1906=PLANE('',#38242); #1907=PLANE('',#38243); #1908=PLANE('',#38244); #1909=PLANE('',#38245); #1910=PLANE('',#38246); #1911=PLANE('',#38247); #1912=PLANE('',#38248); #1913=PLANE('',#38249); #1914=PLANE('',#38250); #1915=PLANE('',#38251); #1916=PLANE('',#38255); #1917=PLANE('',#38259); #1918=PLANE('',#38263); #1919=PLANE('',#38264); #1920=PLANE('',#38265); #1921=PLANE('',#38266); #1922=PLANE('',#38270); #1923=PLANE('',#38274); #1924=PLANE('',#38275); #1925=PLANE('',#38276); #1926=PLANE('',#38277); #1927=PLANE('',#38281); #1928=PLANE('',#38282); #1929=PLANE('',#38286); #1930=PLANE('',#38287); #1931=PLANE('',#38288); #1932=PLANE('',#38289); #1933=PLANE('',#38290); #1934=PLANE('',#38291); #1935=PLANE('',#38292); #1936=PLANE('',#38293); #1937=PLANE('',#38294); #1938=PLANE('',#38295); #1939=PLANE('',#38296); #1940=PLANE('',#38297); #1941=PLANE('',#38298); #1942=PLANE('',#38299); #1943=FACE_OUTER_BOUND('',#3706,.T.); #1944=FACE_OUTER_BOUND('',#3707,.T.); #1945=FACE_OUTER_BOUND('',#3708,.T.); #1946=FACE_OUTER_BOUND('',#3709,.T.); #1947=FACE_OUTER_BOUND('',#3710,.T.); #1948=FACE_OUTER_BOUND('',#3711,.T.); #1949=FACE_OUTER_BOUND('',#3712,.T.); #1950=FACE_OUTER_BOUND('',#3713,.T.); #1951=FACE_OUTER_BOUND('',#3714,.T.); #1952=FACE_OUTER_BOUND('',#3715,.T.); #1953=FACE_OUTER_BOUND('',#3717,.T.); #1954=FACE_OUTER_BOUND('',#3719,.T.); #1955=FACE_OUTER_BOUND('',#3720,.T.); #1956=FACE_OUTER_BOUND('',#3721,.T.); #1957=FACE_OUTER_BOUND('',#3722,.T.); #1958=FACE_OUTER_BOUND('',#3723,.T.); #1959=FACE_OUTER_BOUND('',#3724,.T.); #1960=FACE_OUTER_BOUND('',#3725,.T.); #1961=FACE_OUTER_BOUND('',#3726,.T.); #1962=FACE_OUTER_BOUND('',#3727,.T.); #1963=FACE_OUTER_BOUND('',#3728,.T.); #1964=FACE_OUTER_BOUND('',#3730,.T.); #1965=FACE_OUTER_BOUND('',#3732,.T.); #1966=FACE_OUTER_BOUND('',#3734,.T.); #1967=FACE_OUTER_BOUND('',#3735,.T.); #1968=FACE_OUTER_BOUND('',#3736,.T.); #1969=FACE_OUTER_BOUND('',#3738,.T.); #1970=FACE_OUTER_BOUND('',#3739,.T.); #1971=FACE_OUTER_BOUND('',#3740,.T.); #1972=FACE_OUTER_BOUND('',#3741,.T.); #1973=FACE_OUTER_BOUND('',#3742,.T.); #1974=FACE_OUTER_BOUND('',#3743,.T.); #1975=FACE_OUTER_BOUND('',#3744,.T.); #1976=FACE_OUTER_BOUND('',#3745,.T.); #1977=FACE_OUTER_BOUND('',#3746,.T.); #1978=FACE_OUTER_BOUND('',#3747,.T.); #1979=FACE_OUTER_BOUND('',#3748,.T.); #1980=FACE_OUTER_BOUND('',#3749,.T.); #1981=FACE_OUTER_BOUND('',#3750,.T.); #1982=FACE_OUTER_BOUND('',#3751,.T.); #1983=FACE_OUTER_BOUND('',#3752,.T.); #1984=FACE_OUTER_BOUND('',#3753,.T.); #1985=FACE_OUTER_BOUND('',#3754,.T.); #1986=FACE_OUTER_BOUND('',#3755,.T.); #1987=FACE_OUTER_BOUND('',#3756,.T.); #1988=FACE_OUTER_BOUND('',#3757,.T.); #1989=FACE_OUTER_BOUND('',#3758,.T.); #1990=FACE_OUTER_BOUND('',#3759,.T.); #1991=FACE_OUTER_BOUND('',#3760,.T.); #1992=FACE_OUTER_BOUND('',#3761,.T.); #1993=FACE_OUTER_BOUND('',#3762,.T.); #1994=FACE_OUTER_BOUND('',#3763,.T.); #1995=FACE_OUTER_BOUND('',#3764,.T.); #1996=FACE_OUTER_BOUND('',#3765,.T.); #1997=FACE_OUTER_BOUND('',#3766,.T.); #1998=FACE_OUTER_BOUND('',#3767,.T.); #1999=FACE_OUTER_BOUND('',#3768,.T.); #2000=FACE_OUTER_BOUND('',#3769,.T.); #2001=FACE_OUTER_BOUND('',#3770,.T.); #2002=FACE_OUTER_BOUND('',#3771,.T.); #2003=FACE_OUTER_BOUND('',#3772,.T.); #2004=FACE_OUTER_BOUND('',#3773,.T.); #2005=FACE_OUTER_BOUND('',#3775,.T.); #2006=FACE_OUTER_BOUND('',#3777,.T.); #2007=FACE_OUTER_BOUND('',#3778,.T.); #2008=FACE_OUTER_BOUND('',#3779,.T.); #2009=FACE_OUTER_BOUND('',#3780,.T.); #2010=FACE_OUTER_BOUND('',#3781,.T.); #2011=FACE_OUTER_BOUND('',#3782,.T.); #2012=FACE_OUTER_BOUND('',#3783,.T.); #2013=FACE_OUTER_BOUND('',#3784,.T.); #2014=FACE_OUTER_BOUND('',#3785,.T.); #2015=FACE_OUTER_BOUND('',#3786,.T.); #2016=FACE_OUTER_BOUND('',#3787,.T.); #2017=FACE_OUTER_BOUND('',#3788,.T.); #2018=FACE_OUTER_BOUND('',#3789,.T.); #2019=FACE_OUTER_BOUND('',#3790,.T.); #2020=FACE_OUTER_BOUND('',#3791,.T.); #2021=FACE_OUTER_BOUND('',#3792,.T.); #2022=FACE_OUTER_BOUND('',#3793,.T.); #2023=FACE_OUTER_BOUND('',#3794,.T.); #2024=FACE_OUTER_BOUND('',#3795,.T.); #2025=FACE_OUTER_BOUND('',#3796,.T.); #2026=FACE_OUTER_BOUND('',#3797,.T.); #2027=FACE_OUTER_BOUND('',#3798,.T.); #2028=FACE_OUTER_BOUND('',#3799,.T.); #2029=FACE_OUTER_BOUND('',#3800,.T.); #2030=FACE_OUTER_BOUND('',#3801,.T.); #2031=FACE_OUTER_BOUND('',#3802,.T.); #2032=FACE_OUTER_BOUND('',#3803,.T.); #2033=FACE_OUTER_BOUND('',#3804,.T.); #2034=FACE_OUTER_BOUND('',#3805,.T.); #2035=FACE_OUTER_BOUND('',#3806,.T.); #2036=FACE_OUTER_BOUND('',#3807,.T.); #2037=FACE_OUTER_BOUND('',#3808,.T.); #2038=FACE_OUTER_BOUND('',#3809,.T.); #2039=FACE_OUTER_BOUND('',#3810,.T.); #2040=FACE_OUTER_BOUND('',#3811,.T.); #2041=FACE_OUTER_BOUND('',#3812,.T.); #2042=FACE_OUTER_BOUND('',#3813,.T.); #2043=FACE_OUTER_BOUND('',#3814,.T.); #2044=FACE_OUTER_BOUND('',#3815,.T.); #2045=FACE_OUTER_BOUND('',#3816,.T.); #2046=FACE_OUTER_BOUND('',#3817,.T.); #2047=FACE_OUTER_BOUND('',#3818,.T.); #2048=FACE_OUTER_BOUND('',#3819,.T.); #2049=FACE_OUTER_BOUND('',#3820,.T.); #2050=FACE_OUTER_BOUND('',#3821,.T.); #2051=FACE_OUTER_BOUND('',#3822,.T.); #2052=FACE_OUTER_BOUND('',#3823,.T.); #2053=FACE_OUTER_BOUND('',#3824,.T.); #2054=FACE_OUTER_BOUND('',#3825,.T.); #2055=FACE_OUTER_BOUND('',#3826,.T.); #2056=FACE_OUTER_BOUND('',#3827,.T.); #2057=FACE_OUTER_BOUND('',#3828,.T.); #2058=FACE_OUTER_BOUND('',#3829,.T.); #2059=FACE_OUTER_BOUND('',#3830,.T.); #2060=FACE_OUTER_BOUND('',#3831,.T.); #2061=FACE_OUTER_BOUND('',#3832,.T.); #2062=FACE_OUTER_BOUND('',#3833,.T.); #2063=FACE_OUTER_BOUND('',#3834,.T.); #2064=FACE_OUTER_BOUND('',#3835,.T.); #2065=FACE_OUTER_BOUND('',#3836,.T.); #2066=FACE_OUTER_BOUND('',#3837,.T.); #2067=FACE_OUTER_BOUND('',#3838,.T.); #2068=FACE_OUTER_BOUND('',#3839,.T.); #2069=FACE_OUTER_BOUND('',#3840,.T.); #2070=FACE_OUTER_BOUND('',#3841,.T.); #2071=FACE_OUTER_BOUND('',#3842,.T.); #2072=FACE_OUTER_BOUND('',#3843,.T.); #2073=FACE_OUTER_BOUND('',#3844,.T.); #2074=FACE_OUTER_BOUND('',#3845,.T.); #2075=FACE_OUTER_BOUND('',#3846,.T.); #2076=FACE_OUTER_BOUND('',#3847,.T.); #2077=FACE_OUTER_BOUND('',#3848,.T.); #2078=FACE_OUTER_BOUND('',#3849,.T.); #2079=FACE_OUTER_BOUND('',#3850,.T.); #2080=FACE_OUTER_BOUND('',#3851,.T.); #2081=FACE_OUTER_BOUND('',#3852,.T.); #2082=FACE_OUTER_BOUND('',#3853,.T.); #2083=FACE_OUTER_BOUND('',#3854,.T.); #2084=FACE_OUTER_BOUND('',#3855,.T.); #2085=FACE_OUTER_BOUND('',#3856,.T.); #2086=FACE_OUTER_BOUND('',#3857,.T.); #2087=FACE_OUTER_BOUND('',#3858,.T.); #2088=FACE_OUTER_BOUND('',#3859,.T.); #2089=FACE_OUTER_BOUND('',#3860,.T.); #2090=FACE_OUTER_BOUND('',#3861,.T.); #2091=FACE_OUTER_BOUND('',#3862,.T.); #2092=FACE_OUTER_BOUND('',#3863,.T.); #2093=FACE_OUTER_BOUND('',#3864,.T.); #2094=FACE_OUTER_BOUND('',#3865,.T.); #2095=FACE_OUTER_BOUND('',#3866,.T.); #2096=FACE_OUTER_BOUND('',#3867,.T.); #2097=FACE_OUTER_BOUND('',#3868,.T.); #2098=FACE_OUTER_BOUND('',#3869,.T.); #2099=FACE_OUTER_BOUND('',#3870,.T.); #2100=FACE_OUTER_BOUND('',#3871,.T.); #2101=FACE_OUTER_BOUND('',#3872,.T.); #2102=FACE_OUTER_BOUND('',#3873,.T.); #2103=FACE_OUTER_BOUND('',#3874,.T.); #2104=FACE_OUTER_BOUND('',#3875,.T.); #2105=FACE_OUTER_BOUND('',#3876,.T.); #2106=FACE_OUTER_BOUND('',#3877,.T.); #2107=FACE_OUTER_BOUND('',#3878,.T.); #2108=FACE_OUTER_BOUND('',#3879,.T.); #2109=FACE_OUTER_BOUND('',#3880,.T.); #2110=FACE_OUTER_BOUND('',#3882,.T.); #2111=FACE_OUTER_BOUND('',#3884,.T.); #2112=FACE_OUTER_BOUND('',#3885,.T.); #2113=FACE_OUTER_BOUND('',#3886,.T.); #2114=FACE_OUTER_BOUND('',#3887,.T.); #2115=FACE_OUTER_BOUND('',#3888,.T.); #2116=FACE_OUTER_BOUND('',#3889,.T.); #2117=FACE_OUTER_BOUND('',#3890,.T.); #2118=FACE_OUTER_BOUND('',#3891,.T.); #2119=FACE_OUTER_BOUND('',#3892,.T.); #2120=FACE_OUTER_BOUND('',#3893,.T.); #2121=FACE_OUTER_BOUND('',#3895,.T.); #2122=FACE_OUTER_BOUND('',#3897,.T.); #2123=FACE_OUTER_BOUND('',#3899,.T.); #2124=FACE_OUTER_BOUND('',#3900,.T.); #2125=FACE_OUTER_BOUND('',#3901,.T.); #2126=FACE_OUTER_BOUND('',#3903,.T.); #2127=FACE_OUTER_BOUND('',#3904,.T.); #2128=FACE_OUTER_BOUND('',#3905,.T.); #2129=FACE_OUTER_BOUND('',#3906,.T.); #2130=FACE_OUTER_BOUND('',#3907,.T.); #2131=FACE_OUTER_BOUND('',#3908,.T.); #2132=FACE_OUTER_BOUND('',#3909,.T.); #2133=FACE_OUTER_BOUND('',#3910,.T.); #2134=FACE_OUTER_BOUND('',#3911,.T.); #2135=FACE_OUTER_BOUND('',#3912,.T.); #2136=FACE_OUTER_BOUND('',#3913,.T.); #2137=FACE_OUTER_BOUND('',#3914,.T.); #2138=FACE_OUTER_BOUND('',#3915,.T.); #2139=FACE_OUTER_BOUND('',#3916,.T.); #2140=FACE_OUTER_BOUND('',#3917,.T.); #2141=FACE_OUTER_BOUND('',#3918,.T.); #2142=FACE_OUTER_BOUND('',#3919,.T.); #2143=FACE_OUTER_BOUND('',#3920,.T.); #2144=FACE_OUTER_BOUND('',#3921,.T.); #2145=FACE_OUTER_BOUND('',#3922,.T.); #2146=FACE_OUTER_BOUND('',#3923,.T.); #2147=FACE_OUTER_BOUND('',#3924,.T.); #2148=FACE_OUTER_BOUND('',#3925,.T.); #2149=FACE_OUTER_BOUND('',#3926,.T.); #2150=FACE_OUTER_BOUND('',#3927,.T.); #2151=FACE_OUTER_BOUND('',#3928,.T.); #2152=FACE_OUTER_BOUND('',#3929,.T.); #2153=FACE_OUTER_BOUND('',#3930,.T.); #2154=FACE_OUTER_BOUND('',#3931,.T.); #2155=FACE_OUTER_BOUND('',#3932,.T.); #2156=FACE_OUTER_BOUND('',#3933,.T.); #2157=FACE_OUTER_BOUND('',#3934,.T.); #2158=FACE_OUTER_BOUND('',#3935,.T.); #2159=FACE_OUTER_BOUND('',#3936,.T.); #2160=FACE_OUTER_BOUND('',#3937,.T.); #2161=FACE_OUTER_BOUND('',#3938,.T.); #2162=FACE_OUTER_BOUND('',#3940,.T.); #2163=FACE_OUTER_BOUND('',#3942,.T.); #2164=FACE_OUTER_BOUND('',#3943,.T.); #2165=FACE_OUTER_BOUND('',#3944,.T.); #2166=FACE_OUTER_BOUND('',#3945,.T.); #2167=FACE_OUTER_BOUND('',#3946,.T.); #2168=FACE_OUTER_BOUND('',#3947,.T.); #2169=FACE_OUTER_BOUND('',#3948,.T.); #2170=FACE_OUTER_BOUND('',#3949,.T.); #2171=FACE_OUTER_BOUND('',#3950,.T.); #2172=FACE_OUTER_BOUND('',#3951,.T.); #2173=FACE_OUTER_BOUND('',#3952,.T.); #2174=FACE_OUTER_BOUND('',#3953,.T.); #2175=FACE_OUTER_BOUND('',#3954,.T.); #2176=FACE_OUTER_BOUND('',#3955,.T.); #2177=FACE_OUTER_BOUND('',#3956,.T.); #2178=FACE_OUTER_BOUND('',#3957,.T.); #2179=FACE_OUTER_BOUND('',#3958,.T.); #2180=FACE_OUTER_BOUND('',#3959,.T.); #2181=FACE_OUTER_BOUND('',#3960,.T.); #2182=FACE_OUTER_BOUND('',#3961,.T.); #2183=FACE_OUTER_BOUND('',#3962,.T.); #2184=FACE_OUTER_BOUND('',#3963,.T.); #2185=FACE_OUTER_BOUND('',#3964,.T.); #2186=FACE_OUTER_BOUND('',#3965,.T.); #2187=FACE_OUTER_BOUND('',#3966,.T.); #2188=FACE_OUTER_BOUND('',#3967,.T.); #2189=FACE_OUTER_BOUND('',#3968,.T.); #2190=FACE_OUTER_BOUND('',#3969,.T.); #2191=FACE_OUTER_BOUND('',#3970,.T.); #2192=FACE_OUTER_BOUND('',#3971,.T.); #2193=FACE_OUTER_BOUND('',#3972,.T.); #2194=FACE_OUTER_BOUND('',#3973,.T.); #2195=FACE_OUTER_BOUND('',#3974,.T.); #2196=FACE_OUTER_BOUND('',#3975,.T.); #2197=FACE_OUTER_BOUND('',#3976,.T.); #2198=FACE_OUTER_BOUND('',#3977,.T.); #2199=FACE_OUTER_BOUND('',#3978,.T.); #2200=FACE_OUTER_BOUND('',#3979,.T.); #2201=FACE_OUTER_BOUND('',#3980,.T.); #2202=FACE_OUTER_BOUND('',#3981,.T.); #2203=FACE_OUTER_BOUND('',#3982,.T.); #2204=FACE_OUTER_BOUND('',#3983,.T.); #2205=FACE_OUTER_BOUND('',#3984,.T.); #2206=FACE_OUTER_BOUND('',#3985,.T.); #2207=FACE_OUTER_BOUND('',#3986,.T.); #2208=FACE_OUTER_BOUND('',#3987,.T.); #2209=FACE_OUTER_BOUND('',#3988,.T.); #2210=FACE_OUTER_BOUND('',#3989,.T.); #2211=FACE_OUTER_BOUND('',#3990,.T.); #2212=FACE_OUTER_BOUND('',#3991,.T.); #2213=FACE_OUTER_BOUND('',#3992,.T.); #2214=FACE_OUTER_BOUND('',#3993,.T.); #2215=FACE_OUTER_BOUND('',#3994,.T.); #2216=FACE_OUTER_BOUND('',#3995,.T.); #2217=FACE_OUTER_BOUND('',#3996,.T.); #2218=FACE_OUTER_BOUND('',#3997,.T.); #2219=FACE_OUTER_BOUND('',#3998,.T.); #2220=FACE_OUTER_BOUND('',#3999,.T.); #2221=FACE_OUTER_BOUND('',#4000,.T.); #2222=FACE_OUTER_BOUND('',#4001,.T.); #2223=FACE_OUTER_BOUND('',#4002,.T.); #2224=FACE_OUTER_BOUND('',#4003,.T.); #2225=FACE_OUTER_BOUND('',#4005,.T.); #2226=FACE_OUTER_BOUND('',#4006,.T.); #2227=FACE_OUTER_BOUND('',#4007,.T.); #2228=FACE_OUTER_BOUND('',#4008,.T.); #2229=FACE_OUTER_BOUND('',#4009,.T.); #2230=FACE_OUTER_BOUND('',#4010,.T.); #2231=FACE_OUTER_BOUND('',#4011,.T.); #2232=FACE_OUTER_BOUND('',#4012,.T.); #2233=FACE_OUTER_BOUND('',#4013,.T.); #2234=FACE_OUTER_BOUND('',#4014,.T.); #2235=FACE_OUTER_BOUND('',#4015,.T.); #2236=FACE_OUTER_BOUND('',#4018,.T.); #2237=FACE_OUTER_BOUND('',#4022,.T.); #2238=FACE_OUTER_BOUND('',#4023,.T.); #2239=FACE_OUTER_BOUND('',#4024,.T.); #2240=FACE_OUTER_BOUND('',#4025,.T.); #2241=FACE_OUTER_BOUND('',#4026,.T.); #2242=FACE_OUTER_BOUND('',#4027,.T.); #2243=FACE_OUTER_BOUND('',#4028,.T.); #2244=FACE_OUTER_BOUND('',#4029,.T.); #2245=FACE_OUTER_BOUND('',#4030,.T.); #2246=FACE_OUTER_BOUND('',#4031,.T.); #2247=FACE_OUTER_BOUND('',#4032,.T.); #2248=FACE_OUTER_BOUND('',#4033,.T.); #2249=FACE_OUTER_BOUND('',#4034,.T.); #2250=FACE_OUTER_BOUND('',#4036,.T.); #2251=FACE_OUTER_BOUND('',#4038,.T.); #2252=FACE_OUTER_BOUND('',#4039,.T.); #2253=FACE_OUTER_BOUND('',#4040,.T.); #2254=FACE_OUTER_BOUND('',#4041,.T.); #2255=FACE_OUTER_BOUND('',#4042,.T.); #2256=FACE_OUTER_BOUND('',#4043,.T.); #2257=FACE_OUTER_BOUND('',#4044,.T.); #2258=FACE_OUTER_BOUND('',#4045,.T.); #2259=FACE_OUTER_BOUND('',#4046,.T.); #2260=FACE_OUTER_BOUND('',#4047,.T.); #2261=FACE_OUTER_BOUND('',#4048,.T.); #2262=FACE_OUTER_BOUND('',#4049,.T.); #2263=FACE_OUTER_BOUND('',#4051,.T.); #2264=FACE_OUTER_BOUND('',#4053,.T.); #2265=FACE_OUTER_BOUND('',#4054,.T.); #2266=FACE_OUTER_BOUND('',#4055,.T.); #2267=FACE_OUTER_BOUND('',#4056,.T.); #2268=FACE_OUTER_BOUND('',#4057,.T.); #2269=FACE_OUTER_BOUND('',#4058,.T.); #2270=FACE_OUTER_BOUND('',#4059,.T.); #2271=FACE_OUTER_BOUND('',#4060,.T.); #2272=FACE_OUTER_BOUND('',#4061,.T.); #2273=FACE_OUTER_BOUND('',#4062,.T.); #2274=FACE_OUTER_BOUND('',#4063,.T.); #2275=FACE_OUTER_BOUND('',#4064,.T.); #2276=FACE_OUTER_BOUND('',#4065,.T.); #2277=FACE_OUTER_BOUND('',#4066,.T.); #2278=FACE_OUTER_BOUND('',#4070,.T.); #2279=FACE_OUTER_BOUND('',#4074,.T.); #2280=FACE_OUTER_BOUND('',#4075,.T.); #2281=FACE_OUTER_BOUND('',#4076,.T.); #2282=FACE_OUTER_BOUND('',#4077,.T.); #2283=FACE_OUTER_BOUND('',#4078,.T.); #2284=FACE_OUTER_BOUND('',#4079,.T.); #2285=FACE_OUTER_BOUND('',#4080,.T.); #2286=FACE_OUTER_BOUND('',#4081,.T.); #2287=FACE_OUTER_BOUND('',#4082,.T.); #2288=FACE_OUTER_BOUND('',#4083,.T.); #2289=FACE_OUTER_BOUND('',#4084,.T.); #2290=FACE_OUTER_BOUND('',#4085,.T.); #2291=FACE_OUTER_BOUND('',#4086,.T.); #2292=FACE_OUTER_BOUND('',#4087,.T.); #2293=FACE_OUTER_BOUND('',#4091,.T.); #2294=FACE_OUTER_BOUND('',#4095,.T.); #2295=FACE_OUTER_BOUND('',#4096,.T.); #2296=FACE_OUTER_BOUND('',#4097,.T.); #2297=FACE_OUTER_BOUND('',#4098,.T.); #2298=FACE_OUTER_BOUND('',#4099,.T.); #2299=FACE_OUTER_BOUND('',#4100,.T.); #2300=FACE_OUTER_BOUND('',#4101,.T.); #2301=FACE_OUTER_BOUND('',#4102,.T.); #2302=FACE_OUTER_BOUND('',#4103,.T.); #2303=FACE_OUTER_BOUND('',#4104,.T.); #2304=FACE_OUTER_BOUND('',#4105,.T.); #2305=FACE_OUTER_BOUND('',#4106,.T.); #2306=FACE_OUTER_BOUND('',#4108,.T.); #2307=FACE_OUTER_BOUND('',#4110,.T.); #2308=FACE_OUTER_BOUND('',#4111,.T.); #2309=FACE_OUTER_BOUND('',#4112,.T.); #2310=FACE_OUTER_BOUND('',#4113,.T.); #2311=FACE_OUTER_BOUND('',#4114,.T.); #2312=FACE_OUTER_BOUND('',#4115,.T.); #2313=FACE_OUTER_BOUND('',#4116,.T.); #2314=FACE_OUTER_BOUND('',#4117,.T.); #2315=FACE_OUTER_BOUND('',#4118,.T.); #2316=FACE_OUTER_BOUND('',#4119,.T.); #2317=FACE_OUTER_BOUND('',#4120,.T.); #2318=FACE_OUTER_BOUND('',#4121,.T.); #2319=FACE_OUTER_BOUND('',#4123,.T.); #2320=FACE_OUTER_BOUND('',#4125,.T.); #2321=FACE_OUTER_BOUND('',#4126,.T.); #2322=FACE_OUTER_BOUND('',#4127,.T.); #2323=FACE_OUTER_BOUND('',#4128,.T.); #2324=FACE_OUTER_BOUND('',#4129,.T.); #2325=FACE_OUTER_BOUND('',#4130,.T.); #2326=FACE_OUTER_BOUND('',#4131,.T.); #2327=FACE_OUTER_BOUND('',#4132,.T.); #2328=FACE_OUTER_BOUND('',#4133,.T.); #2329=FACE_OUTER_BOUND('',#4134,.T.); #2330=FACE_OUTER_BOUND('',#4135,.T.); #2331=FACE_OUTER_BOUND('',#4136,.T.); #2332=FACE_OUTER_BOUND('',#4137,.T.); #2333=FACE_OUTER_BOUND('',#4138,.T.); #2334=FACE_OUTER_BOUND('',#4142,.T.); #2335=FACE_OUTER_BOUND('',#4146,.T.); #2336=FACE_OUTER_BOUND('',#4147,.T.); #2337=FACE_OUTER_BOUND('',#4148,.T.); #2338=FACE_OUTER_BOUND('',#4149,.T.); #2339=FACE_OUTER_BOUND('',#4150,.T.); #2340=FACE_OUTER_BOUND('',#4151,.T.); #2341=FACE_OUTER_BOUND('',#4152,.T.); #2342=FACE_OUTER_BOUND('',#4153,.T.); #2343=FACE_OUTER_BOUND('',#4154,.T.); #2344=FACE_OUTER_BOUND('',#4155,.T.); #2345=FACE_OUTER_BOUND('',#4156,.T.); #2346=FACE_OUTER_BOUND('',#4157,.T.); #2347=FACE_OUTER_BOUND('',#4158,.T.); #2348=FACE_OUTER_BOUND('',#4159,.T.); #2349=FACE_OUTER_BOUND('',#4163,.T.); #2350=FACE_OUTER_BOUND('',#4167,.T.); #2351=FACE_OUTER_BOUND('',#4168,.T.); #2352=FACE_OUTER_BOUND('',#4169,.T.); #2353=FACE_OUTER_BOUND('',#4170,.T.); #2354=FACE_OUTER_BOUND('',#4171,.T.); #2355=FACE_OUTER_BOUND('',#4172,.T.); #2356=FACE_OUTER_BOUND('',#4173,.T.); #2357=FACE_OUTER_BOUND('',#4174,.T.); #2358=FACE_OUTER_BOUND('',#4175,.T.); #2359=FACE_OUTER_BOUND('',#4176,.T.); #2360=FACE_OUTER_BOUND('',#4177,.T.); #2361=FACE_OUTER_BOUND('',#4178,.T.); #2362=FACE_OUTER_BOUND('',#4179,.T.); #2363=FACE_OUTER_BOUND('',#4180,.T.); #2364=FACE_OUTER_BOUND('',#4181,.T.); #2365=FACE_OUTER_BOUND('',#4182,.T.); #2366=FACE_OUTER_BOUND('',#4183,.T.); #2367=FACE_OUTER_BOUND('',#4184,.T.); #2368=FACE_OUTER_BOUND('',#4185,.T.); #2369=FACE_OUTER_BOUND('',#4186,.T.); #2370=FACE_OUTER_BOUND('',#4187,.T.); #2371=FACE_OUTER_BOUND('',#4188,.T.); #2372=FACE_OUTER_BOUND('',#4189,.T.); #2373=FACE_OUTER_BOUND('',#4190,.T.); #2374=FACE_OUTER_BOUND('',#4191,.T.); #2375=FACE_OUTER_BOUND('',#4192,.T.); #2376=FACE_OUTER_BOUND('',#4193,.T.); #2377=FACE_OUTER_BOUND('',#4194,.T.); #2378=FACE_OUTER_BOUND('',#4195,.T.); #2379=FACE_OUTER_BOUND('',#4196,.T.); #2380=FACE_OUTER_BOUND('',#4197,.T.); #2381=FACE_OUTER_BOUND('',#4198,.T.); #2382=FACE_OUTER_BOUND('',#4199,.T.); #2383=FACE_OUTER_BOUND('',#4200,.T.); #2384=FACE_OUTER_BOUND('',#4201,.T.); #2385=FACE_OUTER_BOUND('',#4202,.T.); #2386=FACE_OUTER_BOUND('',#4203,.T.); #2387=FACE_OUTER_BOUND('',#4204,.T.); #2388=FACE_OUTER_BOUND('',#4205,.T.); #2389=FACE_OUTER_BOUND('',#4206,.T.); #2390=FACE_OUTER_BOUND('',#4207,.T.); #2391=FACE_OUTER_BOUND('',#4208,.T.); #2392=FACE_OUTER_BOUND('',#4209,.T.); #2393=FACE_OUTER_BOUND('',#4210,.T.); #2394=FACE_OUTER_BOUND('',#4211,.T.); #2395=FACE_OUTER_BOUND('',#4212,.T.); #2396=FACE_OUTER_BOUND('',#4213,.T.); #2397=FACE_OUTER_BOUND('',#4214,.T.); #2398=FACE_OUTER_BOUND('',#4215,.T.); #2399=FACE_OUTER_BOUND('',#4216,.T.); #2400=FACE_OUTER_BOUND('',#4217,.T.); #2401=FACE_OUTER_BOUND('',#4218,.T.); #2402=FACE_OUTER_BOUND('',#4219,.T.); #2403=FACE_OUTER_BOUND('',#4220,.T.); #2404=FACE_OUTER_BOUND('',#4221,.T.); #2405=FACE_OUTER_BOUND('',#4222,.T.); #2406=FACE_OUTER_BOUND('',#4223,.T.); #2407=FACE_OUTER_BOUND('',#4224,.T.); #2408=FACE_OUTER_BOUND('',#4225,.T.); #2409=FACE_OUTER_BOUND('',#4226,.T.); #2410=FACE_OUTER_BOUND('',#4227,.T.); #2411=FACE_OUTER_BOUND('',#4228,.T.); #2412=FACE_OUTER_BOUND('',#4229,.T.); #2413=FACE_OUTER_BOUND('',#4230,.T.); #2414=FACE_OUTER_BOUND('',#4231,.T.); #2415=FACE_OUTER_BOUND('',#4232,.T.); #2416=FACE_OUTER_BOUND('',#4233,.T.); #2417=FACE_OUTER_BOUND('',#4234,.T.); #2418=FACE_OUTER_BOUND('',#4235,.T.); #2419=FACE_OUTER_BOUND('',#4236,.T.); #2420=FACE_OUTER_BOUND('',#4237,.T.); #2421=FACE_OUTER_BOUND('',#4238,.T.); #2422=FACE_OUTER_BOUND('',#4239,.T.); #2423=FACE_OUTER_BOUND('',#4240,.T.); #2424=FACE_OUTER_BOUND('',#4241,.T.); #2425=FACE_OUTER_BOUND('',#4242,.T.); #2426=FACE_OUTER_BOUND('',#4243,.T.); #2427=FACE_OUTER_BOUND('',#4244,.T.); #2428=FACE_OUTER_BOUND('',#4245,.T.); #2429=FACE_OUTER_BOUND('',#4246,.T.); #2430=FACE_OUTER_BOUND('',#4247,.T.); #2431=FACE_OUTER_BOUND('',#4248,.T.); #2432=FACE_OUTER_BOUND('',#4249,.T.); #2433=FACE_OUTER_BOUND('',#4250,.T.); #2434=FACE_OUTER_BOUND('',#4251,.T.); #2435=FACE_OUTER_BOUND('',#4252,.T.); #2436=FACE_OUTER_BOUND('',#4253,.T.); #2437=FACE_OUTER_BOUND('',#4254,.T.); #2438=FACE_OUTER_BOUND('',#4255,.T.); #2439=FACE_OUTER_BOUND('',#4256,.T.); #2440=FACE_OUTER_BOUND('',#4257,.T.); #2441=FACE_OUTER_BOUND('',#4258,.T.); #2442=FACE_OUTER_BOUND('',#4259,.T.); #2443=FACE_OUTER_BOUND('',#4260,.T.); #2444=FACE_OUTER_BOUND('',#4261,.T.); #2445=FACE_OUTER_BOUND('',#4262,.T.); #2446=FACE_OUTER_BOUND('',#4263,.T.); #2447=FACE_OUTER_BOUND('',#4264,.T.); #2448=FACE_OUTER_BOUND('',#4265,.T.); #2449=FACE_OUTER_BOUND('',#4266,.T.); #2450=FACE_OUTER_BOUND('',#4267,.T.); #2451=FACE_OUTER_BOUND('',#4268,.T.); #2452=FACE_OUTER_BOUND('',#4269,.T.); #2453=FACE_OUTER_BOUND('',#4270,.T.); #2454=FACE_OUTER_BOUND('',#4271,.T.); #2455=FACE_OUTER_BOUND('',#4272,.T.); #2456=FACE_OUTER_BOUND('',#4273,.T.); #2457=FACE_OUTER_BOUND('',#4274,.T.); #2458=FACE_OUTER_BOUND('',#4275,.T.); #2459=FACE_OUTER_BOUND('',#4276,.T.); #2460=FACE_OUTER_BOUND('',#4277,.T.); #2461=FACE_OUTER_BOUND('',#4278,.T.); #2462=FACE_OUTER_BOUND('',#4279,.T.); #2463=FACE_OUTER_BOUND('',#4280,.T.); #2464=FACE_OUTER_BOUND('',#4281,.T.); #2465=FACE_OUTER_BOUND('',#4282,.T.); #2466=FACE_OUTER_BOUND('',#4283,.T.); #2467=FACE_OUTER_BOUND('',#4284,.T.); #2468=FACE_OUTER_BOUND('',#4285,.T.); #2469=FACE_OUTER_BOUND('',#4286,.T.); #2470=FACE_OUTER_BOUND('',#4287,.T.); #2471=FACE_OUTER_BOUND('',#4288,.T.); #2472=FACE_OUTER_BOUND('',#4289,.T.); #2473=FACE_OUTER_BOUND('',#4290,.T.); #2474=FACE_OUTER_BOUND('',#4291,.T.); #2475=FACE_OUTER_BOUND('',#4292,.T.); #2476=FACE_OUTER_BOUND('',#4293,.T.); #2477=FACE_OUTER_BOUND('',#4294,.T.); #2478=FACE_OUTER_BOUND('',#4295,.T.); #2479=FACE_OUTER_BOUND('',#4296,.T.); #2480=FACE_OUTER_BOUND('',#4297,.T.); #2481=FACE_OUTER_BOUND('',#4298,.T.); #2482=FACE_OUTER_BOUND('',#4299,.T.); #2483=FACE_OUTER_BOUND('',#4300,.T.); #2484=FACE_OUTER_BOUND('',#4301,.T.); #2485=FACE_OUTER_BOUND('',#4302,.T.); #2486=FACE_OUTER_BOUND('',#4303,.T.); #2487=FACE_OUTER_BOUND('',#4304,.T.); #2488=FACE_OUTER_BOUND('',#4305,.T.); #2489=FACE_OUTER_BOUND('',#4306,.T.); #2490=FACE_OUTER_BOUND('',#4307,.T.); #2491=FACE_OUTER_BOUND('',#4308,.T.); #2492=FACE_OUTER_BOUND('',#4348,.T.); #2493=FACE_OUTER_BOUND('',#4388,.T.); #2494=FACE_OUTER_BOUND('',#4389,.T.); #2495=FACE_OUTER_BOUND('',#4390,.T.); #2496=FACE_OUTER_BOUND('',#4391,.T.); #2497=FACE_OUTER_BOUND('',#4392,.T.); #2498=FACE_OUTER_BOUND('',#4393,.T.); #2499=FACE_OUTER_BOUND('',#4394,.T.); #2500=FACE_OUTER_BOUND('',#4395,.T.); #2501=FACE_OUTER_BOUND('',#4396,.T.); #2502=FACE_OUTER_BOUND('',#4397,.T.); #2503=FACE_OUTER_BOUND('',#4398,.T.); #2504=FACE_OUTER_BOUND('',#4399,.T.); #2505=FACE_OUTER_BOUND('',#4403,.T.); #2506=FACE_OUTER_BOUND('',#4407,.T.); #2507=FACE_OUTER_BOUND('',#4408,.T.); #2508=FACE_OUTER_BOUND('',#4409,.T.); #2509=FACE_OUTER_BOUND('',#4410,.T.); #2510=FACE_OUTER_BOUND('',#4411,.T.); #2511=FACE_OUTER_BOUND('',#4412,.T.); #2512=FACE_OUTER_BOUND('',#4413,.T.); #2513=FACE_OUTER_BOUND('',#4414,.T.); #2514=FACE_OUTER_BOUND('',#4415,.T.); #2515=FACE_OUTER_BOUND('',#4416,.T.); #2516=FACE_OUTER_BOUND('',#4417,.T.); #2517=FACE_OUTER_BOUND('',#4418,.T.); #2518=FACE_OUTER_BOUND('',#4419,.T.); #2519=FACE_OUTER_BOUND('',#4420,.T.); #2520=FACE_OUTER_BOUND('',#4421,.T.); #2521=FACE_OUTER_BOUND('',#4424,.T.); #2522=FACE_OUTER_BOUND('',#4427,.T.); #2523=FACE_OUTER_BOUND('',#4428,.T.); #2524=FACE_OUTER_BOUND('',#4429,.T.); #2525=FACE_OUTER_BOUND('',#4430,.T.); #2526=FACE_OUTER_BOUND('',#4431,.T.); #2527=FACE_OUTER_BOUND('',#4432,.T.); #2528=FACE_OUTER_BOUND('',#4433,.T.); #2529=FACE_OUTER_BOUND('',#4434,.T.); #2530=FACE_OUTER_BOUND('',#4435,.T.); #2531=FACE_OUTER_BOUND('',#4436,.T.); #2532=FACE_OUTER_BOUND('',#4437,.T.); #2533=FACE_OUTER_BOUND('',#4438,.T.); #2534=FACE_OUTER_BOUND('',#4439,.T.); #2535=FACE_OUTER_BOUND('',#4440,.T.); #2536=FACE_OUTER_BOUND('',#4441,.T.); #2537=FACE_OUTER_BOUND('',#4444,.T.); #2538=FACE_OUTER_BOUND('',#4447,.T.); #2539=FACE_OUTER_BOUND('',#4448,.T.); #2540=FACE_OUTER_BOUND('',#4449,.T.); #2541=FACE_OUTER_BOUND('',#4450,.T.); #2542=FACE_OUTER_BOUND('',#4451,.T.); #2543=FACE_OUTER_BOUND('',#4452,.T.); #2544=FACE_OUTER_BOUND('',#4453,.T.); #2545=FACE_OUTER_BOUND('',#4454,.T.); #2546=FACE_OUTER_BOUND('',#4455,.T.); #2547=FACE_OUTER_BOUND('',#4456,.T.); #2548=FACE_OUTER_BOUND('',#4457,.T.); #2549=FACE_OUTER_BOUND('',#4458,.T.); #2550=FACE_OUTER_BOUND('',#4459,.T.); #2551=FACE_OUTER_BOUND('',#4460,.T.); #2552=FACE_OUTER_BOUND('',#4461,.T.); #2553=FACE_OUTER_BOUND('',#4464,.T.); #2554=FACE_OUTER_BOUND('',#4467,.T.); #2555=FACE_OUTER_BOUND('',#4468,.T.); #2556=FACE_OUTER_BOUND('',#4469,.T.); #2557=FACE_OUTER_BOUND('',#4470,.T.); #2558=FACE_OUTER_BOUND('',#4471,.T.); #2559=FACE_OUTER_BOUND('',#4472,.T.); #2560=FACE_OUTER_BOUND('',#4473,.T.); #2561=FACE_OUTER_BOUND('',#4474,.T.); #2562=FACE_OUTER_BOUND('',#4475,.T.); #2563=FACE_OUTER_BOUND('',#4476,.T.); #2564=FACE_OUTER_BOUND('',#4477,.T.); #2565=FACE_OUTER_BOUND('',#4478,.T.); #2566=FACE_OUTER_BOUND('',#4479,.T.); #2567=FACE_OUTER_BOUND('',#4480,.T.); #2568=FACE_OUTER_BOUND('',#4481,.T.); #2569=FACE_OUTER_BOUND('',#4484,.T.); #2570=FACE_OUTER_BOUND('',#4487,.T.); #2571=FACE_OUTER_BOUND('',#4488,.T.); #2572=FACE_OUTER_BOUND('',#4489,.T.); #2573=FACE_OUTER_BOUND('',#4490,.T.); #2574=FACE_OUTER_BOUND('',#4491,.T.); #2575=FACE_OUTER_BOUND('',#4492,.T.); #2576=FACE_OUTER_BOUND('',#4493,.T.); #2577=FACE_OUTER_BOUND('',#4494,.T.); #2578=FACE_OUTER_BOUND('',#4495,.T.); #2579=FACE_OUTER_BOUND('',#4496,.T.); #2580=FACE_OUTER_BOUND('',#4497,.T.); #2581=FACE_OUTER_BOUND('',#4498,.T.); #2582=FACE_OUTER_BOUND('',#4499,.T.); #2583=FACE_OUTER_BOUND('',#4500,.T.); #2584=FACE_OUTER_BOUND('',#4501,.T.); #2585=FACE_OUTER_BOUND('',#4504,.T.); #2586=FACE_OUTER_BOUND('',#4507,.T.); #2587=FACE_OUTER_BOUND('',#4508,.T.); #2588=FACE_OUTER_BOUND('',#4509,.T.); #2589=FACE_OUTER_BOUND('',#4510,.T.); #2590=FACE_OUTER_BOUND('',#4511,.T.); #2591=FACE_OUTER_BOUND('',#4512,.T.); #2592=FACE_OUTER_BOUND('',#4513,.T.); #2593=FACE_OUTER_BOUND('',#4514,.T.); #2594=FACE_OUTER_BOUND('',#4515,.T.); #2595=FACE_OUTER_BOUND('',#4516,.T.); #2596=FACE_OUTER_BOUND('',#4517,.T.); #2597=FACE_OUTER_BOUND('',#4518,.T.); #2598=FACE_OUTER_BOUND('',#4519,.T.); #2599=FACE_OUTER_BOUND('',#4520,.T.); #2600=FACE_OUTER_BOUND('',#4521,.T.); #2601=FACE_OUTER_BOUND('',#4524,.T.); #2602=FACE_OUTER_BOUND('',#4527,.T.); #2603=FACE_OUTER_BOUND('',#4528,.T.); #2604=FACE_OUTER_BOUND('',#4529,.T.); #2605=FACE_OUTER_BOUND('',#4530,.T.); #2606=FACE_OUTER_BOUND('',#4531,.T.); #2607=FACE_OUTER_BOUND('',#4532,.T.); #2608=FACE_OUTER_BOUND('',#4533,.T.); #2609=FACE_OUTER_BOUND('',#4534,.T.); #2610=FACE_OUTER_BOUND('',#4535,.T.); #2611=FACE_OUTER_BOUND('',#4536,.T.); #2612=FACE_OUTER_BOUND('',#4537,.T.); #2613=FACE_OUTER_BOUND('',#4538,.T.); #2614=FACE_OUTER_BOUND('',#4539,.T.); #2615=FACE_OUTER_BOUND('',#4540,.T.); #2616=FACE_OUTER_BOUND('',#4541,.T.); #2617=FACE_OUTER_BOUND('',#4542,.T.); #2618=FACE_OUTER_BOUND('',#4543,.T.); #2619=FACE_OUTER_BOUND('',#4544,.T.); #2620=FACE_OUTER_BOUND('',#4545,.T.); #2621=FACE_OUTER_BOUND('',#4546,.T.); #2622=FACE_OUTER_BOUND('',#4547,.T.); #2623=FACE_OUTER_BOUND('',#4548,.T.); #2624=FACE_OUTER_BOUND('',#4549,.T.); #2625=FACE_OUTER_BOUND('',#4550,.T.); #2626=FACE_OUTER_BOUND('',#4551,.T.); #2627=FACE_OUTER_BOUND('',#4552,.T.); #2628=FACE_OUTER_BOUND('',#4553,.T.); #2629=FACE_OUTER_BOUND('',#4554,.T.); #2630=FACE_OUTER_BOUND('',#4555,.T.); #2631=FACE_OUTER_BOUND('',#4556,.T.); #2632=FACE_OUTER_BOUND('',#4557,.T.); #2633=FACE_OUTER_BOUND('',#4558,.T.); #2634=FACE_OUTER_BOUND('',#4559,.T.); #2635=FACE_OUTER_BOUND('',#4560,.T.); #2636=FACE_OUTER_BOUND('',#4561,.T.); #2637=FACE_OUTER_BOUND('',#4562,.T.); #2638=FACE_OUTER_BOUND('',#4563,.T.); #2639=FACE_OUTER_BOUND('',#4564,.T.); #2640=FACE_OUTER_BOUND('',#4565,.T.); #2641=FACE_OUTER_BOUND('',#4566,.T.); #2642=FACE_OUTER_BOUND('',#4567,.T.); #2643=FACE_OUTER_BOUND('',#4568,.T.); #2644=FACE_OUTER_BOUND('',#4569,.T.); #2645=FACE_OUTER_BOUND('',#4570,.T.); #2646=FACE_OUTER_BOUND('',#4571,.T.); #2647=FACE_OUTER_BOUND('',#4572,.T.); #2648=FACE_OUTER_BOUND('',#4573,.T.); #2649=FACE_OUTER_BOUND('',#4574,.T.); #2650=FACE_OUTER_BOUND('',#4575,.T.); #2651=FACE_OUTER_BOUND('',#4576,.T.); #2652=FACE_OUTER_BOUND('',#4577,.T.); #2653=FACE_OUTER_BOUND('',#4578,.T.); #2654=FACE_OUTER_BOUND('',#4579,.T.); #2655=FACE_OUTER_BOUND('',#4580,.T.); #2656=FACE_OUTER_BOUND('',#4581,.T.); #2657=FACE_OUTER_BOUND('',#4582,.T.); #2658=FACE_OUTER_BOUND('',#4583,.T.); #2659=FACE_OUTER_BOUND('',#4584,.T.); #2660=FACE_OUTER_BOUND('',#4585,.T.); #2661=FACE_OUTER_BOUND('',#4586,.T.); #2662=FACE_OUTER_BOUND('',#4587,.T.); #2663=FACE_OUTER_BOUND('',#4588,.T.); #2664=FACE_OUTER_BOUND('',#4589,.T.); #2665=FACE_OUTER_BOUND('',#4590,.T.); #2666=FACE_OUTER_BOUND('',#4591,.T.); #2667=FACE_OUTER_BOUND('',#4592,.T.); #2668=FACE_OUTER_BOUND('',#4593,.T.); #2669=FACE_OUTER_BOUND('',#4594,.T.); #2670=FACE_OUTER_BOUND('',#4595,.T.); #2671=FACE_OUTER_BOUND('',#4596,.T.); #2672=FACE_OUTER_BOUND('',#4597,.T.); #2673=FACE_OUTER_BOUND('',#4598,.T.); #2674=FACE_OUTER_BOUND('',#4599,.T.); #2675=FACE_OUTER_BOUND('',#4600,.T.); #2676=FACE_OUTER_BOUND('',#4601,.T.); #2677=FACE_OUTER_BOUND('',#4602,.T.); #2678=FACE_OUTER_BOUND('',#4603,.T.); #2679=FACE_OUTER_BOUND('',#4604,.T.); #2680=FACE_OUTER_BOUND('',#4605,.T.); #2681=FACE_OUTER_BOUND('',#4606,.T.); #2682=FACE_OUTER_BOUND('',#4607,.T.); #2683=FACE_OUTER_BOUND('',#4608,.T.); #2684=FACE_OUTER_BOUND('',#4609,.T.); #2685=FACE_OUTER_BOUND('',#4610,.T.); #2686=FACE_OUTER_BOUND('',#4611,.T.); #2687=FACE_OUTER_BOUND('',#4612,.T.); #2688=FACE_OUTER_BOUND('',#4613,.T.); #2689=FACE_OUTER_BOUND('',#4614,.T.); #2690=FACE_OUTER_BOUND('',#4615,.T.); #2691=FACE_OUTER_BOUND('',#4616,.T.); #2692=FACE_OUTER_BOUND('',#4617,.T.); #2693=FACE_OUTER_BOUND('',#4618,.T.); #2694=FACE_OUTER_BOUND('',#4619,.T.); #2695=FACE_OUTER_BOUND('',#4620,.T.); #2696=FACE_OUTER_BOUND('',#4621,.T.); #2697=FACE_OUTER_BOUND('',#4622,.T.); #2698=FACE_OUTER_BOUND('',#4623,.T.); #2699=FACE_OUTER_BOUND('',#4624,.T.); #2700=FACE_OUTER_BOUND('',#4625,.T.); #2701=FACE_OUTER_BOUND('',#4626,.T.); #2702=FACE_OUTER_BOUND('',#4627,.T.); #2703=FACE_OUTER_BOUND('',#4628,.T.); #2704=FACE_OUTER_BOUND('',#4629,.T.); #2705=FACE_OUTER_BOUND('',#4630,.T.); #2706=FACE_OUTER_BOUND('',#4631,.T.); #2707=FACE_OUTER_BOUND('',#4632,.T.); #2708=FACE_OUTER_BOUND('',#4633,.T.); #2709=FACE_OUTER_BOUND('',#4634,.T.); #2710=FACE_OUTER_BOUND('',#4635,.T.); #2711=FACE_OUTER_BOUND('',#4636,.T.); #2712=FACE_OUTER_BOUND('',#4637,.T.); #2713=FACE_OUTER_BOUND('',#4638,.T.); #2714=FACE_OUTER_BOUND('',#4639,.T.); #2715=FACE_OUTER_BOUND('',#4640,.T.); #2716=FACE_OUTER_BOUND('',#4641,.T.); #2717=FACE_OUTER_BOUND('',#4642,.T.); #2718=FACE_OUTER_BOUND('',#4643,.T.); #2719=FACE_OUTER_BOUND('',#4644,.T.); #2720=FACE_OUTER_BOUND('',#4645,.T.); #2721=FACE_OUTER_BOUND('',#4646,.T.); #2722=FACE_OUTER_BOUND('',#4647,.T.); #2723=FACE_OUTER_BOUND('',#4648,.T.); #2724=FACE_OUTER_BOUND('',#4649,.T.); #2725=FACE_OUTER_BOUND('',#4650,.T.); #2726=FACE_OUTER_BOUND('',#4651,.T.); #2727=FACE_OUTER_BOUND('',#4652,.T.); #2728=FACE_OUTER_BOUND('',#4653,.T.); #2729=FACE_OUTER_BOUND('',#4654,.T.); #2730=FACE_OUTER_BOUND('',#4655,.T.); #2731=FACE_OUTER_BOUND('',#4656,.T.); #2732=FACE_OUTER_BOUND('',#4657,.T.); #2733=FACE_OUTER_BOUND('',#4658,.T.); #2734=FACE_OUTER_BOUND('',#4659,.T.); #2735=FACE_OUTER_BOUND('',#4660,.T.); #2736=FACE_OUTER_BOUND('',#4661,.T.); #2737=FACE_OUTER_BOUND('',#4662,.T.); #2738=FACE_OUTER_BOUND('',#4663,.T.); #2739=FACE_OUTER_BOUND('',#4664,.T.); #2740=FACE_OUTER_BOUND('',#4665,.T.); #2741=FACE_OUTER_BOUND('',#4666,.T.); #2742=FACE_OUTER_BOUND('',#4667,.T.); #2743=FACE_OUTER_BOUND('',#4668,.T.); #2744=FACE_OUTER_BOUND('',#4669,.T.); #2745=FACE_OUTER_BOUND('',#4670,.T.); #2746=FACE_OUTER_BOUND('',#4671,.T.); #2747=FACE_OUTER_BOUND('',#4672,.T.); #2748=FACE_OUTER_BOUND('',#4673,.T.); #2749=FACE_OUTER_BOUND('',#4674,.T.); #2750=FACE_OUTER_BOUND('',#4675,.T.); #2751=FACE_OUTER_BOUND('',#4676,.T.); #2752=FACE_OUTER_BOUND('',#4677,.T.); #2753=FACE_OUTER_BOUND('',#4678,.T.); #2754=FACE_OUTER_BOUND('',#4679,.T.); #2755=FACE_OUTER_BOUND('',#4680,.T.); #2756=FACE_OUTER_BOUND('',#4681,.T.); #2757=FACE_OUTER_BOUND('',#4682,.T.); #2758=FACE_OUTER_BOUND('',#4683,.T.); #2759=FACE_OUTER_BOUND('',#4684,.T.); #2760=FACE_OUTER_BOUND('',#4685,.T.); #2761=FACE_OUTER_BOUND('',#4686,.T.); #2762=FACE_OUTER_BOUND('',#4687,.T.); #2763=FACE_OUTER_BOUND('',#4688,.T.); #2764=FACE_OUTER_BOUND('',#4689,.T.); #2765=FACE_OUTER_BOUND('',#4708,.T.); #2766=FACE_OUTER_BOUND('',#4727,.T.); #2767=FACE_OUTER_BOUND('',#4728,.T.); #2768=FACE_OUTER_BOUND('',#4729,.T.); #2769=FACE_OUTER_BOUND('',#4730,.T.); #2770=FACE_OUTER_BOUND('',#4731,.T.); #2771=FACE_OUTER_BOUND('',#4732,.T.); #2772=FACE_OUTER_BOUND('',#4733,.T.); #2773=FACE_OUTER_BOUND('',#4734,.T.); #2774=FACE_OUTER_BOUND('',#4735,.T.); #2775=FACE_OUTER_BOUND('',#4736,.T.); #2776=FACE_OUTER_BOUND('',#4737,.T.); #2777=FACE_OUTER_BOUND('',#4738,.T.); #2778=FACE_OUTER_BOUND('',#4739,.T.); #2779=FACE_OUTER_BOUND('',#4740,.T.); #2780=FACE_OUTER_BOUND('',#4741,.T.); #2781=FACE_OUTER_BOUND('',#4742,.T.); #2782=FACE_OUTER_BOUND('',#4743,.T.); #2783=FACE_OUTER_BOUND('',#4744,.T.); #2784=FACE_OUTER_BOUND('',#4745,.T.); #2785=FACE_OUTER_BOUND('',#4746,.T.); #2786=FACE_OUTER_BOUND('',#4747,.T.); #2787=FACE_OUTER_BOUND('',#4748,.T.); #2788=FACE_OUTER_BOUND('',#4749,.T.); #2789=FACE_OUTER_BOUND('',#4750,.T.); #2790=FACE_OUTER_BOUND('',#4751,.T.); #2791=FACE_OUTER_BOUND('',#4752,.T.); #2792=FACE_OUTER_BOUND('',#4753,.T.); #2793=FACE_OUTER_BOUND('',#4754,.T.); #2794=FACE_OUTER_BOUND('',#4755,.T.); #2795=FACE_OUTER_BOUND('',#4756,.T.); #2796=FACE_OUTER_BOUND('',#4757,.T.); #2797=FACE_OUTER_BOUND('',#4758,.T.); #2798=FACE_OUTER_BOUND('',#4759,.T.); #2799=FACE_OUTER_BOUND('',#4760,.T.); #2800=FACE_OUTER_BOUND('',#4761,.T.); #2801=FACE_OUTER_BOUND('',#4762,.T.); #2802=FACE_OUTER_BOUND('',#4763,.T.); #2803=FACE_OUTER_BOUND('',#4764,.T.); #2804=FACE_OUTER_BOUND('',#4765,.T.); #2805=FACE_OUTER_BOUND('',#4766,.T.); #2806=FACE_OUTER_BOUND('',#4767,.T.); #2807=FACE_OUTER_BOUND('',#4768,.T.); #2808=FACE_OUTER_BOUND('',#4769,.T.); #2809=FACE_OUTER_BOUND('',#4770,.T.); #2810=FACE_OUTER_BOUND('',#4771,.T.); #2811=FACE_OUTER_BOUND('',#4772,.T.); #2812=FACE_OUTER_BOUND('',#4773,.T.); #2813=FACE_OUTER_BOUND('',#4774,.T.); #2814=FACE_OUTER_BOUND('',#4775,.T.); #2815=FACE_OUTER_BOUND('',#4776,.T.); #2816=FACE_OUTER_BOUND('',#4777,.T.); #2817=FACE_OUTER_BOUND('',#4778,.T.); #2818=FACE_OUTER_BOUND('',#4779,.T.); #2819=FACE_OUTER_BOUND('',#4780,.T.); #2820=FACE_OUTER_BOUND('',#4781,.T.); #2821=FACE_OUTER_BOUND('',#4782,.T.); #2822=FACE_OUTER_BOUND('',#4783,.T.); #2823=FACE_OUTER_BOUND('',#4784,.T.); #2824=FACE_OUTER_BOUND('',#4785,.T.); #2825=FACE_OUTER_BOUND('',#4786,.T.); #2826=FACE_OUTER_BOUND('',#4787,.T.); #2827=FACE_OUTER_BOUND('',#4788,.T.); #2828=FACE_OUTER_BOUND('',#4789,.T.); #2829=FACE_OUTER_BOUND('',#4790,.T.); #2830=FACE_OUTER_BOUND('',#4791,.T.); #2831=FACE_OUTER_BOUND('',#4792,.T.); #2832=FACE_OUTER_BOUND('',#4793,.T.); #2833=FACE_OUTER_BOUND('',#4794,.T.); #2834=FACE_OUTER_BOUND('',#4795,.T.); #2835=FACE_OUTER_BOUND('',#4796,.T.); #2836=FACE_OUTER_BOUND('',#4797,.T.); #2837=FACE_OUTER_BOUND('',#4798,.T.); #2838=FACE_OUTER_BOUND('',#4799,.T.); #2839=FACE_OUTER_BOUND('',#4800,.T.); #2840=FACE_OUTER_BOUND('',#4801,.T.); #2841=FACE_OUTER_BOUND('',#4802,.T.); #2842=FACE_OUTER_BOUND('',#4803,.T.); #2843=FACE_OUTER_BOUND('',#4804,.T.); #2844=FACE_OUTER_BOUND('',#4805,.T.); #2845=FACE_OUTER_BOUND('',#4806,.T.); #2846=FACE_OUTER_BOUND('',#4807,.T.); #2847=FACE_OUTER_BOUND('',#4808,.T.); #2848=FACE_OUTER_BOUND('',#4809,.T.); #2849=FACE_OUTER_BOUND('',#4810,.T.); #2850=FACE_OUTER_BOUND('',#4811,.T.); #2851=FACE_OUTER_BOUND('',#4812,.T.); #2852=FACE_OUTER_BOUND('',#4813,.T.); #2853=FACE_OUTER_BOUND('',#4814,.T.); #2854=FACE_OUTER_BOUND('',#4815,.T.); #2855=FACE_OUTER_BOUND('',#4816,.T.); #2856=FACE_OUTER_BOUND('',#4817,.T.); #2857=FACE_OUTER_BOUND('',#4818,.T.); #2858=FACE_OUTER_BOUND('',#4819,.T.); #2859=FACE_OUTER_BOUND('',#4820,.T.); #2860=FACE_OUTER_BOUND('',#4821,.T.); #2861=FACE_OUTER_BOUND('',#4822,.T.); #2862=FACE_OUTER_BOUND('',#4823,.T.); #2863=FACE_OUTER_BOUND('',#4824,.T.); #2864=FACE_OUTER_BOUND('',#4825,.T.); #2865=FACE_OUTER_BOUND('',#4826,.T.); #2866=FACE_OUTER_BOUND('',#4827,.T.); #2867=FACE_OUTER_BOUND('',#4828,.T.); #2868=FACE_OUTER_BOUND('',#4829,.T.); #2869=FACE_OUTER_BOUND('',#4830,.T.); #2870=FACE_OUTER_BOUND('',#4831,.T.); #2871=FACE_OUTER_BOUND('',#4832,.T.); #2872=FACE_OUTER_BOUND('',#4833,.T.); #2873=FACE_OUTER_BOUND('',#4834,.T.); #2874=FACE_OUTER_BOUND('',#4835,.T.); #2875=FACE_OUTER_BOUND('',#4836,.T.); #2876=FACE_OUTER_BOUND('',#4837,.T.); #2877=FACE_OUTER_BOUND('',#4838,.T.); #2878=FACE_OUTER_BOUND('',#4839,.T.); #2879=FACE_OUTER_BOUND('',#4840,.T.); #2880=FACE_OUTER_BOUND('',#4841,.T.); #2881=FACE_OUTER_BOUND('',#4842,.T.); #2882=FACE_OUTER_BOUND('',#4843,.T.); #2883=FACE_OUTER_BOUND('',#4844,.T.); #2884=FACE_OUTER_BOUND('',#4845,.T.); #2885=FACE_OUTER_BOUND('',#4846,.T.); #2886=FACE_OUTER_BOUND('',#4847,.T.); #2887=FACE_OUTER_BOUND('',#4848,.T.); #2888=FACE_OUTER_BOUND('',#4849,.T.); #2889=FACE_OUTER_BOUND('',#4850,.T.); #2890=FACE_OUTER_BOUND('',#4851,.T.); #2891=FACE_OUTER_BOUND('',#4852,.T.); #2892=FACE_OUTER_BOUND('',#4853,.T.); #2893=FACE_OUTER_BOUND('',#4854,.T.); #2894=FACE_OUTER_BOUND('',#4855,.T.); #2895=FACE_OUTER_BOUND('',#4856,.T.); #2896=FACE_OUTER_BOUND('',#4857,.T.); #2897=FACE_OUTER_BOUND('',#4858,.T.); #2898=FACE_OUTER_BOUND('',#4859,.T.); #2899=FACE_OUTER_BOUND('',#4860,.T.); #2900=FACE_OUTER_BOUND('',#4861,.T.); #2901=FACE_OUTER_BOUND('',#4862,.T.); #2902=FACE_OUTER_BOUND('',#4863,.T.); #2903=FACE_OUTER_BOUND('',#4864,.T.); #2904=FACE_OUTER_BOUND('',#4865,.T.); #2905=FACE_OUTER_BOUND('',#4866,.T.); #2906=FACE_OUTER_BOUND('',#4867,.T.); #2907=FACE_OUTER_BOUND('',#4868,.T.); #2908=FACE_OUTER_BOUND('',#4869,.T.); #2909=FACE_OUTER_BOUND('',#4870,.T.); #2910=FACE_OUTER_BOUND('',#4871,.T.); #2911=FACE_OUTER_BOUND('',#4872,.T.); #2912=FACE_OUTER_BOUND('',#4873,.T.); #2913=FACE_OUTER_BOUND('',#4874,.T.); #2914=FACE_OUTER_BOUND('',#4875,.T.); #2915=FACE_OUTER_BOUND('',#4876,.T.); #2916=FACE_OUTER_BOUND('',#4877,.T.); #2917=FACE_OUTER_BOUND('',#4878,.T.); #2918=FACE_OUTER_BOUND('',#4879,.T.); #2919=FACE_OUTER_BOUND('',#4880,.T.); #2920=FACE_OUTER_BOUND('',#4881,.T.); #2921=FACE_OUTER_BOUND('',#4882,.T.); #2922=FACE_OUTER_BOUND('',#4883,.T.); #2923=FACE_OUTER_BOUND('',#4884,.T.); #2924=FACE_OUTER_BOUND('',#4885,.T.); #2925=FACE_OUTER_BOUND('',#4886,.T.); #2926=FACE_OUTER_BOUND('',#4887,.T.); #2927=FACE_OUTER_BOUND('',#4888,.T.); #2928=FACE_OUTER_BOUND('',#4889,.T.); #2929=FACE_OUTER_BOUND('',#4908,.T.); #2930=FACE_OUTER_BOUND('',#4927,.T.); #2931=FACE_OUTER_BOUND('',#4928,.T.); #2932=FACE_OUTER_BOUND('',#4929,.T.); #2933=FACE_OUTER_BOUND('',#4930,.T.); #2934=FACE_OUTER_BOUND('',#4931,.T.); #2935=FACE_OUTER_BOUND('',#4932,.T.); #2936=FACE_OUTER_BOUND('',#4933,.T.); #2937=FACE_OUTER_BOUND('',#4934,.T.); #2938=FACE_OUTER_BOUND('',#4935,.T.); #2939=FACE_OUTER_BOUND('',#4936,.T.); #2940=FACE_OUTER_BOUND('',#4937,.T.); #2941=FACE_OUTER_BOUND('',#4938,.T.); #2942=FACE_OUTER_BOUND('',#4939,.T.); #2943=FACE_OUTER_BOUND('',#4940,.T.); #2944=FACE_OUTER_BOUND('',#4941,.T.); #2945=FACE_OUTER_BOUND('',#4942,.T.); #2946=FACE_OUTER_BOUND('',#4943,.T.); #2947=FACE_OUTER_BOUND('',#4944,.T.); #2948=FACE_OUTER_BOUND('',#4945,.T.); #2949=FACE_OUTER_BOUND('',#4946,.T.); #2950=FACE_OUTER_BOUND('',#4947,.T.); #2951=FACE_OUTER_BOUND('',#4948,.T.); #2952=FACE_OUTER_BOUND('',#4949,.T.); #2953=FACE_OUTER_BOUND('',#4950,.T.); #2954=FACE_OUTER_BOUND('',#4951,.T.); #2955=FACE_OUTER_BOUND('',#4952,.T.); #2956=FACE_OUTER_BOUND('',#4953,.T.); #2957=FACE_OUTER_BOUND('',#4954,.T.); #2958=FACE_OUTER_BOUND('',#4955,.T.); #2959=FACE_OUTER_BOUND('',#4956,.T.); #2960=FACE_OUTER_BOUND('',#4957,.T.); #2961=FACE_OUTER_BOUND('',#4958,.T.); #2962=FACE_OUTER_BOUND('',#4959,.T.); #2963=FACE_OUTER_BOUND('',#4960,.T.); #2964=FACE_OUTER_BOUND('',#4961,.T.); #2965=FACE_OUTER_BOUND('',#4962,.T.); #2966=FACE_OUTER_BOUND('',#4963,.T.); #2967=FACE_OUTER_BOUND('',#4964,.T.); #2968=FACE_OUTER_BOUND('',#4965,.T.); #2969=FACE_OUTER_BOUND('',#4966,.T.); #2970=FACE_OUTER_BOUND('',#4967,.T.); #2971=FACE_OUTER_BOUND('',#4968,.T.); #2972=FACE_OUTER_BOUND('',#4969,.T.); #2973=FACE_OUTER_BOUND('',#4970,.T.); #2974=FACE_OUTER_BOUND('',#4971,.T.); #2975=FACE_OUTER_BOUND('',#4972,.T.); #2976=FACE_OUTER_BOUND('',#4973,.T.); #2977=FACE_OUTER_BOUND('',#4974,.T.); #2978=FACE_OUTER_BOUND('',#4975,.T.); #2979=FACE_OUTER_BOUND('',#4976,.T.); #2980=FACE_OUTER_BOUND('',#4977,.T.); #2981=FACE_OUTER_BOUND('',#4978,.T.); #2982=FACE_OUTER_BOUND('',#4979,.T.); #2983=FACE_OUTER_BOUND('',#4980,.T.); #2984=FACE_OUTER_BOUND('',#4981,.T.); #2985=FACE_OUTER_BOUND('',#4982,.T.); #2986=FACE_OUTER_BOUND('',#4983,.T.); #2987=FACE_OUTER_BOUND('',#4984,.T.); #2988=FACE_OUTER_BOUND('',#4985,.T.); #2989=FACE_OUTER_BOUND('',#4986,.T.); #2990=FACE_OUTER_BOUND('',#4987,.T.); #2991=FACE_OUTER_BOUND('',#4988,.T.); #2992=FACE_OUTER_BOUND('',#4989,.T.); #2993=FACE_OUTER_BOUND('',#4990,.T.); #2994=FACE_OUTER_BOUND('',#4991,.T.); #2995=FACE_OUTER_BOUND('',#4992,.T.); #2996=FACE_OUTER_BOUND('',#4993,.T.); #2997=FACE_OUTER_BOUND('',#4994,.T.); #2998=FACE_OUTER_BOUND('',#4995,.T.); #2999=FACE_OUTER_BOUND('',#4996,.T.); #3000=FACE_OUTER_BOUND('',#4997,.T.); #3001=FACE_OUTER_BOUND('',#4998,.T.); #3002=FACE_OUTER_BOUND('',#4999,.T.); #3003=FACE_OUTER_BOUND('',#5000,.T.); #3004=FACE_OUTER_BOUND('',#5001,.T.); #3005=FACE_OUTER_BOUND('',#5002,.T.); #3006=FACE_OUTER_BOUND('',#5003,.T.); #3007=FACE_OUTER_BOUND('',#5004,.T.); #3008=FACE_OUTER_BOUND('',#5005,.T.); #3009=FACE_OUTER_BOUND('',#5006,.T.); #3010=FACE_OUTER_BOUND('',#5007,.T.); #3011=FACE_OUTER_BOUND('',#5008,.T.); #3012=FACE_OUTER_BOUND('',#5009,.T.); #3013=FACE_OUTER_BOUND('',#5010,.T.); #3014=FACE_OUTER_BOUND('',#5011,.T.); #3015=FACE_OUTER_BOUND('',#5012,.T.); #3016=FACE_OUTER_BOUND('',#5013,.T.); #3017=FACE_OUTER_BOUND('',#5014,.T.); #3018=FACE_OUTER_BOUND('',#5015,.T.); #3019=FACE_OUTER_BOUND('',#5016,.T.); #3020=FACE_OUTER_BOUND('',#5017,.T.); #3021=FACE_OUTER_BOUND('',#5018,.T.); #3022=FACE_OUTER_BOUND('',#5019,.T.); #3023=FACE_OUTER_BOUND('',#5020,.T.); #3024=FACE_OUTER_BOUND('',#5021,.T.); #3025=FACE_OUTER_BOUND('',#5022,.T.); #3026=FACE_OUTER_BOUND('',#5023,.T.); #3027=FACE_OUTER_BOUND('',#5024,.T.); #3028=FACE_OUTER_BOUND('',#5025,.T.); #3029=FACE_OUTER_BOUND('',#5026,.T.); #3030=FACE_OUTER_BOUND('',#5027,.T.); #3031=FACE_OUTER_BOUND('',#5028,.T.); #3032=FACE_OUTER_BOUND('',#5029,.T.); #3033=FACE_OUTER_BOUND('',#5030,.T.); #3034=FACE_OUTER_BOUND('',#5031,.T.); #3035=FACE_OUTER_BOUND('',#5032,.T.); #3036=FACE_OUTER_BOUND('',#5033,.T.); #3037=FACE_OUTER_BOUND('',#5034,.T.); #3038=FACE_OUTER_BOUND('',#5035,.T.); #3039=FACE_OUTER_BOUND('',#5036,.T.); #3040=FACE_OUTER_BOUND('',#5037,.T.); #3041=FACE_OUTER_BOUND('',#5038,.T.); #3042=FACE_OUTER_BOUND('',#5039,.T.); #3043=FACE_OUTER_BOUND('',#5040,.T.); #3044=FACE_OUTER_BOUND('',#5041,.T.); #3045=FACE_OUTER_BOUND('',#5042,.T.); #3046=FACE_OUTER_BOUND('',#5043,.T.); #3047=FACE_OUTER_BOUND('',#5044,.T.); #3048=FACE_OUTER_BOUND('',#5045,.T.); #3049=FACE_OUTER_BOUND('',#5046,.T.); #3050=FACE_OUTER_BOUND('',#5047,.T.); #3051=FACE_OUTER_BOUND('',#5048,.T.); #3052=FACE_OUTER_BOUND('',#5049,.T.); #3053=FACE_OUTER_BOUND('',#5050,.T.); #3054=FACE_OUTER_BOUND('',#5051,.T.); #3055=FACE_OUTER_BOUND('',#5052,.T.); #3056=FACE_OUTER_BOUND('',#5053,.T.); #3057=FACE_OUTER_BOUND('',#5054,.T.); #3058=FACE_OUTER_BOUND('',#5055,.T.); #3059=FACE_OUTER_BOUND('',#5056,.T.); #3060=FACE_OUTER_BOUND('',#5057,.T.); #3061=FACE_OUTER_BOUND('',#5058,.T.); #3062=FACE_OUTER_BOUND('',#5059,.T.); #3063=FACE_OUTER_BOUND('',#5060,.T.); #3064=FACE_OUTER_BOUND('',#5061,.T.); #3065=FACE_OUTER_BOUND('',#5062,.T.); #3066=FACE_OUTER_BOUND('',#5063,.T.); #3067=FACE_OUTER_BOUND('',#5064,.T.); #3068=FACE_OUTER_BOUND('',#5065,.T.); #3069=FACE_OUTER_BOUND('',#5066,.T.); #3070=FACE_OUTER_BOUND('',#5067,.T.); #3071=FACE_OUTER_BOUND('',#5068,.T.); #3072=FACE_OUTER_BOUND('',#5069,.T.); #3073=FACE_OUTER_BOUND('',#5070,.T.); #3074=FACE_OUTER_BOUND('',#5071,.T.); #3075=FACE_OUTER_BOUND('',#5072,.T.); #3076=FACE_OUTER_BOUND('',#5073,.T.); #3077=FACE_OUTER_BOUND('',#5074,.T.); #3078=FACE_OUTER_BOUND('',#5075,.T.); #3079=FACE_OUTER_BOUND('',#5076,.T.); #3080=FACE_OUTER_BOUND('',#5077,.T.); #3081=FACE_OUTER_BOUND('',#5078,.T.); #3082=FACE_OUTER_BOUND('',#5079,.T.); #3083=FACE_OUTER_BOUND('',#5080,.T.); #3084=FACE_OUTER_BOUND('',#5081,.T.); #3085=FACE_OUTER_BOUND('',#5082,.T.); #3086=FACE_OUTER_BOUND('',#5083,.T.); #3087=FACE_OUTER_BOUND('',#5084,.T.); #3088=FACE_OUTER_BOUND('',#5085,.T.); #3089=FACE_OUTER_BOUND('',#5086,.T.); #3090=FACE_OUTER_BOUND('',#5087,.T.); #3091=FACE_OUTER_BOUND('',#5088,.T.); #3092=FACE_OUTER_BOUND('',#5089,.T.); #3093=FACE_OUTER_BOUND('',#5090,.T.); #3094=FACE_OUTER_BOUND('',#5091,.T.); #3095=FACE_OUTER_BOUND('',#5092,.T.); #3096=FACE_OUTER_BOUND('',#5093,.T.); #3097=FACE_OUTER_BOUND('',#5094,.T.); #3098=FACE_OUTER_BOUND('',#5095,.T.); #3099=FACE_OUTER_BOUND('',#5096,.T.); #3100=FACE_OUTER_BOUND('',#5097,.T.); #3101=FACE_OUTER_BOUND('',#5098,.T.); #3102=FACE_OUTER_BOUND('',#5099,.T.); #3103=FACE_OUTER_BOUND('',#5100,.T.); #3104=FACE_OUTER_BOUND('',#5101,.T.); #3105=FACE_OUTER_BOUND('',#5102,.T.); #3106=FACE_OUTER_BOUND('',#5103,.T.); #3107=FACE_OUTER_BOUND('',#5104,.T.); #3108=FACE_OUTER_BOUND('',#5105,.T.); #3109=FACE_OUTER_BOUND('',#5106,.T.); #3110=FACE_OUTER_BOUND('',#5107,.T.); #3111=FACE_OUTER_BOUND('',#5108,.T.); #3112=FACE_OUTER_BOUND('',#5109,.T.); #3113=FACE_OUTER_BOUND('',#5110,.T.); #3114=FACE_OUTER_BOUND('',#5111,.T.); #3115=FACE_OUTER_BOUND('',#5112,.T.); #3116=FACE_OUTER_BOUND('',#5113,.T.); #3117=FACE_OUTER_BOUND('',#5114,.T.); #3118=FACE_OUTER_BOUND('',#5115,.T.); #3119=FACE_OUTER_BOUND('',#5116,.T.); #3120=FACE_OUTER_BOUND('',#5117,.T.); #3121=FACE_OUTER_BOUND('',#5118,.T.); #3122=FACE_OUTER_BOUND('',#5119,.T.); #3123=FACE_OUTER_BOUND('',#5120,.T.); #3124=FACE_OUTER_BOUND('',#5121,.T.); #3125=FACE_OUTER_BOUND('',#5122,.T.); #3126=FACE_OUTER_BOUND('',#5123,.T.); #3127=FACE_OUTER_BOUND('',#5124,.T.); #3128=FACE_OUTER_BOUND('',#5125,.T.); #3129=FACE_OUTER_BOUND('',#5126,.T.); #3130=FACE_OUTER_BOUND('',#5127,.T.); #3131=FACE_OUTER_BOUND('',#5128,.T.); #3132=FACE_OUTER_BOUND('',#5129,.T.); #3133=FACE_OUTER_BOUND('',#5130,.T.); #3134=FACE_OUTER_BOUND('',#5131,.T.); #3135=FACE_OUTER_BOUND('',#5132,.T.); #3136=FACE_OUTER_BOUND('',#5133,.T.); #3137=FACE_OUTER_BOUND('',#5134,.T.); #3138=FACE_OUTER_BOUND('',#5135,.T.); #3139=FACE_OUTER_BOUND('',#5136,.T.); #3140=FACE_OUTER_BOUND('',#5137,.T.); #3141=FACE_OUTER_BOUND('',#5138,.T.); #3142=FACE_OUTER_BOUND('',#5139,.T.); #3143=FACE_OUTER_BOUND('',#5140,.T.); #3144=FACE_OUTER_BOUND('',#5141,.T.); #3145=FACE_OUTER_BOUND('',#5142,.T.); #3146=FACE_OUTER_BOUND('',#5143,.T.); #3147=FACE_OUTER_BOUND('',#5144,.T.); #3148=FACE_OUTER_BOUND('',#5145,.T.); #3149=FACE_OUTER_BOUND('',#5146,.T.); #3150=FACE_OUTER_BOUND('',#5147,.T.); #3151=FACE_OUTER_BOUND('',#5148,.T.); #3152=FACE_OUTER_BOUND('',#5149,.T.); #3153=FACE_OUTER_BOUND('',#5150,.T.); #3154=FACE_OUTER_BOUND('',#5151,.T.); #3155=FACE_OUTER_BOUND('',#5152,.T.); #3156=FACE_OUTER_BOUND('',#5153,.T.); #3157=FACE_OUTER_BOUND('',#5154,.T.); #3158=FACE_OUTER_BOUND('',#5155,.T.); #3159=FACE_OUTER_BOUND('',#5156,.T.); #3160=FACE_OUTER_BOUND('',#5157,.T.); #3161=FACE_OUTER_BOUND('',#5158,.T.); #3162=FACE_OUTER_BOUND('',#5159,.T.); #3163=FACE_OUTER_BOUND('',#5160,.T.); #3164=FACE_OUTER_BOUND('',#5161,.T.); #3165=FACE_OUTER_BOUND('',#5162,.T.); #3166=FACE_OUTER_BOUND('',#5163,.T.); #3167=FACE_OUTER_BOUND('',#5164,.T.); #3168=FACE_OUTER_BOUND('',#5165,.T.); #3169=FACE_OUTER_BOUND('',#5166,.T.); #3170=FACE_OUTER_BOUND('',#5167,.T.); #3171=FACE_OUTER_BOUND('',#5168,.T.); #3172=FACE_OUTER_BOUND('',#5169,.T.); #3173=FACE_OUTER_BOUND('',#5170,.T.); #3174=FACE_OUTER_BOUND('',#5171,.T.); #3175=FACE_OUTER_BOUND('',#5172,.T.); #3176=FACE_OUTER_BOUND('',#5173,.T.); #3177=FACE_OUTER_BOUND('',#5224,.T.); #3178=FACE_OUTER_BOUND('',#5275,.T.); #3179=FACE_OUTER_BOUND('',#5276,.T.); #3180=FACE_OUTER_BOUND('',#5277,.T.); #3181=FACE_OUTER_BOUND('',#5278,.T.); #3182=FACE_OUTER_BOUND('',#5279,.T.); #3183=FACE_OUTER_BOUND('',#5280,.T.); #3184=FACE_OUTER_BOUND('',#5281,.T.); #3185=FACE_OUTER_BOUND('',#5282,.T.); #3186=FACE_OUTER_BOUND('',#5283,.T.); #3187=FACE_OUTER_BOUND('',#5284,.T.); #3188=FACE_OUTER_BOUND('',#5285,.T.); #3189=FACE_OUTER_BOUND('',#5286,.T.); #3190=FACE_OUTER_BOUND('',#5287,.T.); #3191=FACE_OUTER_BOUND('',#5288,.T.); #3192=FACE_OUTER_BOUND('',#5289,.T.); #3193=FACE_OUTER_BOUND('',#5290,.T.); #3194=FACE_OUTER_BOUND('',#5291,.T.); #3195=FACE_OUTER_BOUND('',#5292,.T.); #3196=FACE_OUTER_BOUND('',#5293,.T.); #3197=FACE_OUTER_BOUND('',#5294,.T.); #3198=FACE_OUTER_BOUND('',#5295,.T.); #3199=FACE_OUTER_BOUND('',#5296,.T.); #3200=FACE_OUTER_BOUND('',#5297,.T.); #3201=FACE_OUTER_BOUND('',#5298,.T.); #3202=FACE_OUTER_BOUND('',#5299,.T.); #3203=FACE_OUTER_BOUND('',#5300,.T.); #3204=FACE_OUTER_BOUND('',#5301,.T.); #3205=FACE_OUTER_BOUND('',#5302,.T.); #3206=FACE_OUTER_BOUND('',#5303,.T.); #3207=FACE_OUTER_BOUND('',#5304,.T.); #3208=FACE_OUTER_BOUND('',#5305,.T.); #3209=FACE_OUTER_BOUND('',#5306,.T.); #3210=FACE_OUTER_BOUND('',#5307,.T.); #3211=FACE_OUTER_BOUND('',#5308,.T.); #3212=FACE_OUTER_BOUND('',#5309,.T.); #3213=FACE_OUTER_BOUND('',#5310,.T.); #3214=FACE_OUTER_BOUND('',#5311,.T.); #3215=FACE_OUTER_BOUND('',#5312,.T.); #3216=FACE_OUTER_BOUND('',#5313,.T.); #3217=FACE_OUTER_BOUND('',#5314,.T.); #3218=FACE_OUTER_BOUND('',#5315,.T.); #3219=FACE_OUTER_BOUND('',#5316,.T.); #3220=FACE_OUTER_BOUND('',#5317,.T.); #3221=FACE_OUTER_BOUND('',#5318,.T.); #3222=FACE_OUTER_BOUND('',#5319,.T.); #3223=FACE_OUTER_BOUND('',#5320,.T.); #3224=FACE_OUTER_BOUND('',#5321,.T.); #3225=FACE_OUTER_BOUND('',#5322,.T.); #3226=FACE_OUTER_BOUND('',#5323,.T.); #3227=FACE_OUTER_BOUND('',#5324,.T.); #3228=FACE_OUTER_BOUND('',#5325,.T.); #3229=FACE_OUTER_BOUND('',#5326,.T.); #3230=FACE_OUTER_BOUND('',#5327,.T.); #3231=FACE_OUTER_BOUND('',#5328,.T.); #3232=FACE_OUTER_BOUND('',#5329,.T.); #3233=FACE_OUTER_BOUND('',#5330,.T.); #3234=FACE_OUTER_BOUND('',#5331,.T.); #3235=FACE_OUTER_BOUND('',#5332,.T.); #3236=FACE_OUTER_BOUND('',#5333,.T.); #3237=FACE_OUTER_BOUND('',#5334,.T.); #3238=FACE_OUTER_BOUND('',#5335,.T.); #3239=FACE_OUTER_BOUND('',#5336,.T.); #3240=FACE_OUTER_BOUND('',#5337,.T.); #3241=FACE_OUTER_BOUND('',#5345,.T.); #3242=FACE_OUTER_BOUND('',#5352,.T.); #3243=FACE_OUTER_BOUND('',#5353,.T.); #3244=FACE_OUTER_BOUND('',#5354,.T.); #3245=FACE_OUTER_BOUND('',#5355,.T.); #3246=FACE_OUTER_BOUND('',#5356,.T.); #3247=FACE_OUTER_BOUND('',#5357,.T.); #3248=FACE_OUTER_BOUND('',#5358,.T.); #3249=FACE_OUTER_BOUND('',#5359,.T.); #3250=FACE_OUTER_BOUND('',#5360,.T.); #3251=FACE_OUTER_BOUND('',#5361,.T.); #3252=FACE_OUTER_BOUND('',#5362,.T.); #3253=FACE_OUTER_BOUND('',#5363,.T.); #3254=FACE_OUTER_BOUND('',#5364,.T.); #3255=FACE_OUTER_BOUND('',#5365,.T.); #3256=FACE_OUTER_BOUND('',#5366,.T.); #3257=FACE_OUTER_BOUND('',#5367,.T.); #3258=FACE_OUTER_BOUND('',#5368,.T.); #3259=FACE_OUTER_BOUND('',#5369,.T.); #3260=FACE_OUTER_BOUND('',#5370,.T.); #3261=FACE_OUTER_BOUND('',#5371,.T.); #3262=FACE_OUTER_BOUND('',#5372,.T.); #3263=FACE_OUTER_BOUND('',#5373,.T.); #3264=FACE_OUTER_BOUND('',#5374,.T.); #3265=FACE_OUTER_BOUND('',#5375,.T.); #3266=FACE_OUTER_BOUND('',#5376,.T.); #3267=FACE_OUTER_BOUND('',#5377,.T.); #3268=FACE_OUTER_BOUND('',#5378,.T.); #3269=FACE_OUTER_BOUND('',#5379,.T.); #3270=FACE_OUTER_BOUND('',#5380,.T.); #3271=FACE_OUTER_BOUND('',#5381,.T.); #3272=FACE_OUTER_BOUND('',#5382,.T.); #3273=FACE_OUTER_BOUND('',#5383,.T.); #3274=FACE_OUTER_BOUND('',#5384,.T.); #3275=FACE_OUTER_BOUND('',#5385,.T.); #3276=FACE_OUTER_BOUND('',#5386,.T.); #3277=FACE_OUTER_BOUND('',#5387,.T.); #3278=FACE_OUTER_BOUND('',#5388,.T.); #3279=FACE_OUTER_BOUND('',#5389,.T.); #3280=FACE_OUTER_BOUND('',#5390,.T.); #3281=FACE_OUTER_BOUND('',#5391,.T.); #3282=FACE_OUTER_BOUND('',#5392,.T.); #3283=FACE_OUTER_BOUND('',#5393,.T.); #3284=FACE_OUTER_BOUND('',#5394,.T.); #3285=FACE_OUTER_BOUND('',#5395,.T.); #3286=FACE_OUTER_BOUND('',#5396,.T.); #3287=FACE_OUTER_BOUND('',#5397,.T.); #3288=FACE_OUTER_BOUND('',#5398,.T.); #3289=FACE_OUTER_BOUND('',#5399,.T.); #3290=FACE_OUTER_BOUND('',#5400,.T.); #3291=FACE_OUTER_BOUND('',#5401,.T.); #3292=FACE_OUTER_BOUND('',#5402,.T.); #3293=FACE_OUTER_BOUND('',#5403,.T.); #3294=FACE_OUTER_BOUND('',#5404,.T.); #3295=FACE_OUTER_BOUND('',#5405,.T.); #3296=FACE_OUTER_BOUND('',#5406,.T.); #3297=FACE_OUTER_BOUND('',#5407,.T.); #3298=FACE_OUTER_BOUND('',#5408,.T.); #3299=FACE_OUTER_BOUND('',#5409,.T.); #3300=FACE_OUTER_BOUND('',#5410,.T.); #3301=FACE_OUTER_BOUND('',#5411,.T.); #3302=FACE_OUTER_BOUND('',#5412,.T.); #3303=FACE_OUTER_BOUND('',#5413,.T.); #3304=FACE_OUTER_BOUND('',#5414,.T.); #3305=FACE_OUTER_BOUND('',#5415,.T.); #3306=FACE_OUTER_BOUND('',#5416,.T.); #3307=FACE_OUTER_BOUND('',#5417,.T.); #3308=FACE_OUTER_BOUND('',#5418,.T.); #3309=FACE_OUTER_BOUND('',#5419,.T.); #3310=FACE_OUTER_BOUND('',#5420,.T.); #3311=FACE_OUTER_BOUND('',#5421,.T.); #3312=FACE_OUTER_BOUND('',#5422,.T.); #3313=FACE_OUTER_BOUND('',#5423,.T.); #3314=FACE_OUTER_BOUND('',#5424,.T.); #3315=FACE_OUTER_BOUND('',#5425,.T.); #3316=FACE_OUTER_BOUND('',#5426,.T.); #3317=FACE_OUTER_BOUND('',#5427,.T.); #3318=FACE_OUTER_BOUND('',#5428,.T.); #3319=FACE_OUTER_BOUND('',#5429,.T.); #3320=FACE_OUTER_BOUND('',#5430,.T.); #3321=FACE_OUTER_BOUND('',#5431,.T.); #3322=FACE_OUTER_BOUND('',#5432,.T.); #3323=FACE_OUTER_BOUND('',#5433,.T.); #3324=FACE_OUTER_BOUND('',#5434,.T.); #3325=FACE_OUTER_BOUND('',#5435,.T.); #3326=FACE_OUTER_BOUND('',#5436,.T.); #3327=FACE_OUTER_BOUND('',#5437,.T.); #3328=FACE_OUTER_BOUND('',#5438,.T.); #3329=FACE_OUTER_BOUND('',#5439,.T.); #3330=FACE_OUTER_BOUND('',#5440,.T.); #3331=FACE_OUTER_BOUND('',#5441,.T.); #3332=FACE_OUTER_BOUND('',#5442,.T.); #3333=FACE_OUTER_BOUND('',#5443,.T.); #3334=FACE_OUTER_BOUND('',#5444,.T.); #3335=FACE_OUTER_BOUND('',#5445,.T.); #3336=FACE_OUTER_BOUND('',#5446,.T.); #3337=FACE_OUTER_BOUND('',#5447,.T.); #3338=FACE_OUTER_BOUND('',#5448,.T.); #3339=FACE_OUTER_BOUND('',#5449,.T.); #3340=FACE_OUTER_BOUND('',#5450,.T.); #3341=FACE_OUTER_BOUND('',#5451,.T.); #3342=FACE_OUTER_BOUND('',#5452,.T.); #3343=FACE_OUTER_BOUND('',#5453,.T.); #3344=FACE_OUTER_BOUND('',#5454,.T.); #3345=FACE_OUTER_BOUND('',#5455,.T.); #3346=FACE_OUTER_BOUND('',#5456,.T.); #3347=FACE_OUTER_BOUND('',#5457,.T.); #3348=FACE_OUTER_BOUND('',#5458,.T.); #3349=FACE_OUTER_BOUND('',#5459,.T.); #3350=FACE_OUTER_BOUND('',#5460,.T.); #3351=FACE_OUTER_BOUND('',#5461,.T.); #3352=FACE_OUTER_BOUND('',#5462,.T.); #3353=FACE_OUTER_BOUND('',#5463,.T.); #3354=FACE_OUTER_BOUND('',#5464,.T.); #3355=FACE_OUTER_BOUND('',#5465,.T.); #3356=FACE_OUTER_BOUND('',#5466,.T.); #3357=FACE_OUTER_BOUND('',#5467,.T.); #3358=FACE_OUTER_BOUND('',#5468,.T.); #3359=FACE_OUTER_BOUND('',#5469,.T.); #3360=FACE_OUTER_BOUND('',#5470,.T.); #3361=FACE_OUTER_BOUND('',#5471,.T.); #3362=FACE_OUTER_BOUND('',#5472,.T.); #3363=FACE_OUTER_BOUND('',#5473,.T.); #3364=FACE_OUTER_BOUND('',#5474,.T.); #3365=FACE_OUTER_BOUND('',#5475,.T.); #3366=FACE_OUTER_BOUND('',#5476,.T.); #3367=FACE_OUTER_BOUND('',#5477,.T.); #3368=FACE_OUTER_BOUND('',#5478,.T.); #3369=FACE_OUTER_BOUND('',#5483,.T.); #3370=FACE_OUTER_BOUND('',#5484,.T.); #3371=FACE_OUTER_BOUND('',#5485,.T.); #3372=FACE_OUTER_BOUND('',#5486,.T.); #3373=FACE_OUTER_BOUND('',#5487,.T.); #3374=FACE_OUTER_BOUND('',#5488,.T.); #3375=FACE_OUTER_BOUND('',#5489,.T.); #3376=FACE_OUTER_BOUND('',#5490,.T.); #3377=FACE_OUTER_BOUND('',#5491,.T.); #3378=FACE_OUTER_BOUND('',#5492,.T.); #3379=FACE_OUTER_BOUND('',#5493,.T.); #3380=FACE_OUTER_BOUND('',#5494,.T.); #3381=FACE_OUTER_BOUND('',#5495,.T.); #3382=FACE_OUTER_BOUND('',#5496,.T.); #3383=FACE_OUTER_BOUND('',#5497,.T.); #3384=FACE_OUTER_BOUND('',#5498,.T.); #3385=FACE_OUTER_BOUND('',#5499,.T.); #3386=FACE_OUTER_BOUND('',#5500,.T.); #3387=FACE_OUTER_BOUND('',#5501,.T.); #3388=FACE_OUTER_BOUND('',#5502,.T.); #3389=FACE_OUTER_BOUND('',#5503,.T.); #3390=FACE_OUTER_BOUND('',#5504,.T.); #3391=FACE_OUTER_BOUND('',#5509,.T.); #3392=FACE_OUTER_BOUND('',#5518,.T.); #3393=FACE_OUTER_BOUND('',#5519,.T.); #3394=FACE_OUTER_BOUND('',#5520,.T.); #3395=FACE_OUTER_BOUND('',#5521,.T.); #3396=FACE_OUTER_BOUND('',#5522,.T.); #3397=FACE_OUTER_BOUND('',#5523,.T.); #3398=FACE_OUTER_BOUND('',#5524,.T.); #3399=FACE_OUTER_BOUND('',#5525,.T.); #3400=FACE_OUTER_BOUND('',#5526,.T.); #3401=FACE_OUTER_BOUND('',#5527,.T.); #3402=FACE_OUTER_BOUND('',#5528,.T.); #3403=FACE_OUTER_BOUND('',#5529,.T.); #3404=FACE_OUTER_BOUND('',#5530,.T.); #3405=FACE_OUTER_BOUND('',#5531,.T.); #3406=FACE_OUTER_BOUND('',#5533,.T.); #3407=FACE_OUTER_BOUND('',#5535,.T.); #3408=FACE_OUTER_BOUND('',#5536,.T.); #3409=FACE_OUTER_BOUND('',#5537,.T.); #3410=FACE_OUTER_BOUND('',#5538,.T.); #3411=FACE_OUTER_BOUND('',#5539,.T.); #3412=FACE_OUTER_BOUND('',#5540,.T.); #3413=FACE_OUTER_BOUND('',#5541,.T.); #3414=FACE_OUTER_BOUND('',#5542,.T.); #3415=FACE_OUTER_BOUND('',#5543,.T.); #3416=FACE_OUTER_BOUND('',#5544,.T.); #3417=FACE_OUTER_BOUND('',#5545,.T.); #3418=FACE_OUTER_BOUND('',#5546,.T.); #3419=FACE_OUTER_BOUND('',#5547,.T.); #3420=FACE_OUTER_BOUND('',#5548,.T.); #3421=FACE_OUTER_BOUND('',#5549,.T.); #3422=FACE_OUTER_BOUND('',#5550,.T.); #3423=FACE_OUTER_BOUND('',#5551,.T.); #3424=FACE_OUTER_BOUND('',#5552,.T.); #3425=FACE_OUTER_BOUND('',#5553,.T.); #3426=FACE_OUTER_BOUND('',#5557,.T.); #3427=FACE_OUTER_BOUND('',#5561,.T.); #3428=FACE_OUTER_BOUND('',#5562,.T.); #3429=FACE_OUTER_BOUND('',#5563,.T.); #3430=FACE_OUTER_BOUND('',#5564,.T.); #3431=FACE_OUTER_BOUND('',#5565,.T.); #3432=FACE_OUTER_BOUND('',#5566,.T.); #3433=FACE_OUTER_BOUND('',#5567,.T.); #3434=FACE_OUTER_BOUND('',#5568,.T.); #3435=FACE_OUTER_BOUND('',#5569,.T.); #3436=FACE_OUTER_BOUND('',#5570,.T.); #3437=FACE_OUTER_BOUND('',#5571,.T.); #3438=FACE_OUTER_BOUND('',#5572,.T.); #3439=FACE_OUTER_BOUND('',#5573,.T.); #3440=FACE_OUTER_BOUND('',#5574,.T.); #3441=FACE_OUTER_BOUND('',#5576,.T.); #3442=FACE_OUTER_BOUND('',#5578,.T.); #3443=FACE_OUTER_BOUND('',#5579,.T.); #3444=FACE_OUTER_BOUND('',#5580,.T.); #3445=FACE_OUTER_BOUND('',#5581,.T.); #3446=FACE_OUTER_BOUND('',#5582,.T.); #3447=FACE_OUTER_BOUND('',#5583,.T.); #3448=FACE_OUTER_BOUND('',#5584,.T.); #3449=FACE_OUTER_BOUND('',#5585,.T.); #3450=FACE_OUTER_BOUND('',#5586,.T.); #3451=FACE_OUTER_BOUND('',#5587,.T.); #3452=FACE_OUTER_BOUND('',#5588,.T.); #3453=FACE_OUTER_BOUND('',#5589,.T.); #3454=FACE_OUTER_BOUND('',#5590,.T.); #3455=FACE_OUTER_BOUND('',#5591,.T.); #3456=FACE_OUTER_BOUND('',#5592,.T.); #3457=FACE_OUTER_BOUND('',#5593,.T.); #3458=FACE_OUTER_BOUND('',#5594,.T.); #3459=FACE_OUTER_BOUND('',#5595,.T.); #3460=FACE_OUTER_BOUND('',#5596,.T.); #3461=FACE_OUTER_BOUND('',#5600,.T.); #3462=FACE_OUTER_BOUND('',#5604,.T.); #3463=FACE_OUTER_BOUND('',#5605,.T.); #3464=FACE_OUTER_BOUND('',#5606,.T.); #3465=FACE_OUTER_BOUND('',#5607,.T.); #3466=FACE_OUTER_BOUND('',#5608,.T.); #3467=FACE_OUTER_BOUND('',#5609,.T.); #3468=FACE_OUTER_BOUND('',#5610,.T.); #3469=FACE_OUTER_BOUND('',#5611,.T.); #3470=FACE_OUTER_BOUND('',#5612,.T.); #3471=FACE_OUTER_BOUND('',#5613,.T.); #3472=FACE_OUTER_BOUND('',#5614,.T.); #3473=FACE_OUTER_BOUND('',#5615,.T.); #3474=FACE_OUTER_BOUND('',#5616,.T.); #3475=FACE_OUTER_BOUND('',#5617,.T.); #3476=FACE_OUTER_BOUND('',#5618,.T.); #3477=FACE_OUTER_BOUND('',#5619,.T.); #3478=FACE_OUTER_BOUND('',#5620,.T.); #3479=FACE_OUTER_BOUND('',#5621,.T.); #3480=FACE_OUTER_BOUND('',#5622,.T.); #3481=FACE_OUTER_BOUND('',#5626,.T.); #3482=FACE_OUTER_BOUND('',#5630,.T.); #3483=FACE_OUTER_BOUND('',#5631,.T.); #3484=FACE_OUTER_BOUND('',#5632,.T.); #3485=FACE_OUTER_BOUND('',#5633,.T.); #3486=FACE_OUTER_BOUND('',#5634,.T.); #3487=FACE_OUTER_BOUND('',#5635,.T.); #3488=FACE_OUTER_BOUND('',#5636,.T.); #3489=FACE_OUTER_BOUND('',#5637,.T.); #3490=FACE_OUTER_BOUND('',#5638,.T.); #3491=FACE_OUTER_BOUND('',#5639,.T.); #3492=FACE_OUTER_BOUND('',#5640,.T.); #3493=FACE_OUTER_BOUND('',#5641,.T.); #3494=FACE_OUTER_BOUND('',#5642,.T.); #3495=FACE_OUTER_BOUND('',#5643,.T.); #3496=FACE_OUTER_BOUND('',#5644,.T.); #3497=FACE_OUTER_BOUND('',#5645,.T.); #3498=FACE_OUTER_BOUND('',#5646,.T.); #3499=FACE_OUTER_BOUND('',#5647,.T.); #3500=FACE_OUTER_BOUND('',#5648,.T.); #3501=FACE_OUTER_BOUND('',#5649,.T.); #3502=FACE_OUTER_BOUND('',#5650,.T.); #3503=FACE_OUTER_BOUND('',#5651,.T.); #3504=FACE_OUTER_BOUND('',#5652,.T.); #3505=FACE_OUTER_BOUND('',#5653,.T.); #3506=FACE_OUTER_BOUND('',#5654,.T.); #3507=FACE_OUTER_BOUND('',#5655,.T.); #3508=FACE_OUTER_BOUND('',#5656,.T.); #3509=FACE_OUTER_BOUND('',#5657,.T.); #3510=FACE_OUTER_BOUND('',#5658,.T.); #3511=FACE_OUTER_BOUND('',#5659,.T.); #3512=FACE_OUTER_BOUND('',#5673,.T.); #3513=FACE_OUTER_BOUND('',#5687,.T.); #3514=FACE_OUTER_BOUND('',#5688,.T.); #3515=FACE_OUTER_BOUND('',#5689,.T.); #3516=FACE_OUTER_BOUND('',#5690,.T.); #3517=FACE_OUTER_BOUND('',#5691,.T.); #3518=FACE_OUTER_BOUND('',#5692,.T.); #3519=FACE_OUTER_BOUND('',#5693,.T.); #3520=FACE_OUTER_BOUND('',#5694,.T.); #3521=FACE_OUTER_BOUND('',#5695,.T.); #3522=FACE_OUTER_BOUND('',#5696,.T.); #3523=FACE_OUTER_BOUND('',#5697,.T.); #3524=FACE_OUTER_BOUND('',#5698,.T.); #3525=FACE_OUTER_BOUND('',#5699,.T.); #3526=FACE_OUTER_BOUND('',#5700,.T.); #3527=FACE_OUTER_BOUND('',#5701,.T.); #3528=FACE_OUTER_BOUND('',#5702,.T.); #3529=FACE_OUTER_BOUND('',#5703,.T.); #3530=FACE_OUTER_BOUND('',#5704,.T.); #3531=FACE_OUTER_BOUND('',#5705,.T.); #3532=FACE_OUTER_BOUND('',#5706,.T.); #3533=FACE_OUTER_BOUND('',#5707,.T.); #3534=FACE_OUTER_BOUND('',#5708,.T.); #3535=FACE_OUTER_BOUND('',#5709,.T.); #3536=FACE_OUTER_BOUND('',#5710,.T.); #3537=FACE_OUTER_BOUND('',#5711,.T.); #3538=FACE_OUTER_BOUND('',#5712,.T.); #3539=FACE_OUTER_BOUND('',#5713,.T.); #3540=FACE_OUTER_BOUND('',#5714,.T.); #3541=FACE_OUTER_BOUND('',#5715,.T.); #3542=FACE_OUTER_BOUND('',#5716,.T.); #3543=FACE_OUTER_BOUND('',#5730,.T.); #3544=FACE_OUTER_BOUND('',#5744,.T.); #3545=FACE_OUTER_BOUND('',#5745,.T.); #3546=FACE_OUTER_BOUND('',#5746,.T.); #3547=FACE_OUTER_BOUND('',#5747,.T.); #3548=FACE_OUTER_BOUND('',#5748,.T.); #3549=FACE_OUTER_BOUND('',#5749,.T.); #3550=FACE_OUTER_BOUND('',#5750,.T.); #3551=FACE_OUTER_BOUND('',#5751,.T.); #3552=FACE_OUTER_BOUND('',#5752,.T.); #3553=FACE_OUTER_BOUND('',#5753,.T.); #3554=FACE_OUTER_BOUND('',#5754,.T.); #3555=FACE_OUTER_BOUND('',#5755,.T.); #3556=FACE_OUTER_BOUND('',#5756,.T.); #3557=FACE_OUTER_BOUND('',#5757,.T.); #3558=FACE_OUTER_BOUND('',#5758,.T.); #3559=FACE_OUTER_BOUND('',#5759,.T.); #3560=FACE_OUTER_BOUND('',#5760,.T.); #3561=FACE_OUTER_BOUND('',#5761,.T.); #3562=FACE_OUTER_BOUND('',#5773,.T.); #3563=FACE_OUTER_BOUND('',#5785,.T.); #3564=FACE_OUTER_BOUND('',#5786,.T.); #3565=FACE_OUTER_BOUND('',#5787,.T.); #3566=FACE_OUTER_BOUND('',#5788,.T.); #3567=FACE_OUTER_BOUND('',#5789,.T.); #3568=FACE_OUTER_BOUND('',#5790,.T.); #3569=FACE_OUTER_BOUND('',#5791,.T.); #3570=FACE_OUTER_BOUND('',#5792,.T.); #3571=FACE_OUTER_BOUND('',#5793,.T.); #3572=FACE_OUTER_BOUND('',#5794,.T.); #3573=FACE_OUTER_BOUND('',#5795,.T.); #3574=FACE_OUTER_BOUND('',#5796,.T.); #3575=FACE_OUTER_BOUND('',#5797,.T.); #3576=FACE_OUTER_BOUND('',#5798,.T.); #3577=FACE_OUTER_BOUND('',#5799,.T.); #3578=FACE_OUTER_BOUND('',#5800,.T.); #3579=FACE_OUTER_BOUND('',#5801,.T.); #3580=FACE_OUTER_BOUND('',#5802,.T.); #3581=FACE_OUTER_BOUND('',#5803,.T.); #3582=FACE_OUTER_BOUND('',#5804,.T.); #3583=FACE_OUTER_BOUND('',#5805,.T.); #3584=FACE_OUTER_BOUND('',#5806,.T.); #3585=FACE_OUTER_BOUND('',#5807,.T.); #3586=FACE_OUTER_BOUND('',#5808,.T.); #3587=FACE_OUTER_BOUND('',#5809,.T.); #3588=FACE_OUTER_BOUND('',#5810,.T.); #3589=FACE_OUTER_BOUND('',#5811,.T.); #3590=FACE_OUTER_BOUND('',#5812,.T.); #3591=FACE_OUTER_BOUND('',#5813,.T.); #3592=FACE_OUTER_BOUND('',#5814,.T.); #3593=FACE_OUTER_BOUND('',#5815,.T.); #3594=FACE_OUTER_BOUND('',#5816,.T.); #3595=FACE_OUTER_BOUND('',#5817,.T.); #3596=FACE_OUTER_BOUND('',#5818,.T.); #3597=FACE_OUTER_BOUND('',#5819,.T.); #3598=FACE_OUTER_BOUND('',#5820,.T.); #3599=FACE_OUTER_BOUND('',#5821,.T.); #3600=FACE_OUTER_BOUND('',#5822,.T.); #3601=FACE_OUTER_BOUND('',#5823,.T.); #3602=FACE_OUTER_BOUND('',#5824,.T.); #3603=FACE_OUTER_BOUND('',#5825,.T.); #3604=FACE_OUTER_BOUND('',#5826,.T.); #3605=FACE_OUTER_BOUND('',#5827,.T.); #3606=FACE_OUTER_BOUND('',#5828,.T.); #3607=FACE_OUTER_BOUND('',#5829,.T.); #3608=FACE_OUTER_BOUND('',#5830,.T.); #3609=FACE_OUTER_BOUND('',#5831,.T.); #3610=FACE_OUTER_BOUND('',#5832,.T.); #3611=FACE_OUTER_BOUND('',#5833,.T.); #3612=FACE_OUTER_BOUND('',#5834,.T.); #3613=FACE_OUTER_BOUND('',#5835,.T.); #3614=FACE_OUTER_BOUND('',#5836,.T.); #3615=FACE_OUTER_BOUND('',#5837,.T.); #3616=FACE_OUTER_BOUND('',#5838,.T.); #3617=FACE_OUTER_BOUND('',#5839,.T.); #3618=FACE_OUTER_BOUND('',#5840,.T.); #3619=FACE_OUTER_BOUND('',#5845,.T.); #3620=FACE_OUTER_BOUND('',#5850,.T.); #3621=FACE_OUTER_BOUND('',#5851,.T.); #3622=FACE_OUTER_BOUND('',#5852,.T.); #3623=FACE_OUTER_BOUND('',#5853,.T.); #3624=FACE_OUTER_BOUND('',#5854,.T.); #3625=FACE_OUTER_BOUND('',#5855,.T.); #3626=FACE_OUTER_BOUND('',#5856,.T.); #3627=FACE_OUTER_BOUND('',#5857,.T.); #3628=FACE_OUTER_BOUND('',#5858,.T.); #3629=FACE_OUTER_BOUND('',#5859,.T.); #3630=FACE_OUTER_BOUND('',#5860,.T.); #3631=FACE_OUTER_BOUND('',#5861,.T.); #3632=FACE_OUTER_BOUND('',#5862,.T.); #3633=FACE_OUTER_BOUND('',#5863,.T.); #3634=FACE_OUTER_BOUND('',#5864,.T.); #3635=FACE_OUTER_BOUND('',#5865,.T.); #3636=FACE_OUTER_BOUND('',#5866,.T.); #3637=FACE_OUTER_BOUND('',#5867,.T.); #3638=FACE_OUTER_BOUND('',#5868,.T.); #3639=FACE_OUTER_BOUND('',#5869,.T.); #3640=FACE_OUTER_BOUND('',#5870,.T.); #3641=FACE_OUTER_BOUND('',#5871,.T.); #3642=FACE_OUTER_BOUND('',#5872,.T.); #3643=FACE_OUTER_BOUND('',#5873,.T.); #3644=FACE_OUTER_BOUND('',#5874,.T.); #3645=FACE_OUTER_BOUND('',#5875,.T.); #3646=FACE_OUTER_BOUND('',#5876,.T.); #3647=FACE_OUTER_BOUND('',#5879,.T.); #3648=FACE_OUTER_BOUND('',#5882,.T.); #3649=FACE_OUTER_BOUND('',#5883,.T.); #3650=FACE_OUTER_BOUND('',#5884,.T.); #3651=FACE_OUTER_BOUND('',#5885,.T.); #3652=FACE_OUTER_BOUND('',#5886,.T.); #3653=FACE_OUTER_BOUND('',#5887,.T.); #3654=FACE_OUTER_BOUND('',#5888,.T.); #3655=FACE_OUTER_BOUND('',#5889,.T.); #3656=FACE_OUTER_BOUND('',#5890,.T.); #3657=FACE_OUTER_BOUND('',#5891,.T.); #3658=FACE_OUTER_BOUND('',#5892,.T.); #3659=FACE_OUTER_BOUND('',#5893,.T.); #3660=FACE_OUTER_BOUND('',#5894,.T.); #3661=FACE_OUTER_BOUND('',#5895,.T.); #3662=FACE_OUTER_BOUND('',#5897,.T.); #3663=FACE_OUTER_BOUND('',#5899,.T.); #3664=FACE_OUTER_BOUND('',#5900,.T.); #3665=FACE_OUTER_BOUND('',#5901,.T.); #3666=FACE_OUTER_BOUND('',#5902,.T.); #3667=FACE_OUTER_BOUND('',#5903,.T.); #3668=FACE_OUTER_BOUND('',#5904,.T.); #3669=FACE_OUTER_BOUND('',#5905,.T.); #3670=FACE_OUTER_BOUND('',#5906,.T.); #3671=FACE_OUTER_BOUND('',#5907,.T.); #3672=FACE_OUTER_BOUND('',#5908,.T.); #3673=FACE_OUTER_BOUND('',#5909,.T.); #3674=FACE_OUTER_BOUND('',#5910,.T.); #3675=FACE_OUTER_BOUND('',#5911,.T.); #3676=FACE_OUTER_BOUND('',#5912,.T.); #3677=FACE_OUTER_BOUND('',#5913,.T.); #3678=FACE_OUTER_BOUND('',#5914,.T.); #3679=FACE_OUTER_BOUND('',#5915,.T.); #3680=FACE_OUTER_BOUND('',#5916,.T.); #3681=FACE_OUTER_BOUND('',#5917,.T.); #3682=FACE_OUTER_BOUND('',#5918,.T.); #3683=FACE_OUTER_BOUND('',#5919,.T.); #3684=FACE_OUTER_BOUND('',#5920,.T.); #3685=FACE_OUTER_BOUND('',#5921,.T.); #3686=FACE_OUTER_BOUND('',#5922,.T.); #3687=FACE_OUTER_BOUND('',#5923,.T.); #3688=FACE_OUTER_BOUND('',#5924,.T.); #3689=FACE_OUTER_BOUND('',#5925,.T.); #3690=FACE_OUTER_BOUND('',#5928,.T.); #3691=FACE_OUTER_BOUND('',#5931,.T.); #3692=FACE_OUTER_BOUND('',#5932,.T.); #3693=FACE_OUTER_BOUND('',#5933,.T.); #3694=FACE_OUTER_BOUND('',#5934,.T.); #3695=FACE_OUTER_BOUND('',#5935,.T.); #3696=FACE_OUTER_BOUND('',#5936,.T.); #3697=FACE_OUTER_BOUND('',#5937,.T.); #3698=FACE_OUTER_BOUND('',#5938,.T.); #3699=FACE_OUTER_BOUND('',#5939,.T.); #3700=FACE_OUTER_BOUND('',#5940,.T.); #3701=FACE_OUTER_BOUND('',#5941,.T.); #3702=FACE_OUTER_BOUND('',#5942,.T.); #3703=FACE_OUTER_BOUND('',#5943,.T.); #3704=FACE_OUTER_BOUND('',#5944,.T.); #3705=FACE_OUTER_BOUND('',#5946,.T.); #3706=EDGE_LOOP('',(#23005,#23006,#23007,#23008)); #3707=EDGE_LOOP('',(#23009,#23010,#23011,#23012)); #3708=EDGE_LOOP('',(#23013,#23014,#23015,#23016)); #3709=EDGE_LOOP('',(#23017,#23018,#23019,#23020)); #3710=EDGE_LOOP('',(#23021,#23022,#23023,#23024)); #3711=EDGE_LOOP('',(#23025,#23026,#23027,#23028)); #3712=EDGE_LOOP('',(#23029,#23030,#23031,#23032)); #3713=EDGE_LOOP('',(#23033,#23034,#23035,#23036)); #3714=EDGE_LOOP('',(#23037,#23038,#23039,#23040)); #3715=EDGE_LOOP('',(#23041,#23042,#23043,#23044,#23045,#23046,#23047,#23048)); #3716=EDGE_LOOP('',(#23049)); #3717=EDGE_LOOP('',(#23050,#23051,#23052,#23053,#23054,#23055,#23056,#23057)); #3718=EDGE_LOOP('',(#23058)); #3719=EDGE_LOOP('',(#23059,#23060,#23061,#23062)); #3720=EDGE_LOOP('',(#23063,#23064,#23065,#23066)); #3721=EDGE_LOOP('',(#23067,#23068,#23069,#23070)); #3722=EDGE_LOOP('',(#23071,#23072,#23073,#23074)); #3723=EDGE_LOOP('',(#23075,#23076,#23077,#23078)); #3724=EDGE_LOOP('',(#23079,#23080,#23081,#23082)); #3725=EDGE_LOOP('',(#23083,#23084,#23085,#23086)); #3726=EDGE_LOOP('',(#23087,#23088,#23089,#23090)); #3727=EDGE_LOOP('',(#23091,#23092,#23093,#23094)); #3728=EDGE_LOOP('',(#23095,#23096,#23097,#23098,#23099,#23100,#23101,#23102)); #3729=EDGE_LOOP('',(#23103)); #3730=EDGE_LOOP('',(#23104,#23105,#23106,#23107,#23108,#23109,#23110,#23111)); #3731=EDGE_LOOP('',(#23112)); #3732=EDGE_LOOP('',(#23113)); #3733=EDGE_LOOP('',(#23114)); #3734=EDGE_LOOP('',(#23115,#23116,#23117,#23118)); #3735=EDGE_LOOP('',(#23119,#23120,#23121,#23122)); #3736=EDGE_LOOP('',(#23123)); #3737=EDGE_LOOP('',(#23124)); #3738=EDGE_LOOP('',(#23125,#23126,#23127,#23128)); #3739=EDGE_LOOP('',(#23129,#23130,#23131,#23132)); #3740=EDGE_LOOP('',(#23133,#23134,#23135,#23136)); #3741=EDGE_LOOP('',(#23137,#23138,#23139,#23140)); #3742=EDGE_LOOP('',(#23141,#23142,#23143,#23144)); #3743=EDGE_LOOP('',(#23145,#23146,#23147,#23148)); #3744=EDGE_LOOP('',(#23149,#23150,#23151,#23152)); #3745=EDGE_LOOP('',(#23153,#23154,#23155,#23156)); #3746=EDGE_LOOP('',(#23157,#23158,#23159,#23160)); #3747=EDGE_LOOP('',(#23161,#23162,#23163,#23164)); #3748=EDGE_LOOP('',(#23165,#23166,#23167,#23168)); #3749=EDGE_LOOP('',(#23169,#23170,#23171,#23172)); #3750=EDGE_LOOP('',(#23173,#23174,#23175,#23176)); #3751=EDGE_LOOP('',(#23177,#23178,#23179,#23180)); #3752=EDGE_LOOP('',(#23181,#23182,#23183,#23184)); #3753=EDGE_LOOP('',(#23185,#23186,#23187,#23188)); #3754=EDGE_LOOP('',(#23189,#23190,#23191,#23192)); #3755=EDGE_LOOP('',(#23193,#23194,#23195,#23196)); #3756=EDGE_LOOP('',(#23197,#23198,#23199,#23200)); #3757=EDGE_LOOP('',(#23201,#23202,#23203,#23204)); #3758=EDGE_LOOP('',(#23205,#23206,#23207,#23208)); #3759=EDGE_LOOP('',(#23209,#23210,#23211,#23212)); #3760=EDGE_LOOP('',(#23213,#23214,#23215,#23216)); #3761=EDGE_LOOP('',(#23217,#23218,#23219,#23220)); #3762=EDGE_LOOP('',(#23221,#23222,#23223,#23224)); #3763=EDGE_LOOP('',(#23225,#23226,#23227,#23228)); #3764=EDGE_LOOP('',(#23229,#23230,#23231,#23232)); #3765=EDGE_LOOP('',(#23233,#23234,#23235,#23236)); #3766=EDGE_LOOP('',(#23237,#23238,#23239,#23240)); #3767=EDGE_LOOP('',(#23241,#23242,#23243,#23244)); #3768=EDGE_LOOP('',(#23245,#23246,#23247,#23248)); #3769=EDGE_LOOP('',(#23249,#23250,#23251,#23252)); #3770=EDGE_LOOP('',(#23253,#23254,#23255,#23256)); #3771=EDGE_LOOP('',(#23257,#23258,#23259,#23260)); #3772=EDGE_LOOP('',(#23261,#23262,#23263,#23264)); #3773=EDGE_LOOP('',(#23265,#23266,#23267,#23268,#23269,#23270,#23271,#23272, #23273,#23274,#23275,#23276,#23277,#23278,#23279,#23280,#23281,#23282,#23283, #23284,#23285,#23286,#23287,#23288,#23289,#23290,#23291,#23292,#23293,#23294, #23295,#23296,#23297,#23298)); #3774=EDGE_LOOP('',(#23299)); #3775=EDGE_LOOP('',(#23300,#23301,#23302,#23303,#23304,#23305,#23306,#23307, #23308,#23309,#23310,#23311,#23312,#23313,#23314,#23315,#23316,#23317,#23318, #23319,#23320,#23321,#23322,#23323,#23324,#23325,#23326,#23327,#23328,#23329, #23330,#23331,#23332,#23333)); #3776=EDGE_LOOP('',(#23334)); #3777=EDGE_LOOP('',(#23335,#23336,#23337,#23338)); #3778=EDGE_LOOP('',(#23339,#23340,#23341,#23342)); #3779=EDGE_LOOP('',(#23343,#23344,#23345,#23346)); #3780=EDGE_LOOP('',(#23347,#23348,#23349,#23350)); #3781=EDGE_LOOP('',(#23351,#23352,#23353,#23354)); #3782=EDGE_LOOP('',(#23355,#23356,#23357,#23358)); #3783=EDGE_LOOP('',(#23359,#23360,#23361,#23362)); #3784=EDGE_LOOP('',(#23363,#23364,#23365,#23366)); #3785=EDGE_LOOP('',(#23367,#23368,#23369,#23370)); #3786=EDGE_LOOP('',(#23371,#23372,#23373,#23374)); #3787=EDGE_LOOP('',(#23375,#23376,#23377,#23378)); #3788=EDGE_LOOP('',(#23379,#23380,#23381,#23382)); #3789=EDGE_LOOP('',(#23383,#23384,#23385,#23386)); #3790=EDGE_LOOP('',(#23387,#23388,#23389,#23390)); #3791=EDGE_LOOP('',(#23391,#23392,#23393,#23394)); #3792=EDGE_LOOP('',(#23395,#23396,#23397,#23398)); #3793=EDGE_LOOP('',(#23399,#23400,#23401,#23402)); #3794=EDGE_LOOP('',(#23403,#23404,#23405,#23406)); #3795=EDGE_LOOP('',(#23407,#23408,#23409,#23410,#23411,#23412,#23413,#23414, #23415,#23416,#23417,#23418,#23419,#23420,#23421,#23422,#23423,#23424)); #3796=EDGE_LOOP('',(#23425,#23426,#23427,#23428,#23429,#23430,#23431,#23432, #23433,#23434,#23435,#23436,#23437,#23438,#23439,#23440,#23441,#23442)); #3797=EDGE_LOOP('',(#23443,#23444,#23445,#23446)); #3798=EDGE_LOOP('',(#23447,#23448,#23449,#23450)); #3799=EDGE_LOOP('',(#23451,#23452,#23453,#23454)); #3800=EDGE_LOOP('',(#23455,#23456,#23457,#23458)); #3801=EDGE_LOOP('',(#23459,#23460,#23461,#23462)); #3802=EDGE_LOOP('',(#23463,#23464,#23465,#23466)); #3803=EDGE_LOOP('',(#23467,#23468,#23469,#23470)); #3804=EDGE_LOOP('',(#23471,#23472,#23473,#23474)); #3805=EDGE_LOOP('',(#23475,#23476,#23477,#23478)); #3806=EDGE_LOOP('',(#23479,#23480,#23481,#23482)); #3807=EDGE_LOOP('',(#23483,#23484,#23485,#23486)); #3808=EDGE_LOOP('',(#23487,#23488,#23489,#23490)); #3809=EDGE_LOOP('',(#23491,#23492,#23493,#23494)); #3810=EDGE_LOOP('',(#23495,#23496,#23497,#23498)); #3811=EDGE_LOOP('',(#23499,#23500,#23501,#23502)); #3812=EDGE_LOOP('',(#23503,#23504,#23505,#23506)); #3813=EDGE_LOOP('',(#23507,#23508,#23509,#23510)); #3814=EDGE_LOOP('',(#23511,#23512,#23513,#23514)); #3815=EDGE_LOOP('',(#23515,#23516,#23517,#23518)); #3816=EDGE_LOOP('',(#23519,#23520,#23521,#23522)); #3817=EDGE_LOOP('',(#23523,#23524,#23525,#23526)); #3818=EDGE_LOOP('',(#23527,#23528,#23529,#23530)); #3819=EDGE_LOOP('',(#23531,#23532,#23533,#23534)); #3820=EDGE_LOOP('',(#23535,#23536,#23537,#23538)); #3821=EDGE_LOOP('',(#23539,#23540,#23541,#23542)); #3822=EDGE_LOOP('',(#23543,#23544,#23545,#23546)); #3823=EDGE_LOOP('',(#23547,#23548,#23549,#23550)); #3824=EDGE_LOOP('',(#23551,#23552,#23553,#23554)); #3825=EDGE_LOOP('',(#23555,#23556,#23557,#23558)); #3826=EDGE_LOOP('',(#23559,#23560,#23561,#23562)); #3827=EDGE_LOOP('',(#23563,#23564,#23565,#23566)); #3828=EDGE_LOOP('',(#23567,#23568,#23569,#23570)); #3829=EDGE_LOOP('',(#23571,#23572,#23573,#23574)); #3830=EDGE_LOOP('',(#23575,#23576,#23577,#23578)); #3831=EDGE_LOOP('',(#23579,#23580,#23581,#23582)); #3832=EDGE_LOOP('',(#23583,#23584,#23585,#23586)); #3833=EDGE_LOOP('',(#23587,#23588,#23589,#23590,#23591,#23592,#23593,#23594, #23595,#23596,#23597,#23598,#23599,#23600,#23601,#23602,#23603,#23604,#23605, #23606,#23607,#23608,#23609,#23610,#23611,#23612,#23613,#23614,#23615,#23616, #23617,#23618,#23619,#23620,#23621,#23622)); #3834=EDGE_LOOP('',(#23623,#23624,#23625,#23626,#23627,#23628,#23629,#23630, #23631,#23632,#23633,#23634,#23635,#23636,#23637,#23638,#23639,#23640,#23641, #23642,#23643,#23644,#23645,#23646,#23647,#23648,#23649,#23650,#23651,#23652, #23653,#23654,#23655,#23656,#23657,#23658)); #3835=EDGE_LOOP('',(#23659,#23660,#23661,#23662)); #3836=EDGE_LOOP('',(#23663,#23664,#23665,#23666)); #3837=EDGE_LOOP('',(#23667,#23668,#23669,#23670)); #3838=EDGE_LOOP('',(#23671,#23672,#23673,#23674)); #3839=EDGE_LOOP('',(#23675,#23676,#23677,#23678)); #3840=EDGE_LOOP('',(#23679,#23680,#23681,#23682)); #3841=EDGE_LOOP('',(#23683,#23684,#23685,#23686)); #3842=EDGE_LOOP('',(#23687,#23688,#23689,#23690)); #3843=EDGE_LOOP('',(#23691,#23692,#23693,#23694)); #3844=EDGE_LOOP('',(#23695,#23696,#23697,#23698)); #3845=EDGE_LOOP('',(#23699,#23700,#23701,#23702)); #3846=EDGE_LOOP('',(#23703,#23704,#23705,#23706)); #3847=EDGE_LOOP('',(#23707,#23708,#23709,#23710)); #3848=EDGE_LOOP('',(#23711,#23712,#23713,#23714)); #3849=EDGE_LOOP('',(#23715,#23716,#23717,#23718)); #3850=EDGE_LOOP('',(#23719,#23720,#23721,#23722)); #3851=EDGE_LOOP('',(#23723,#23724,#23725,#23726)); #3852=EDGE_LOOP('',(#23727,#23728,#23729,#23730)); #3853=EDGE_LOOP('',(#23731,#23732,#23733,#23734)); #3854=EDGE_LOOP('',(#23735,#23736,#23737,#23738)); #3855=EDGE_LOOP('',(#23739,#23740,#23741,#23742)); #3856=EDGE_LOOP('',(#23743,#23744,#23745,#23746)); #3857=EDGE_LOOP('',(#23747,#23748,#23749,#23750)); #3858=EDGE_LOOP('',(#23751,#23752,#23753,#23754)); #3859=EDGE_LOOP('',(#23755,#23756,#23757,#23758)); #3860=EDGE_LOOP('',(#23759,#23760,#23761,#23762)); #3861=EDGE_LOOP('',(#23763,#23764,#23765,#23766)); #3862=EDGE_LOOP('',(#23767,#23768,#23769,#23770)); #3863=EDGE_LOOP('',(#23771,#23772,#23773,#23774)); #3864=EDGE_LOOP('',(#23775,#23776,#23777,#23778)); #3865=EDGE_LOOP('',(#23779,#23780,#23781,#23782)); #3866=EDGE_LOOP('',(#23783,#23784,#23785,#23786)); #3867=EDGE_LOOP('',(#23787,#23788,#23789,#23790)); #3868=EDGE_LOOP('',(#23791,#23792,#23793,#23794)); #3869=EDGE_LOOP('',(#23795,#23796,#23797,#23798,#23799,#23800,#23801,#23802, #23803,#23804,#23805,#23806,#23807,#23808,#23809,#23810,#23811,#23812,#23813, #23814,#23815,#23816,#23817,#23818,#23819,#23820,#23821,#23822,#23823,#23824, #23825,#23826,#23827,#23828)); #3870=EDGE_LOOP('',(#23829,#23830,#23831,#23832,#23833,#23834,#23835,#23836, #23837,#23838,#23839,#23840,#23841,#23842,#23843,#23844,#23845,#23846,#23847, #23848,#23849,#23850,#23851,#23852,#23853,#23854,#23855,#23856,#23857,#23858, #23859,#23860,#23861,#23862)); #3871=EDGE_LOOP('',(#23863,#23864,#23865,#23866)); #3872=EDGE_LOOP('',(#23867,#23868,#23869,#23870)); #3873=EDGE_LOOP('',(#23871,#23872,#23873,#23874)); #3874=EDGE_LOOP('',(#23875,#23876,#23877,#23878)); #3875=EDGE_LOOP('',(#23879,#23880,#23881,#23882)); #3876=EDGE_LOOP('',(#23883,#23884,#23885,#23886)); #3877=EDGE_LOOP('',(#23887,#23888,#23889,#23890)); #3878=EDGE_LOOP('',(#23891,#23892,#23893,#23894)); #3879=EDGE_LOOP('',(#23895,#23896,#23897,#23898)); #3880=EDGE_LOOP('',(#23899,#23900,#23901,#23902,#23903,#23904,#23905,#23906)); #3881=EDGE_LOOP('',(#23907)); #3882=EDGE_LOOP('',(#23908,#23909,#23910,#23911,#23912,#23913,#23914,#23915)); #3883=EDGE_LOOP('',(#23916)); #3884=EDGE_LOOP('',(#23917,#23918,#23919,#23920)); #3885=EDGE_LOOP('',(#23921,#23922,#23923,#23924)); #3886=EDGE_LOOP('',(#23925,#23926,#23927,#23928)); #3887=EDGE_LOOP('',(#23929,#23930,#23931,#23932)); #3888=EDGE_LOOP('',(#23933,#23934,#23935,#23936)); #3889=EDGE_LOOP('',(#23937,#23938,#23939,#23940)); #3890=EDGE_LOOP('',(#23941,#23942,#23943,#23944)); #3891=EDGE_LOOP('',(#23945,#23946,#23947,#23948)); #3892=EDGE_LOOP('',(#23949,#23950,#23951,#23952)); #3893=EDGE_LOOP('',(#23953,#23954,#23955,#23956,#23957,#23958,#23959,#23960)); #3894=EDGE_LOOP('',(#23961)); #3895=EDGE_LOOP('',(#23962,#23963,#23964,#23965,#23966,#23967,#23968,#23969)); #3896=EDGE_LOOP('',(#23970)); #3897=EDGE_LOOP('',(#23971)); #3898=EDGE_LOOP('',(#23972)); #3899=EDGE_LOOP('',(#23973,#23974,#23975,#23976)); #3900=EDGE_LOOP('',(#23977,#23978,#23979,#23980)); #3901=EDGE_LOOP('',(#23981)); #3902=EDGE_LOOP('',(#23982)); #3903=EDGE_LOOP('',(#23983,#23984,#23985,#23986)); #3904=EDGE_LOOP('',(#23987,#23988,#23989,#23990)); #3905=EDGE_LOOP('',(#23991,#23992,#23993,#23994)); #3906=EDGE_LOOP('',(#23995,#23996,#23997,#23998)); #3907=EDGE_LOOP('',(#23999,#24000,#24001,#24002)); #3908=EDGE_LOOP('',(#24003,#24004,#24005,#24006)); #3909=EDGE_LOOP('',(#24007,#24008,#24009,#24010)); #3910=EDGE_LOOP('',(#24011,#24012,#24013,#24014)); #3911=EDGE_LOOP('',(#24015,#24016,#24017,#24018)); #3912=EDGE_LOOP('',(#24019,#24020,#24021,#24022)); #3913=EDGE_LOOP('',(#24023,#24024,#24025,#24026)); #3914=EDGE_LOOP('',(#24027,#24028,#24029,#24030)); #3915=EDGE_LOOP('',(#24031,#24032,#24033,#24034)); #3916=EDGE_LOOP('',(#24035,#24036,#24037,#24038)); #3917=EDGE_LOOP('',(#24039,#24040,#24041,#24042)); #3918=EDGE_LOOP('',(#24043,#24044,#24045,#24046)); #3919=EDGE_LOOP('',(#24047,#24048,#24049,#24050)); #3920=EDGE_LOOP('',(#24051,#24052,#24053,#24054)); #3921=EDGE_LOOP('',(#24055,#24056,#24057,#24058)); #3922=EDGE_LOOP('',(#24059,#24060,#24061,#24062)); #3923=EDGE_LOOP('',(#24063,#24064,#24065,#24066)); #3924=EDGE_LOOP('',(#24067,#24068,#24069,#24070)); #3925=EDGE_LOOP('',(#24071,#24072,#24073,#24074)); #3926=EDGE_LOOP('',(#24075,#24076,#24077,#24078)); #3927=EDGE_LOOP('',(#24079,#24080,#24081,#24082)); #3928=EDGE_LOOP('',(#24083,#24084,#24085,#24086)); #3929=EDGE_LOOP('',(#24087,#24088,#24089,#24090)); #3930=EDGE_LOOP('',(#24091,#24092,#24093,#24094)); #3931=EDGE_LOOP('',(#24095,#24096,#24097,#24098)); #3932=EDGE_LOOP('',(#24099,#24100,#24101,#24102)); #3933=EDGE_LOOP('',(#24103,#24104,#24105,#24106)); #3934=EDGE_LOOP('',(#24107,#24108,#24109,#24110)); #3935=EDGE_LOOP('',(#24111,#24112,#24113,#24114)); #3936=EDGE_LOOP('',(#24115,#24116,#24117,#24118)); #3937=EDGE_LOOP('',(#24119,#24120,#24121,#24122)); #3938=EDGE_LOOP('',(#24123,#24124,#24125,#24126,#24127,#24128,#24129,#24130, #24131,#24132,#24133,#24134,#24135,#24136,#24137,#24138,#24139,#24140,#24141, #24142,#24143,#24144,#24145,#24146,#24147,#24148,#24149,#24150,#24151,#24152, #24153,#24154,#24155,#24156)); #3939=EDGE_LOOP('',(#24157)); #3940=EDGE_LOOP('',(#24158,#24159,#24160,#24161,#24162,#24163,#24164,#24165, #24166,#24167,#24168,#24169,#24170,#24171,#24172,#24173,#24174,#24175,#24176, #24177,#24178,#24179,#24180,#24181,#24182,#24183,#24184,#24185,#24186,#24187, #24188,#24189,#24190,#24191)); #3941=EDGE_LOOP('',(#24192)); #3942=EDGE_LOOP('',(#24193,#24194,#24195,#24196)); #3943=EDGE_LOOP('',(#24197,#24198,#24199,#24200)); #3944=EDGE_LOOP('',(#24201,#24202,#24203,#24204)); #3945=EDGE_LOOP('',(#24205,#24206,#24207,#24208)); #3946=EDGE_LOOP('',(#24209,#24210,#24211,#24212)); #3947=EDGE_LOOP('',(#24213,#24214,#24215,#24216)); #3948=EDGE_LOOP('',(#24217,#24218,#24219,#24220)); #3949=EDGE_LOOP('',(#24221,#24222,#24223,#24224)); #3950=EDGE_LOOP('',(#24225,#24226,#24227,#24228)); #3951=EDGE_LOOP('',(#24229,#24230,#24231,#24232)); #3952=EDGE_LOOP('',(#24233,#24234,#24235,#24236)); #3953=EDGE_LOOP('',(#24237,#24238,#24239,#24240)); #3954=EDGE_LOOP('',(#24241,#24242,#24243,#24244)); #3955=EDGE_LOOP('',(#24245,#24246,#24247,#24248)); #3956=EDGE_LOOP('',(#24249,#24250,#24251,#24252)); #3957=EDGE_LOOP('',(#24253,#24254,#24255,#24256)); #3958=EDGE_LOOP('',(#24257,#24258,#24259,#24260)); #3959=EDGE_LOOP('',(#24261,#24262,#24263,#24264)); #3960=EDGE_LOOP('',(#24265,#24266,#24267,#24268,#24269,#24270,#24271,#24272, #24273,#24274,#24275,#24276,#24277,#24278,#24279,#24280,#24281,#24282)); #3961=EDGE_LOOP('',(#24283,#24284,#24285,#24286,#24287,#24288,#24289,#24290, #24291,#24292,#24293,#24294,#24295,#24296,#24297,#24298,#24299,#24300)); #3962=EDGE_LOOP('',(#24301,#24302,#24303,#24304)); #3963=EDGE_LOOP('',(#24305,#24306,#24307,#24308)); #3964=EDGE_LOOP('',(#24309,#24310,#24311,#24312)); #3965=EDGE_LOOP('',(#24313,#24314,#24315,#24316)); #3966=EDGE_LOOP('',(#24317,#24318,#24319,#24320)); #3967=EDGE_LOOP('',(#24321,#24322,#24323,#24324)); #3968=EDGE_LOOP('',(#24325,#24326,#24327,#24328)); #3969=EDGE_LOOP('',(#24329,#24330,#24331,#24332)); #3970=EDGE_LOOP('',(#24333,#24334,#24335,#24336)); #3971=EDGE_LOOP('',(#24337,#24338,#24339,#24340)); #3972=EDGE_LOOP('',(#24341,#24342,#24343,#24344)); #3973=EDGE_LOOP('',(#24345,#24346,#24347,#24348)); #3974=EDGE_LOOP('',(#24349,#24350,#24351,#24352)); #3975=EDGE_LOOP('',(#24353,#24354,#24355,#24356)); #3976=EDGE_LOOP('',(#24357,#24358,#24359,#24360)); #3977=EDGE_LOOP('',(#24361,#24362,#24363,#24364)); #3978=EDGE_LOOP('',(#24365,#24366,#24367,#24368)); #3979=EDGE_LOOP('',(#24369,#24370,#24371,#24372)); #3980=EDGE_LOOP('',(#24373,#24374,#24375,#24376)); #3981=EDGE_LOOP('',(#24377,#24378,#24379,#24380)); #3982=EDGE_LOOP('',(#24381,#24382,#24383,#24384)); #3983=EDGE_LOOP('',(#24385,#24386,#24387,#24388)); #3984=EDGE_LOOP('',(#24389,#24390,#24391,#24392)); #3985=EDGE_LOOP('',(#24393,#24394,#24395,#24396)); #3986=EDGE_LOOP('',(#24397,#24398,#24399,#24400)); #3987=EDGE_LOOP('',(#24401,#24402,#24403,#24404)); #3988=EDGE_LOOP('',(#24405,#24406,#24407,#24408)); #3989=EDGE_LOOP('',(#24409,#24410,#24411,#24412)); #3990=EDGE_LOOP('',(#24413,#24414,#24415,#24416)); #3991=EDGE_LOOP('',(#24417,#24418,#24419,#24420)); #3992=EDGE_LOOP('',(#24421,#24422,#24423,#24424)); #3993=EDGE_LOOP('',(#24425,#24426,#24427,#24428)); #3994=EDGE_LOOP('',(#24429,#24430,#24431,#24432)); #3995=EDGE_LOOP('',(#24433,#24434,#24435,#24436)); #3996=EDGE_LOOP('',(#24437,#24438,#24439,#24440)); #3997=EDGE_LOOP('',(#24441,#24442,#24443,#24444)); #3998=EDGE_LOOP('',(#24445,#24446,#24447,#24448,#24449,#24450,#24451,#24452, #24453,#24454,#24455,#24456,#24457,#24458,#24459,#24460,#24461,#24462,#24463, #24464,#24465,#24466,#24467,#24468,#24469,#24470,#24471,#24472,#24473,#24474, #24475,#24476,#24477,#24478,#24479,#24480)); #3999=EDGE_LOOP('',(#24481,#24482,#24483,#24484,#24485,#24486,#24487,#24488, #24489,#24490,#24491,#24492,#24493,#24494,#24495,#24496,#24497,#24498,#24499, #24500,#24501,#24502,#24503,#24504,#24505,#24506,#24507,#24508,#24509,#24510, #24511,#24512,#24513,#24514,#24515,#24516)); #4000=EDGE_LOOP('',(#24517,#24518,#24519)); #4001=EDGE_LOOP('',(#24520,#24521,#24522,#24523,#24524)); #4002=EDGE_LOOP('',(#24525,#24526,#24527,#24528,#24529)); #4003=EDGE_LOOP('',(#24530)); #4004=EDGE_LOOP('',(#24531)); #4005=EDGE_LOOP('',(#24532,#24533,#24534,#24535)); #4006=EDGE_LOOP('',(#24536,#24537,#24538,#24539)); #4007=EDGE_LOOP('',(#24540,#24541,#24542,#24543)); #4008=EDGE_LOOP('',(#24544,#24545,#24546,#24547)); #4009=EDGE_LOOP('',(#24548,#24549,#24550,#24551)); #4010=EDGE_LOOP('',(#24552,#24553,#24554,#24555)); #4011=EDGE_LOOP('',(#24556,#24557,#24558,#24559)); #4012=EDGE_LOOP('',(#24560,#24561,#24562,#24563)); #4013=EDGE_LOOP('',(#24564,#24565,#24566,#24567)); #4014=EDGE_LOOP('',(#24568,#24569,#24570,#24571)); #4015=EDGE_LOOP('',(#24572,#24573,#24574,#24575,#24576,#24577,#24578,#24579)); #4016=EDGE_LOOP('',(#24580)); #4017=EDGE_LOOP('',(#24581)); #4018=EDGE_LOOP('',(#24582,#24583,#24584,#24585,#24586,#24587,#24588,#24589)); #4019=EDGE_LOOP('',(#24590)); #4020=EDGE_LOOP('',(#24591)); #4021=EDGE_LOOP('',(#24592)); #4022=EDGE_LOOP('',(#24593,#24594)); #4023=EDGE_LOOP('',(#24595,#24596,#24597,#24598)); #4024=EDGE_LOOP('',(#24599,#24600,#24601,#24602)); #4025=EDGE_LOOP('',(#24603,#24604,#24605,#24606)); #4026=EDGE_LOOP('',(#24607,#24608,#24609,#24610)); #4027=EDGE_LOOP('',(#24611,#24612,#24613,#24614)); #4028=EDGE_LOOP('',(#24615,#24616,#24617,#24618)); #4029=EDGE_LOOP('',(#24619,#24620,#24621,#24622)); #4030=EDGE_LOOP('',(#24623,#24624,#24625,#24626)); #4031=EDGE_LOOP('',(#24627,#24628,#24629,#24630)); #4032=EDGE_LOOP('',(#24631,#24632,#24633,#24634)); #4033=EDGE_LOOP('',(#24635,#24636,#24637,#24638)); #4034=EDGE_LOOP('',(#24639,#24640,#24641,#24642,#24643,#24644,#24645,#24646, #24647,#24648)); #4035=EDGE_LOOP('',(#24649)); #4036=EDGE_LOOP('',(#24650,#24651,#24652,#24653,#24654,#24655,#24656,#24657, #24658,#24659)); #4037=EDGE_LOOP('',(#24660)); #4038=EDGE_LOOP('',(#24661,#24662,#24663,#24664)); #4039=EDGE_LOOP('',(#24665,#24666,#24667,#24668)); #4040=EDGE_LOOP('',(#24669,#24670,#24671,#24672)); #4041=EDGE_LOOP('',(#24673,#24674,#24675,#24676)); #4042=EDGE_LOOP('',(#24677,#24678,#24679,#24680)); #4043=EDGE_LOOP('',(#24681,#24682,#24683,#24684)); #4044=EDGE_LOOP('',(#24685,#24686,#24687,#24688)); #4045=EDGE_LOOP('',(#24689,#24690,#24691,#24692)); #4046=EDGE_LOOP('',(#24693,#24694,#24695,#24696)); #4047=EDGE_LOOP('',(#24697,#24698,#24699,#24700)); #4048=EDGE_LOOP('',(#24701,#24702,#24703,#24704)); #4049=EDGE_LOOP('',(#24705,#24706,#24707,#24708,#24709,#24710,#24711,#24712, #24713,#24714)); #4050=EDGE_LOOP('',(#24715)); #4051=EDGE_LOOP('',(#24716,#24717,#24718,#24719,#24720,#24721,#24722,#24723, #24724,#24725)); #4052=EDGE_LOOP('',(#24726)); #4053=EDGE_LOOP('',(#24727,#24728,#24729,#24730)); #4054=EDGE_LOOP('',(#24731,#24732,#24733,#24734)); #4055=EDGE_LOOP('',(#24735,#24736,#24737,#24738)); #4056=EDGE_LOOP('',(#24739,#24740,#24741,#24742)); #4057=EDGE_LOOP('',(#24743,#24744,#24745,#24746)); #4058=EDGE_LOOP('',(#24747,#24748,#24749,#24750)); #4059=EDGE_LOOP('',(#24751,#24752,#24753,#24754)); #4060=EDGE_LOOP('',(#24755,#24756,#24757,#24758)); #4061=EDGE_LOOP('',(#24759,#24760,#24761,#24762)); #4062=EDGE_LOOP('',(#24763,#24764,#24765,#24766)); #4063=EDGE_LOOP('',(#24767,#24768,#24769,#24770)); #4064=EDGE_LOOP('',(#24771,#24772,#24773,#24774)); #4065=EDGE_LOOP('',(#24775,#24776,#24777,#24778)); #4066=EDGE_LOOP('',(#24779,#24780,#24781,#24782,#24783,#24784,#24785,#24786, #24787,#24788)); #4067=EDGE_LOOP('',(#24789)); #4068=EDGE_LOOP('',(#24790)); #4069=EDGE_LOOP('',(#24791)); #4070=EDGE_LOOP('',(#24792,#24793,#24794,#24795,#24796,#24797,#24798,#24799, #24800,#24801)); #4071=EDGE_LOOP('',(#24802)); #4072=EDGE_LOOP('',(#24803)); #4073=EDGE_LOOP('',(#24804)); #4074=EDGE_LOOP('',(#24805,#24806,#24807,#24808)); #4075=EDGE_LOOP('',(#24809,#24810,#24811,#24812)); #4076=EDGE_LOOP('',(#24813,#24814,#24815,#24816)); #4077=EDGE_LOOP('',(#24817,#24818,#24819,#24820)); #4078=EDGE_LOOP('',(#24821,#24822,#24823,#24824)); #4079=EDGE_LOOP('',(#24825,#24826,#24827,#24828)); #4080=EDGE_LOOP('',(#24829,#24830,#24831,#24832)); #4081=EDGE_LOOP('',(#24833,#24834,#24835,#24836)); #4082=EDGE_LOOP('',(#24837,#24838,#24839,#24840)); #4083=EDGE_LOOP('',(#24841,#24842,#24843,#24844)); #4084=EDGE_LOOP('',(#24845,#24846,#24847,#24848)); #4085=EDGE_LOOP('',(#24849,#24850,#24851,#24852)); #4086=EDGE_LOOP('',(#24853,#24854,#24855,#24856)); #4087=EDGE_LOOP('',(#24857,#24858,#24859,#24860,#24861,#24862,#24863,#24864, #24865,#24866)); #4088=EDGE_LOOP('',(#24867)); #4089=EDGE_LOOP('',(#24868)); #4090=EDGE_LOOP('',(#24869)); #4091=EDGE_LOOP('',(#24870,#24871,#24872,#24873,#24874,#24875,#24876,#24877, #24878,#24879)); #4092=EDGE_LOOP('',(#24880)); #4093=EDGE_LOOP('',(#24881)); #4094=EDGE_LOOP('',(#24882)); #4095=EDGE_LOOP('',(#24883,#24884,#24885,#24886)); #4096=EDGE_LOOP('',(#24887,#24888,#24889,#24890)); #4097=EDGE_LOOP('',(#24891,#24892,#24893,#24894)); #4098=EDGE_LOOP('',(#24895,#24896,#24897,#24898)); #4099=EDGE_LOOP('',(#24899,#24900,#24901,#24902)); #4100=EDGE_LOOP('',(#24903,#24904,#24905,#24906)); #4101=EDGE_LOOP('',(#24907,#24908,#24909,#24910)); #4102=EDGE_LOOP('',(#24911,#24912,#24913,#24914)); #4103=EDGE_LOOP('',(#24915,#24916,#24917,#24918)); #4104=EDGE_LOOP('',(#24919,#24920,#24921,#24922)); #4105=EDGE_LOOP('',(#24923,#24924,#24925,#24926)); #4106=EDGE_LOOP('',(#24927,#24928,#24929,#24930,#24931,#24932,#24933,#24934, #24935,#24936)); #4107=EDGE_LOOP('',(#24937)); #4108=EDGE_LOOP('',(#24938,#24939,#24940,#24941,#24942,#24943,#24944,#24945, #24946,#24947)); #4109=EDGE_LOOP('',(#24948)); #4110=EDGE_LOOP('',(#24949,#24950,#24951,#24952)); #4111=EDGE_LOOP('',(#24953,#24954,#24955,#24956)); #4112=EDGE_LOOP('',(#24957,#24958,#24959,#24960)); #4113=EDGE_LOOP('',(#24961,#24962,#24963,#24964)); #4114=EDGE_LOOP('',(#24965,#24966,#24967,#24968)); #4115=EDGE_LOOP('',(#24969,#24970,#24971,#24972)); #4116=EDGE_LOOP('',(#24973,#24974,#24975,#24976)); #4117=EDGE_LOOP('',(#24977,#24978,#24979,#24980)); #4118=EDGE_LOOP('',(#24981,#24982,#24983,#24984)); #4119=EDGE_LOOP('',(#24985,#24986,#24987,#24988)); #4120=EDGE_LOOP('',(#24989,#24990,#24991,#24992)); #4121=EDGE_LOOP('',(#24993,#24994,#24995,#24996,#24997,#24998,#24999,#25000, #25001,#25002)); #4122=EDGE_LOOP('',(#25003)); #4123=EDGE_LOOP('',(#25004,#25005,#25006,#25007,#25008,#25009,#25010,#25011, #25012,#25013)); #4124=EDGE_LOOP('',(#25014)); #4125=EDGE_LOOP('',(#25015,#25016,#25017,#25018)); #4126=EDGE_LOOP('',(#25019,#25020,#25021,#25022)); #4127=EDGE_LOOP('',(#25023,#25024,#25025,#25026)); #4128=EDGE_LOOP('',(#25027,#25028,#25029,#25030)); #4129=EDGE_LOOP('',(#25031,#25032,#25033,#25034)); #4130=EDGE_LOOP('',(#25035,#25036,#25037,#25038)); #4131=EDGE_LOOP('',(#25039,#25040,#25041,#25042)); #4132=EDGE_LOOP('',(#25043,#25044,#25045,#25046)); #4133=EDGE_LOOP('',(#25047,#25048,#25049,#25050)); #4134=EDGE_LOOP('',(#25051,#25052,#25053,#25054)); #4135=EDGE_LOOP('',(#25055,#25056,#25057,#25058)); #4136=EDGE_LOOP('',(#25059,#25060,#25061,#25062)); #4137=EDGE_LOOP('',(#25063,#25064,#25065,#25066)); #4138=EDGE_LOOP('',(#25067,#25068,#25069,#25070,#25071,#25072,#25073,#25074, #25075,#25076)); #4139=EDGE_LOOP('',(#25077)); #4140=EDGE_LOOP('',(#25078)); #4141=EDGE_LOOP('',(#25079)); #4142=EDGE_LOOP('',(#25080,#25081,#25082,#25083,#25084,#25085,#25086,#25087, #25088,#25089)); #4143=EDGE_LOOP('',(#25090)); #4144=EDGE_LOOP('',(#25091)); #4145=EDGE_LOOP('',(#25092)); #4146=EDGE_LOOP('',(#25093,#25094,#25095,#25096)); #4147=EDGE_LOOP('',(#25097,#25098,#25099,#25100)); #4148=EDGE_LOOP('',(#25101,#25102,#25103,#25104)); #4149=EDGE_LOOP('',(#25105,#25106,#25107,#25108)); #4150=EDGE_LOOP('',(#25109,#25110,#25111,#25112)); #4151=EDGE_LOOP('',(#25113,#25114,#25115,#25116)); #4152=EDGE_LOOP('',(#25117,#25118,#25119,#25120)); #4153=EDGE_LOOP('',(#25121,#25122,#25123,#25124)); #4154=EDGE_LOOP('',(#25125,#25126,#25127,#25128)); #4155=EDGE_LOOP('',(#25129,#25130,#25131,#25132)); #4156=EDGE_LOOP('',(#25133,#25134,#25135,#25136)); #4157=EDGE_LOOP('',(#25137,#25138,#25139,#25140)); #4158=EDGE_LOOP('',(#25141,#25142,#25143,#25144)); #4159=EDGE_LOOP('',(#25145,#25146,#25147,#25148,#25149,#25150,#25151,#25152, #25153,#25154)); #4160=EDGE_LOOP('',(#25155)); #4161=EDGE_LOOP('',(#25156)); #4162=EDGE_LOOP('',(#25157)); #4163=EDGE_LOOP('',(#25158,#25159,#25160,#25161,#25162,#25163,#25164,#25165, #25166,#25167)); #4164=EDGE_LOOP('',(#25168)); #4165=EDGE_LOOP('',(#25169)); #4166=EDGE_LOOP('',(#25170)); #4167=EDGE_LOOP('',(#25171,#25172,#25173,#25174)); #4168=EDGE_LOOP('',(#25175,#25176,#25177,#25178)); #4169=EDGE_LOOP('',(#25179,#25180,#25181,#25182)); #4170=EDGE_LOOP('',(#25183,#25184,#25185,#25186)); #4171=EDGE_LOOP('',(#25187,#25188,#25189,#25190)); #4172=EDGE_LOOP('',(#25191,#25192,#25193,#25194)); #4173=EDGE_LOOP('',(#25195,#25196,#25197,#25198)); #4174=EDGE_LOOP('',(#25199,#25200,#25201,#25202)); #4175=EDGE_LOOP('',(#25203,#25204,#25205,#25206)); #4176=EDGE_LOOP('',(#25207,#25208,#25209,#25210)); #4177=EDGE_LOOP('',(#25211,#25212,#25213,#25214)); #4178=EDGE_LOOP('',(#25215,#25216,#25217,#25218)); #4179=EDGE_LOOP('',(#25219,#25220,#25221,#25222)); #4180=EDGE_LOOP('',(#25223,#25224,#25225,#25226)); #4181=EDGE_LOOP('',(#25227,#25228,#25229,#25230)); #4182=EDGE_LOOP('',(#25231,#25232,#25233,#25234)); #4183=EDGE_LOOP('',(#25235,#25236,#25237,#25238)); #4184=EDGE_LOOP('',(#25239,#25240,#25241,#25242)); #4185=EDGE_LOOP('',(#25243,#25244,#25245,#25246)); #4186=EDGE_LOOP('',(#25247,#25248,#25249,#25250)); #4187=EDGE_LOOP('',(#25251,#25252,#25253,#25254)); #4188=EDGE_LOOP('',(#25255,#25256,#25257,#25258)); #4189=EDGE_LOOP('',(#25259,#25260,#25261,#25262)); #4190=EDGE_LOOP('',(#25263,#25264,#25265,#25266)); #4191=EDGE_LOOP('',(#25267,#25268,#25269,#25270)); #4192=EDGE_LOOP('',(#25271,#25272,#25273,#25274)); #4193=EDGE_LOOP('',(#25275,#25276,#25277,#25278)); #4194=EDGE_LOOP('',(#25279,#25280,#25281,#25282)); #4195=EDGE_LOOP('',(#25283,#25284,#25285,#25286)); #4196=EDGE_LOOP('',(#25287,#25288,#25289,#25290)); #4197=EDGE_LOOP('',(#25291,#25292,#25293,#25294)); #4198=EDGE_LOOP('',(#25295,#25296,#25297,#25298)); #4199=EDGE_LOOP('',(#25299,#25300,#25301,#25302)); #4200=EDGE_LOOP('',(#25303,#25304,#25305,#25306)); #4201=EDGE_LOOP('',(#25307,#25308,#25309,#25310)); #4202=EDGE_LOOP('',(#25311,#25312,#25313,#25314)); #4203=EDGE_LOOP('',(#25315,#25316,#25317,#25318)); #4204=EDGE_LOOP('',(#25319,#25320,#25321,#25322)); #4205=EDGE_LOOP('',(#25323,#25324,#25325,#25326)); #4206=EDGE_LOOP('',(#25327,#25328,#25329,#25330)); #4207=EDGE_LOOP('',(#25331,#25332,#25333,#25334)); #4208=EDGE_LOOP('',(#25335,#25336,#25337,#25338)); #4209=EDGE_LOOP('',(#25339,#25340,#25341,#25342)); #4210=EDGE_LOOP('',(#25343,#25344,#25345,#25346)); #4211=EDGE_LOOP('',(#25347,#25348,#25349,#25350)); #4212=EDGE_LOOP('',(#25351,#25352,#25353,#25354)); #4213=EDGE_LOOP('',(#25355,#25356,#25357,#25358)); #4214=EDGE_LOOP('',(#25359,#25360,#25361,#25362)); #4215=EDGE_LOOP('',(#25363,#25364,#25365,#25366)); #4216=EDGE_LOOP('',(#25367,#25368,#25369,#25370)); #4217=EDGE_LOOP('',(#25371,#25372,#25373,#25374)); #4218=EDGE_LOOP('',(#25375,#25376,#25377,#25378)); #4219=EDGE_LOOP('',(#25379,#25380,#25381,#25382)); #4220=EDGE_LOOP('',(#25383,#25384,#25385,#25386)); #4221=EDGE_LOOP('',(#25387,#25388,#25389,#25390)); #4222=EDGE_LOOP('',(#25391,#25392,#25393,#25394)); #4223=EDGE_LOOP('',(#25395,#25396,#25397,#25398)); #4224=EDGE_LOOP('',(#25399,#25400,#25401,#25402)); #4225=EDGE_LOOP('',(#25403,#25404,#25405,#25406)); #4226=EDGE_LOOP('',(#25407,#25408,#25409,#25410)); #4227=EDGE_LOOP('',(#25411,#25412,#25413,#25414)); #4228=EDGE_LOOP('',(#25415,#25416,#25417,#25418)); #4229=EDGE_LOOP('',(#25419,#25420,#25421,#25422)); #4230=EDGE_LOOP('',(#25423,#25424,#25425,#25426)); #4231=EDGE_LOOP('',(#25427,#25428,#25429,#25430)); #4232=EDGE_LOOP('',(#25431,#25432,#25433,#25434)); #4233=EDGE_LOOP('',(#25435,#25436,#25437,#25438)); #4234=EDGE_LOOP('',(#25439,#25440,#25441,#25442)); #4235=EDGE_LOOP('',(#25443,#25444,#25445,#25446)); #4236=EDGE_LOOP('',(#25447,#25448,#25449,#25450)); #4237=EDGE_LOOP('',(#25451,#25452,#25453,#25454)); #4238=EDGE_LOOP('',(#25455,#25456,#25457,#25458)); #4239=EDGE_LOOP('',(#25459,#25460,#25461,#25462)); #4240=EDGE_LOOP('',(#25463,#25464,#25465,#25466)); #4241=EDGE_LOOP('',(#25467,#25468,#25469,#25470)); #4242=EDGE_LOOP('',(#25471,#25472,#25473,#25474)); #4243=EDGE_LOOP('',(#25475,#25476,#25477,#25478)); #4244=EDGE_LOOP('',(#25479,#25480,#25481,#25482)); #4245=EDGE_LOOP('',(#25483,#25484,#25485,#25486)); #4246=EDGE_LOOP('',(#25487,#25488,#25489,#25490)); #4247=EDGE_LOOP('',(#25491,#25492,#25493,#25494)); #4248=EDGE_LOOP('',(#25495,#25496,#25497,#25498)); #4249=EDGE_LOOP('',(#25499,#25500,#25501,#25502)); #4250=EDGE_LOOP('',(#25503,#25504,#25505,#25506)); #4251=EDGE_LOOP('',(#25507,#25508,#25509,#25510)); #4252=EDGE_LOOP('',(#25511,#25512,#25513,#25514)); #4253=EDGE_LOOP('',(#25515,#25516,#25517,#25518)); #4254=EDGE_LOOP('',(#25519,#25520,#25521,#25522)); #4255=EDGE_LOOP('',(#25523,#25524,#25525,#25526)); #4256=EDGE_LOOP('',(#25527,#25528,#25529,#25530)); #4257=EDGE_LOOP('',(#25531,#25532,#25533,#25534)); #4258=EDGE_LOOP('',(#25535,#25536,#25537,#25538)); #4259=EDGE_LOOP('',(#25539,#25540,#25541,#25542)); #4260=EDGE_LOOP('',(#25543,#25544,#25545,#25546)); #4261=EDGE_LOOP('',(#25547,#25548,#25549,#25550)); #4262=EDGE_LOOP('',(#25551,#25552,#25553,#25554)); #4263=EDGE_LOOP('',(#25555,#25556,#25557,#25558)); #4264=EDGE_LOOP('',(#25559,#25560,#25561,#25562)); #4265=EDGE_LOOP('',(#25563,#25564,#25565,#25566)); #4266=EDGE_LOOP('',(#25567,#25568,#25569,#25570)); #4267=EDGE_LOOP('',(#25571,#25572,#25573,#25574)); #4268=EDGE_LOOP('',(#25575,#25576,#25577,#25578)); #4269=EDGE_LOOP('',(#25579,#25580,#25581,#25582)); #4270=EDGE_LOOP('',(#25583,#25584,#25585,#25586)); #4271=EDGE_LOOP('',(#25587,#25588,#25589,#25590)); #4272=EDGE_LOOP('',(#25591,#25592,#25593,#25594)); #4273=EDGE_LOOP('',(#25595,#25596,#25597,#25598)); #4274=EDGE_LOOP('',(#25599,#25600,#25601,#25602)); #4275=EDGE_LOOP('',(#25603,#25604,#25605,#25606)); #4276=EDGE_LOOP('',(#25607,#25608,#25609,#25610)); #4277=EDGE_LOOP('',(#25611,#25612,#25613,#25614)); #4278=EDGE_LOOP('',(#25615,#25616,#25617,#25618)); #4279=EDGE_LOOP('',(#25619,#25620,#25621,#25622)); #4280=EDGE_LOOP('',(#25623,#25624,#25625,#25626)); #4281=EDGE_LOOP('',(#25627,#25628,#25629,#25630)); #4282=EDGE_LOOP('',(#25631,#25632,#25633,#25634)); #4283=EDGE_LOOP('',(#25635,#25636,#25637,#25638)); #4284=EDGE_LOOP('',(#25639,#25640,#25641,#25642)); #4285=EDGE_LOOP('',(#25643,#25644,#25645,#25646)); #4286=EDGE_LOOP('',(#25647,#25648,#25649,#25650)); #4287=EDGE_LOOP('',(#25651,#25652,#25653,#25654)); #4288=EDGE_LOOP('',(#25655,#25656,#25657,#25658)); #4289=EDGE_LOOP('',(#25659,#25660,#25661,#25662)); #4290=EDGE_LOOP('',(#25663,#25664,#25665,#25666)); #4291=EDGE_LOOP('',(#25667,#25668,#25669,#25670)); #4292=EDGE_LOOP('',(#25671,#25672,#25673,#25674)); #4293=EDGE_LOOP('',(#25675,#25676,#25677,#25678)); #4294=EDGE_LOOP('',(#25679,#25680,#25681,#25682)); #4295=EDGE_LOOP('',(#25683,#25684,#25685,#25686)); #4296=EDGE_LOOP('',(#25687,#25688,#25689,#25690)); #4297=EDGE_LOOP('',(#25691,#25692,#25693,#25694)); #4298=EDGE_LOOP('',(#25695,#25696,#25697,#25698)); #4299=EDGE_LOOP('',(#25699,#25700,#25701,#25702)); #4300=EDGE_LOOP('',(#25703,#25704,#25705,#25706)); #4301=EDGE_LOOP('',(#25707,#25708,#25709,#25710)); #4302=EDGE_LOOP('',(#25711,#25712,#25713,#25714)); #4303=EDGE_LOOP('',(#25715,#25716,#25717,#25718)); #4304=EDGE_LOOP('',(#25719,#25720,#25721,#25722)); #4305=EDGE_LOOP('',(#25723,#25724,#25725,#25726)); #4306=EDGE_LOOP('',(#25727,#25728,#25729,#25730)); #4307=EDGE_LOOP('',(#25731,#25732,#25733,#25734)); #4308=EDGE_LOOP('',(#25735,#25736,#25737,#25738,#25739,#25740,#25741,#25742, #25743,#25744,#25745,#25746,#25747,#25748,#25749,#25750,#25751,#25752,#25753, #25754,#25755,#25756,#25757,#25758,#25759,#25760,#25761,#25762,#25763,#25764, #25765,#25766)); #4309=EDGE_LOOP('',(#25767)); #4310=EDGE_LOOP('',(#25768,#25769,#25770,#25771)); #4311=EDGE_LOOP('',(#25772,#25773,#25774,#25775)); #4312=EDGE_LOOP('',(#25776,#25777,#25778,#25779)); #4313=EDGE_LOOP('',(#25780)); #4314=EDGE_LOOP('',(#25781,#25782,#25783,#25784)); #4315=EDGE_LOOP('',(#25785,#25786,#25787,#25788,#25789,#25790,#25791,#25792)); #4316=EDGE_LOOP('',(#25793,#25794,#25795,#25796)); #4317=EDGE_LOOP('',(#25797)); #4318=EDGE_LOOP('',(#25798)); #4319=EDGE_LOOP('',(#25799)); #4320=EDGE_LOOP('',(#25800,#25801,#25802,#25803)); #4321=EDGE_LOOP('',(#25804)); #4322=EDGE_LOOP('',(#25805)); #4323=EDGE_LOOP('',(#25806)); #4324=EDGE_LOOP('',(#25807,#25808,#25809,#25810)); #4325=EDGE_LOOP('',(#25811)); #4326=EDGE_LOOP('',(#25812)); #4327=EDGE_LOOP('',(#25813,#25814,#25815,#25816)); #4328=EDGE_LOOP('',(#25817,#25818,#25819,#25820)); #4329=EDGE_LOOP('',(#25821,#25822,#25823,#25824)); #4330=EDGE_LOOP('',(#25825,#25826,#25827,#25828)); #4331=EDGE_LOOP('',(#25829,#25830,#25831,#25832)); #4332=EDGE_LOOP('',(#25833)); #4333=EDGE_LOOP('',(#25834,#25835,#25836,#25837)); #4334=EDGE_LOOP('',(#25838,#25839,#25840,#25841)); #4335=EDGE_LOOP('',(#25842,#25843,#25844,#25845)); #4336=EDGE_LOOP('',(#25846,#25847,#25848,#25849)); #4337=EDGE_LOOP('',(#25850,#25851,#25852,#25853)); #4338=EDGE_LOOP('',(#25854,#25855,#25856,#25857)); #4339=EDGE_LOOP('',(#25858)); #4340=EDGE_LOOP('',(#25859)); #4341=EDGE_LOOP('',(#25860)); #4342=EDGE_LOOP('',(#25861)); #4343=EDGE_LOOP('',(#25862)); #4344=EDGE_LOOP('',(#25863)); #4345=EDGE_LOOP('',(#25864,#25865,#25866,#25867)); #4346=EDGE_LOOP('',(#25868,#25869,#25870,#25871)); #4347=EDGE_LOOP('',(#25872,#25873,#25874,#25875)); #4348=EDGE_LOOP('',(#25876,#25877,#25878,#25879,#25880,#25881,#25882,#25883, #25884,#25885,#25886,#25887,#25888,#25889,#25890,#25891,#25892,#25893,#25894, #25895,#25896,#25897,#25898,#25899,#25900,#25901,#25902,#25903,#25904,#25905, #25906,#25907)); #4349=EDGE_LOOP('',(#25908)); #4350=EDGE_LOOP('',(#25909,#25910,#25911,#25912)); #4351=EDGE_LOOP('',(#25913,#25914,#25915,#25916)); #4352=EDGE_LOOP('',(#25917,#25918,#25919,#25920)); #4353=EDGE_LOOP('',(#25921)); #4354=EDGE_LOOP('',(#25922,#25923,#25924,#25925)); #4355=EDGE_LOOP('',(#25926,#25927,#25928,#25929,#25930,#25931,#25932,#25933)); #4356=EDGE_LOOP('',(#25934,#25935,#25936,#25937)); #4357=EDGE_LOOP('',(#25938)); #4358=EDGE_LOOP('',(#25939)); #4359=EDGE_LOOP('',(#25940)); #4360=EDGE_LOOP('',(#25941,#25942,#25943,#25944)); #4361=EDGE_LOOP('',(#25945)); #4362=EDGE_LOOP('',(#25946)); #4363=EDGE_LOOP('',(#25947)); #4364=EDGE_LOOP('',(#25948,#25949,#25950,#25951)); #4365=EDGE_LOOP('',(#25952)); #4366=EDGE_LOOP('',(#25953)); #4367=EDGE_LOOP('',(#25954,#25955,#25956,#25957)); #4368=EDGE_LOOP('',(#25958,#25959,#25960,#25961)); #4369=EDGE_LOOP('',(#25962,#25963,#25964,#25965)); #4370=EDGE_LOOP('',(#25966,#25967,#25968,#25969)); #4371=EDGE_LOOP('',(#25970,#25971,#25972,#25973)); #4372=EDGE_LOOP('',(#25974)); #4373=EDGE_LOOP('',(#25975,#25976,#25977,#25978)); #4374=EDGE_LOOP('',(#25979,#25980,#25981,#25982)); #4375=EDGE_LOOP('',(#25983,#25984,#25985,#25986)); #4376=EDGE_LOOP('',(#25987,#25988,#25989,#25990)); #4377=EDGE_LOOP('',(#25991,#25992,#25993,#25994)); #4378=EDGE_LOOP('',(#25995,#25996,#25997,#25998)); #4379=EDGE_LOOP('',(#25999)); #4380=EDGE_LOOP('',(#26000)); #4381=EDGE_LOOP('',(#26001)); #4382=EDGE_LOOP('',(#26002)); #4383=EDGE_LOOP('',(#26003)); #4384=EDGE_LOOP('',(#26004)); #4385=EDGE_LOOP('',(#26005,#26006,#26007,#26008)); #4386=EDGE_LOOP('',(#26009,#26010,#26011,#26012)); #4387=EDGE_LOOP('',(#26013,#26014,#26015,#26016)); #4388=EDGE_LOOP('',(#26017,#26018,#26019,#26020)); #4389=EDGE_LOOP('',(#26021,#26022,#26023,#26024)); #4390=EDGE_LOOP('',(#26025,#26026,#26027,#26028)); #4391=EDGE_LOOP('',(#26029,#26030,#26031,#26032)); #4392=EDGE_LOOP('',(#26033,#26034,#26035,#26036)); #4393=EDGE_LOOP('',(#26037,#26038,#26039,#26040)); #4394=EDGE_LOOP('',(#26041,#26042,#26043,#26044)); #4395=EDGE_LOOP('',(#26045,#26046,#26047,#26048)); #4396=EDGE_LOOP('',(#26049,#26050,#26051,#26052)); #4397=EDGE_LOOP('',(#26053,#26054,#26055,#26056)); #4398=EDGE_LOOP('',(#26057,#26058,#26059,#26060)); #4399=EDGE_LOOP('',(#26061,#26062,#26063,#26064,#26065,#26066,#26067,#26068)); #4400=EDGE_LOOP('',(#26069)); #4401=EDGE_LOOP('',(#26070)); #4402=EDGE_LOOP('',(#26071)); #4403=EDGE_LOOP('',(#26072,#26073,#26074,#26075,#26076,#26077,#26078,#26079)); #4404=EDGE_LOOP('',(#26080)); #4405=EDGE_LOOP('',(#26081)); #4406=EDGE_LOOP('',(#26082)); #4407=EDGE_LOOP('',(#26083,#26084,#26085,#26086)); #4408=EDGE_LOOP('',(#26087,#26088,#26089,#26090)); #4409=EDGE_LOOP('',(#26091,#26092,#26093,#26094)); #4410=EDGE_LOOP('',(#26095,#26096,#26097,#26098)); #4411=EDGE_LOOP('',(#26099,#26100,#26101,#26102)); #4412=EDGE_LOOP('',(#26103,#26104,#26105,#26106)); #4413=EDGE_LOOP('',(#26107,#26108,#26109,#26110)); #4414=EDGE_LOOP('',(#26111,#26112,#26113,#26114)); #4415=EDGE_LOOP('',(#26115,#26116,#26117,#26118)); #4416=EDGE_LOOP('',(#26119,#26120,#26121,#26122)); #4417=EDGE_LOOP('',(#26123,#26124,#26125,#26126)); #4418=EDGE_LOOP('',(#26127,#26128,#26129,#26130)); #4419=EDGE_LOOP('',(#26131,#26132,#26133,#26134)); #4420=EDGE_LOOP('',(#26135,#26136,#26137,#26138)); #4421=EDGE_LOOP('',(#26139,#26140,#26141,#26142,#26143,#26144,#26145,#26146, #26147,#26148,#26149,#26150)); #4422=EDGE_LOOP('',(#26151)); #4423=EDGE_LOOP('',(#26152)); #4424=EDGE_LOOP('',(#26153,#26154,#26155,#26156,#26157,#26158,#26159,#26160, #26161,#26162,#26163,#26164)); #4425=EDGE_LOOP('',(#26165)); #4426=EDGE_LOOP('',(#26166)); #4427=EDGE_LOOP('',(#26167,#26168,#26169,#26170)); #4428=EDGE_LOOP('',(#26171,#26172,#26173,#26174)); #4429=EDGE_LOOP('',(#26175,#26176,#26177,#26178)); #4430=EDGE_LOOP('',(#26179,#26180,#26181,#26182)); #4431=EDGE_LOOP('',(#26183,#26184,#26185,#26186)); #4432=EDGE_LOOP('',(#26187,#26188,#26189,#26190)); #4433=EDGE_LOOP('',(#26191,#26192,#26193,#26194)); #4434=EDGE_LOOP('',(#26195,#26196,#26197,#26198)); #4435=EDGE_LOOP('',(#26199,#26200,#26201,#26202)); #4436=EDGE_LOOP('',(#26203,#26204,#26205,#26206)); #4437=EDGE_LOOP('',(#26207,#26208,#26209,#26210)); #4438=EDGE_LOOP('',(#26211,#26212,#26213,#26214)); #4439=EDGE_LOOP('',(#26215,#26216,#26217,#26218)); #4440=EDGE_LOOP('',(#26219,#26220,#26221,#26222)); #4441=EDGE_LOOP('',(#26223,#26224,#26225,#26226,#26227,#26228,#26229,#26230, #26231,#26232,#26233,#26234)); #4442=EDGE_LOOP('',(#26235)); #4443=EDGE_LOOP('',(#26236)); #4444=EDGE_LOOP('',(#26237,#26238,#26239,#26240,#26241,#26242,#26243,#26244, #26245,#26246,#26247,#26248)); #4445=EDGE_LOOP('',(#26249)); #4446=EDGE_LOOP('',(#26250)); #4447=EDGE_LOOP('',(#26251,#26252,#26253,#26254)); #4448=EDGE_LOOP('',(#26255,#26256,#26257,#26258)); #4449=EDGE_LOOP('',(#26259,#26260,#26261,#26262)); #4450=EDGE_LOOP('',(#26263,#26264,#26265,#26266)); #4451=EDGE_LOOP('',(#26267,#26268,#26269,#26270)); #4452=EDGE_LOOP('',(#26271,#26272,#26273,#26274)); #4453=EDGE_LOOP('',(#26275,#26276,#26277,#26278)); #4454=EDGE_LOOP('',(#26279,#26280,#26281,#26282)); #4455=EDGE_LOOP('',(#26283,#26284,#26285,#26286)); #4456=EDGE_LOOP('',(#26287,#26288,#26289,#26290)); #4457=EDGE_LOOP('',(#26291,#26292,#26293,#26294)); #4458=EDGE_LOOP('',(#26295,#26296,#26297,#26298)); #4459=EDGE_LOOP('',(#26299,#26300,#26301,#26302)); #4460=EDGE_LOOP('',(#26303,#26304,#26305,#26306)); #4461=EDGE_LOOP('',(#26307,#26308,#26309,#26310,#26311,#26312,#26313,#26314, #26315,#26316,#26317,#26318)); #4462=EDGE_LOOP('',(#26319)); #4463=EDGE_LOOP('',(#26320)); #4464=EDGE_LOOP('',(#26321,#26322,#26323,#26324,#26325,#26326,#26327,#26328, #26329,#26330,#26331,#26332)); #4465=EDGE_LOOP('',(#26333)); #4466=EDGE_LOOP('',(#26334)); #4467=EDGE_LOOP('',(#26335,#26336,#26337,#26338)); #4468=EDGE_LOOP('',(#26339,#26340,#26341,#26342)); #4469=EDGE_LOOP('',(#26343,#26344,#26345,#26346)); #4470=EDGE_LOOP('',(#26347,#26348,#26349,#26350)); #4471=EDGE_LOOP('',(#26351,#26352,#26353,#26354)); #4472=EDGE_LOOP('',(#26355,#26356,#26357,#26358)); #4473=EDGE_LOOP('',(#26359,#26360,#26361,#26362)); #4474=EDGE_LOOP('',(#26363,#26364,#26365,#26366)); #4475=EDGE_LOOP('',(#26367,#26368,#26369,#26370)); #4476=EDGE_LOOP('',(#26371,#26372,#26373,#26374)); #4477=EDGE_LOOP('',(#26375,#26376,#26377,#26378)); #4478=EDGE_LOOP('',(#26379,#26380,#26381,#26382)); #4479=EDGE_LOOP('',(#26383,#26384,#26385,#26386)); #4480=EDGE_LOOP('',(#26387,#26388,#26389,#26390)); #4481=EDGE_LOOP('',(#26391,#26392,#26393,#26394,#26395,#26396,#26397,#26398, #26399,#26400,#26401,#26402)); #4482=EDGE_LOOP('',(#26403)); #4483=EDGE_LOOP('',(#26404)); #4484=EDGE_LOOP('',(#26405,#26406,#26407,#26408,#26409,#26410,#26411,#26412, #26413,#26414,#26415,#26416)); #4485=EDGE_LOOP('',(#26417)); #4486=EDGE_LOOP('',(#26418)); #4487=EDGE_LOOP('',(#26419,#26420,#26421,#26422)); #4488=EDGE_LOOP('',(#26423,#26424,#26425,#26426)); #4489=EDGE_LOOP('',(#26427,#26428,#26429,#26430)); #4490=EDGE_LOOP('',(#26431,#26432,#26433,#26434)); #4491=EDGE_LOOP('',(#26435,#26436,#26437,#26438)); #4492=EDGE_LOOP('',(#26439,#26440,#26441,#26442)); #4493=EDGE_LOOP('',(#26443,#26444,#26445,#26446)); #4494=EDGE_LOOP('',(#26447,#26448,#26449,#26450)); #4495=EDGE_LOOP('',(#26451,#26452,#26453,#26454)); #4496=EDGE_LOOP('',(#26455,#26456,#26457,#26458)); #4497=EDGE_LOOP('',(#26459,#26460,#26461,#26462)); #4498=EDGE_LOOP('',(#26463,#26464,#26465,#26466)); #4499=EDGE_LOOP('',(#26467,#26468,#26469,#26470)); #4500=EDGE_LOOP('',(#26471,#26472,#26473,#26474)); #4501=EDGE_LOOP('',(#26475,#26476,#26477,#26478,#26479,#26480,#26481,#26482, #26483,#26484,#26485,#26486)); #4502=EDGE_LOOP('',(#26487)); #4503=EDGE_LOOP('',(#26488)); #4504=EDGE_LOOP('',(#26489,#26490,#26491,#26492,#26493,#26494,#26495,#26496, #26497,#26498,#26499,#26500)); #4505=EDGE_LOOP('',(#26501)); #4506=EDGE_LOOP('',(#26502)); #4507=EDGE_LOOP('',(#26503,#26504,#26505,#26506)); #4508=EDGE_LOOP('',(#26507,#26508,#26509,#26510)); #4509=EDGE_LOOP('',(#26511,#26512,#26513,#26514)); #4510=EDGE_LOOP('',(#26515,#26516,#26517,#26518)); #4511=EDGE_LOOP('',(#26519,#26520,#26521,#26522)); #4512=EDGE_LOOP('',(#26523,#26524,#26525,#26526)); #4513=EDGE_LOOP('',(#26527,#26528,#26529,#26530)); #4514=EDGE_LOOP('',(#26531,#26532,#26533,#26534)); #4515=EDGE_LOOP('',(#26535,#26536,#26537,#26538)); #4516=EDGE_LOOP('',(#26539,#26540,#26541,#26542)); #4517=EDGE_LOOP('',(#26543,#26544,#26545,#26546)); #4518=EDGE_LOOP('',(#26547,#26548,#26549,#26550)); #4519=EDGE_LOOP('',(#26551,#26552,#26553,#26554)); #4520=EDGE_LOOP('',(#26555,#26556,#26557,#26558)); #4521=EDGE_LOOP('',(#26559,#26560,#26561,#26562,#26563,#26564,#26565,#26566, #26567,#26568,#26569,#26570)); #4522=EDGE_LOOP('',(#26571)); #4523=EDGE_LOOP('',(#26572)); #4524=EDGE_LOOP('',(#26573,#26574,#26575,#26576,#26577,#26578,#26579,#26580, #26581,#26582,#26583,#26584)); #4525=EDGE_LOOP('',(#26585)); #4526=EDGE_LOOP('',(#26586)); #4527=EDGE_LOOP('',(#26587,#26588,#26589,#26590)); #4528=EDGE_LOOP('',(#26591,#26592,#26593,#26594)); #4529=EDGE_LOOP('',(#26595,#26596,#26597,#26598)); #4530=EDGE_LOOP('',(#26599,#26600,#26601,#26602)); #4531=EDGE_LOOP('',(#26603,#26604,#26605,#26606)); #4532=EDGE_LOOP('',(#26607,#26608,#26609,#26610)); #4533=EDGE_LOOP('',(#26611,#26612,#26613,#26614)); #4534=EDGE_LOOP('',(#26615,#26616,#26617,#26618)); #4535=EDGE_LOOP('',(#26619,#26620,#26621,#26622)); #4536=EDGE_LOOP('',(#26623,#26624,#26625,#26626)); #4537=EDGE_LOOP('',(#26627,#26628,#26629,#26630)); #4538=EDGE_LOOP('',(#26631,#26632,#26633,#26634)); #4539=EDGE_LOOP('',(#26635,#26636,#26637,#26638)); #4540=EDGE_LOOP('',(#26639,#26640,#26641,#26642)); #4541=EDGE_LOOP('',(#26643,#26644,#26645,#26646)); #4542=EDGE_LOOP('',(#26647,#26648,#26649,#26650)); #4543=EDGE_LOOP('',(#26651,#26652,#26653,#26654)); #4544=EDGE_LOOP('',(#26655,#26656,#26657,#26658)); #4545=EDGE_LOOP('',(#26659,#26660,#26661,#26662)); #4546=EDGE_LOOP('',(#26663,#26664,#26665,#26666)); #4547=EDGE_LOOP('',(#26667,#26668,#26669,#26670)); #4548=EDGE_LOOP('',(#26671,#26672,#26673,#26674)); #4549=EDGE_LOOP('',(#26675,#26676,#26677,#26678)); #4550=EDGE_LOOP('',(#26679,#26680,#26681,#26682)); #4551=EDGE_LOOP('',(#26683,#26684,#26685,#26686)); #4552=EDGE_LOOP('',(#26687,#26688,#26689,#26690)); #4553=EDGE_LOOP('',(#26691,#26692,#26693,#26694)); #4554=EDGE_LOOP('',(#26695,#26696,#26697,#26698)); #4555=EDGE_LOOP('',(#26699,#26700,#26701,#26702)); #4556=EDGE_LOOP('',(#26703,#26704,#26705,#26706)); #4557=EDGE_LOOP('',(#26707,#26708,#26709,#26710)); #4558=EDGE_LOOP('',(#26711,#26712,#26713,#26714)); #4559=EDGE_LOOP('',(#26715,#26716,#26717,#26718)); #4560=EDGE_LOOP('',(#26719,#26720,#26721,#26722)); #4561=EDGE_LOOP('',(#26723,#26724,#26725,#26726)); #4562=EDGE_LOOP('',(#26727,#26728,#26729,#26730)); #4563=EDGE_LOOP('',(#26731,#26732,#26733,#26734)); #4564=EDGE_LOOP('',(#26735,#26736,#26737,#26738)); #4565=EDGE_LOOP('',(#26739,#26740,#26741,#26742)); #4566=EDGE_LOOP('',(#26743,#26744,#26745,#26746)); #4567=EDGE_LOOP('',(#26747,#26748,#26749,#26750)); #4568=EDGE_LOOP('',(#26751,#26752,#26753,#26754)); #4569=EDGE_LOOP('',(#26755,#26756,#26757,#26758)); #4570=EDGE_LOOP('',(#26759,#26760,#26761,#26762)); #4571=EDGE_LOOP('',(#26763,#26764,#26765,#26766)); #4572=EDGE_LOOP('',(#26767,#26768,#26769,#26770)); #4573=EDGE_LOOP('',(#26771,#26772,#26773,#26774)); #4574=EDGE_LOOP('',(#26775,#26776,#26777,#26778)); #4575=EDGE_LOOP('',(#26779,#26780,#26781,#26782)); #4576=EDGE_LOOP('',(#26783,#26784,#26785,#26786)); #4577=EDGE_LOOP('',(#26787,#26788,#26789,#26790)); #4578=EDGE_LOOP('',(#26791,#26792,#26793,#26794)); #4579=EDGE_LOOP('',(#26795,#26796,#26797,#26798)); #4580=EDGE_LOOP('',(#26799,#26800,#26801,#26802)); #4581=EDGE_LOOP('',(#26803,#26804,#26805,#26806)); #4582=EDGE_LOOP('',(#26807,#26808,#26809,#26810)); #4583=EDGE_LOOP('',(#26811,#26812,#26813,#26814)); #4584=EDGE_LOOP('',(#26815,#26816,#26817,#26818)); #4585=EDGE_LOOP('',(#26819,#26820,#26821,#26822)); #4586=EDGE_LOOP('',(#26823,#26824,#26825,#26826)); #4587=EDGE_LOOP('',(#26827,#26828,#26829,#26830)); #4588=EDGE_LOOP('',(#26831,#26832,#26833,#26834)); #4589=EDGE_LOOP('',(#26835,#26836,#26837,#26838)); #4590=EDGE_LOOP('',(#26839,#26840,#26841,#26842)); #4591=EDGE_LOOP('',(#26843,#26844,#26845,#26846)); #4592=EDGE_LOOP('',(#26847,#26848,#26849,#26850)); #4593=EDGE_LOOP('',(#26851,#26852,#26853,#26854)); #4594=EDGE_LOOP('',(#26855,#26856,#26857,#26858)); #4595=EDGE_LOOP('',(#26859,#26860,#26861,#26862)); #4596=EDGE_LOOP('',(#26863,#26864,#26865,#26866)); #4597=EDGE_LOOP('',(#26867,#26868,#26869,#26870)); #4598=EDGE_LOOP('',(#26871,#26872,#26873,#26874)); #4599=EDGE_LOOP('',(#26875,#26876,#26877,#26878)); #4600=EDGE_LOOP('',(#26879,#26880,#26881,#26882)); #4601=EDGE_LOOP('',(#26883,#26884,#26885,#26886)); #4602=EDGE_LOOP('',(#26887,#26888,#26889,#26890)); #4603=EDGE_LOOP('',(#26891,#26892,#26893,#26894)); #4604=EDGE_LOOP('',(#26895,#26896,#26897,#26898)); #4605=EDGE_LOOP('',(#26899,#26900,#26901,#26902)); #4606=EDGE_LOOP('',(#26903,#26904,#26905,#26906)); #4607=EDGE_LOOP('',(#26907,#26908,#26909,#26910)); #4608=EDGE_LOOP('',(#26911,#26912,#26913,#26914)); #4609=EDGE_LOOP('',(#26915,#26916,#26917,#26918)); #4610=EDGE_LOOP('',(#26919,#26920,#26921,#26922)); #4611=EDGE_LOOP('',(#26923,#26924,#26925,#26926)); #4612=EDGE_LOOP('',(#26927,#26928,#26929,#26930)); #4613=EDGE_LOOP('',(#26931,#26932,#26933,#26934)); #4614=EDGE_LOOP('',(#26935,#26936,#26937,#26938)); #4615=EDGE_LOOP('',(#26939,#26940,#26941,#26942)); #4616=EDGE_LOOP('',(#26943,#26944,#26945,#26946)); #4617=EDGE_LOOP('',(#26947,#26948,#26949,#26950)); #4618=EDGE_LOOP('',(#26951,#26952,#26953,#26954)); #4619=EDGE_LOOP('',(#26955,#26956,#26957,#26958)); #4620=EDGE_LOOP('',(#26959,#26960,#26961,#26962)); #4621=EDGE_LOOP('',(#26963,#26964,#26965,#26966)); #4622=EDGE_LOOP('',(#26967,#26968,#26969,#26970)); #4623=EDGE_LOOP('',(#26971,#26972,#26973,#26974)); #4624=EDGE_LOOP('',(#26975,#26976,#26977,#26978)); #4625=EDGE_LOOP('',(#26979,#26980,#26981,#26982)); #4626=EDGE_LOOP('',(#26983,#26984,#26985,#26986)); #4627=EDGE_LOOP('',(#26987,#26988,#26989,#26990)); #4628=EDGE_LOOP('',(#26991,#26992,#26993,#26994)); #4629=EDGE_LOOP('',(#26995,#26996,#26997,#26998)); #4630=EDGE_LOOP('',(#26999,#27000,#27001,#27002)); #4631=EDGE_LOOP('',(#27003,#27004,#27005,#27006)); #4632=EDGE_LOOP('',(#27007,#27008,#27009,#27010)); #4633=EDGE_LOOP('',(#27011,#27012,#27013,#27014)); #4634=EDGE_LOOP('',(#27015,#27016,#27017,#27018)); #4635=EDGE_LOOP('',(#27019,#27020,#27021,#27022)); #4636=EDGE_LOOP('',(#27023,#27024,#27025,#27026)); #4637=EDGE_LOOP('',(#27027,#27028,#27029,#27030)); #4638=EDGE_LOOP('',(#27031,#27032,#27033,#27034)); #4639=EDGE_LOOP('',(#27035,#27036,#27037,#27038)); #4640=EDGE_LOOP('',(#27039,#27040,#27041,#27042)); #4641=EDGE_LOOP('',(#27043,#27044,#27045,#27046)); #4642=EDGE_LOOP('',(#27047,#27048,#27049,#27050)); #4643=EDGE_LOOP('',(#27051,#27052,#27053,#27054)); #4644=EDGE_LOOP('',(#27055,#27056,#27057,#27058)); #4645=EDGE_LOOP('',(#27059,#27060,#27061,#27062)); #4646=EDGE_LOOP('',(#27063,#27064,#27065,#27066)); #4647=EDGE_LOOP('',(#27067,#27068,#27069,#27070)); #4648=EDGE_LOOP('',(#27071,#27072,#27073,#27074)); #4649=EDGE_LOOP('',(#27075,#27076,#27077,#27078)); #4650=EDGE_LOOP('',(#27079,#27080,#27081,#27082)); #4651=EDGE_LOOP('',(#27083,#27084,#27085,#27086)); #4652=EDGE_LOOP('',(#27087,#27088,#27089,#27090)); #4653=EDGE_LOOP('',(#27091,#27092,#27093,#27094)); #4654=EDGE_LOOP('',(#27095,#27096,#27097,#27098)); #4655=EDGE_LOOP('',(#27099,#27100,#27101,#27102)); #4656=EDGE_LOOP('',(#27103,#27104,#27105,#27106)); #4657=EDGE_LOOP('',(#27107,#27108,#27109,#27110)); #4658=EDGE_LOOP('',(#27111,#27112,#27113,#27114)); #4659=EDGE_LOOP('',(#27115,#27116,#27117,#27118)); #4660=EDGE_LOOP('',(#27119,#27120,#27121,#27122)); #4661=EDGE_LOOP('',(#27123,#27124,#27125,#27126)); #4662=EDGE_LOOP('',(#27127,#27128,#27129,#27130)); #4663=EDGE_LOOP('',(#27131,#27132,#27133,#27134)); #4664=EDGE_LOOP('',(#27135,#27136,#27137,#27138)); #4665=EDGE_LOOP('',(#27139,#27140,#27141,#27142)); #4666=EDGE_LOOP('',(#27143,#27144,#27145,#27146)); #4667=EDGE_LOOP('',(#27147,#27148,#27149,#27150)); #4668=EDGE_LOOP('',(#27151,#27152,#27153,#27154)); #4669=EDGE_LOOP('',(#27155,#27156,#27157,#27158)); #4670=EDGE_LOOP('',(#27159,#27160,#27161,#27162)); #4671=EDGE_LOOP('',(#27163,#27164,#27165,#27166)); #4672=EDGE_LOOP('',(#27167,#27168,#27169,#27170)); #4673=EDGE_LOOP('',(#27171,#27172,#27173,#27174)); #4674=EDGE_LOOP('',(#27175,#27176,#27177,#27178)); #4675=EDGE_LOOP('',(#27179,#27180,#27181,#27182)); #4676=EDGE_LOOP('',(#27183,#27184,#27185,#27186)); #4677=EDGE_LOOP('',(#27187,#27188,#27189,#27190)); #4678=EDGE_LOOP('',(#27191,#27192,#27193,#27194)); #4679=EDGE_LOOP('',(#27195,#27196,#27197,#27198)); #4680=EDGE_LOOP('',(#27199,#27200,#27201,#27202)); #4681=EDGE_LOOP('',(#27203,#27204,#27205,#27206)); #4682=EDGE_LOOP('',(#27207,#27208,#27209,#27210)); #4683=EDGE_LOOP('',(#27211,#27212,#27213,#27214)); #4684=EDGE_LOOP('',(#27215,#27216,#27217,#27218)); #4685=EDGE_LOOP('',(#27219,#27220,#27221,#27222)); #4686=EDGE_LOOP('',(#27223,#27224,#27225,#27226)); #4687=EDGE_LOOP('',(#27227,#27228,#27229,#27230)); #4688=EDGE_LOOP('',(#27231,#27232,#27233,#27234)); #4689=EDGE_LOOP('',(#27235,#27236,#27237,#27238,#27239,#27240,#27241,#27242, #27243,#27244,#27245,#27246,#27247,#27248,#27249,#27250,#27251,#27252)); #4690=EDGE_LOOP('',(#27253,#27254,#27255,#27256,#27257,#27258,#27259,#27260)); #4691=EDGE_LOOP('',(#27261,#27262,#27263,#27264,#27265,#27266,#27267,#27268)); #4692=EDGE_LOOP('',(#27269,#27270,#27271,#27272,#27273,#27274,#27275,#27276)); #4693=EDGE_LOOP('',(#27277,#27278,#27279,#27280,#27281,#27282,#27283,#27284)); #4694=EDGE_LOOP('',(#27285,#27286,#27287,#27288,#27289,#27290,#27291,#27292)); #4695=EDGE_LOOP('',(#27293,#27294,#27295,#27296,#27297,#27298,#27299,#27300)); #4696=EDGE_LOOP('',(#27301,#27302,#27303,#27304,#27305,#27306,#27307,#27308)); #4697=EDGE_LOOP('',(#27309,#27310,#27311,#27312,#27313,#27314,#27315,#27316)); #4698=EDGE_LOOP('',(#27317,#27318,#27319,#27320,#27321,#27322,#27323,#27324)); #4699=EDGE_LOOP('',(#27325,#27326,#27327,#27328,#27329,#27330,#27331,#27332)); #4700=EDGE_LOOP('',(#27333,#27334,#27335,#27336,#27337,#27338,#27339,#27340)); #4701=EDGE_LOOP('',(#27341,#27342,#27343,#27344,#27345,#27346,#27347,#27348)); #4702=EDGE_LOOP('',(#27349,#27350,#27351,#27352,#27353,#27354,#27355,#27356)); #4703=EDGE_LOOP('',(#27357,#27358,#27359,#27360,#27361,#27362,#27363,#27364)); #4704=EDGE_LOOP('',(#27365,#27366,#27367,#27368,#27369,#27370,#27371,#27372)); #4705=EDGE_LOOP('',(#27373,#27374,#27375,#27376,#27377,#27378,#27379,#27380)); #4706=EDGE_LOOP('',(#27381,#27382,#27383,#27384,#27385,#27386,#27387,#27388)); #4707=EDGE_LOOP('',(#27389,#27390,#27391,#27392,#27393,#27394,#27395,#27396)); #4708=EDGE_LOOP('',(#27397,#27398,#27399,#27400,#27401,#27402,#27403,#27404, #27405,#27406,#27407,#27408,#27409,#27410,#27411,#27412,#27413,#27414)); #4709=EDGE_LOOP('',(#27415,#27416,#27417,#27418,#27419,#27420,#27421,#27422)); #4710=EDGE_LOOP('',(#27423,#27424,#27425,#27426,#27427,#27428,#27429,#27430)); #4711=EDGE_LOOP('',(#27431,#27432,#27433,#27434,#27435,#27436,#27437,#27438)); #4712=EDGE_LOOP('',(#27439,#27440,#27441,#27442,#27443,#27444,#27445,#27446)); #4713=EDGE_LOOP('',(#27447,#27448,#27449,#27450,#27451,#27452,#27453,#27454)); #4714=EDGE_LOOP('',(#27455,#27456,#27457,#27458,#27459,#27460,#27461,#27462)); #4715=EDGE_LOOP('',(#27463,#27464,#27465,#27466,#27467,#27468,#27469,#27470)); #4716=EDGE_LOOP('',(#27471,#27472,#27473,#27474,#27475,#27476,#27477,#27478)); #4717=EDGE_LOOP('',(#27479,#27480,#27481,#27482,#27483,#27484,#27485,#27486)); #4718=EDGE_LOOP('',(#27487,#27488,#27489,#27490,#27491,#27492,#27493,#27494)); #4719=EDGE_LOOP('',(#27495,#27496,#27497,#27498,#27499,#27500,#27501,#27502)); #4720=EDGE_LOOP('',(#27503,#27504,#27505,#27506,#27507,#27508,#27509,#27510)); #4721=EDGE_LOOP('',(#27511,#27512,#27513,#27514,#27515,#27516,#27517,#27518)); #4722=EDGE_LOOP('',(#27519,#27520,#27521,#27522,#27523,#27524,#27525,#27526)); #4723=EDGE_LOOP('',(#27527,#27528,#27529,#27530,#27531,#27532,#27533,#27534)); #4724=EDGE_LOOP('',(#27535,#27536,#27537,#27538,#27539,#27540,#27541,#27542)); #4725=EDGE_LOOP('',(#27543,#27544,#27545,#27546,#27547,#27548,#27549,#27550)); #4726=EDGE_LOOP('',(#27551,#27552,#27553,#27554,#27555,#27556,#27557,#27558)); #4727=EDGE_LOOP('',(#27559,#27560,#27561,#27562)); #4728=EDGE_LOOP('',(#27563,#27564,#27565,#27566)); #4729=EDGE_LOOP('',(#27567,#27568,#27569,#27570)); #4730=EDGE_LOOP('',(#27571,#27572,#27573,#27574)); #4731=EDGE_LOOP('',(#27575,#27576,#27577,#27578)); #4732=EDGE_LOOP('',(#27579,#27580,#27581,#27582)); #4733=EDGE_LOOP('',(#27583,#27584,#27585,#27586)); #4734=EDGE_LOOP('',(#27587,#27588,#27589,#27590)); #4735=EDGE_LOOP('',(#27591,#27592,#27593,#27594)); #4736=EDGE_LOOP('',(#27595,#27596,#27597,#27598)); #4737=EDGE_LOOP('',(#27599,#27600,#27601,#27602)); #4738=EDGE_LOOP('',(#27603,#27604,#27605,#27606)); #4739=EDGE_LOOP('',(#27607,#27608,#27609,#27610)); #4740=EDGE_LOOP('',(#27611,#27612,#27613,#27614)); #4741=EDGE_LOOP('',(#27615,#27616,#27617,#27618)); #4742=EDGE_LOOP('',(#27619,#27620,#27621,#27622)); #4743=EDGE_LOOP('',(#27623,#27624,#27625,#27626)); #4744=EDGE_LOOP('',(#27627,#27628,#27629,#27630)); #4745=EDGE_LOOP('',(#27631,#27632,#27633,#27634)); #4746=EDGE_LOOP('',(#27635,#27636,#27637,#27638)); #4747=EDGE_LOOP('',(#27639,#27640,#27641,#27642)); #4748=EDGE_LOOP('',(#27643,#27644,#27645,#27646)); #4749=EDGE_LOOP('',(#27647,#27648,#27649,#27650)); #4750=EDGE_LOOP('',(#27651,#27652,#27653,#27654)); #4751=EDGE_LOOP('',(#27655,#27656,#27657,#27658)); #4752=EDGE_LOOP('',(#27659,#27660,#27661,#27662)); #4753=EDGE_LOOP('',(#27663,#27664,#27665,#27666)); #4754=EDGE_LOOP('',(#27667,#27668,#27669,#27670)); #4755=EDGE_LOOP('',(#27671,#27672,#27673,#27674)); #4756=EDGE_LOOP('',(#27675,#27676,#27677,#27678)); #4757=EDGE_LOOP('',(#27679,#27680,#27681,#27682)); #4758=EDGE_LOOP('',(#27683,#27684,#27685,#27686)); #4759=EDGE_LOOP('',(#27687,#27688,#27689,#27690)); #4760=EDGE_LOOP('',(#27691,#27692,#27693,#27694)); #4761=EDGE_LOOP('',(#27695,#27696,#27697,#27698)); #4762=EDGE_LOOP('',(#27699,#27700,#27701,#27702)); #4763=EDGE_LOOP('',(#27703,#27704,#27705,#27706)); #4764=EDGE_LOOP('',(#27707,#27708,#27709,#27710)); #4765=EDGE_LOOP('',(#27711,#27712,#27713,#27714)); #4766=EDGE_LOOP('',(#27715,#27716,#27717,#27718)); #4767=EDGE_LOOP('',(#27719,#27720,#27721,#27722)); #4768=EDGE_LOOP('',(#27723,#27724,#27725,#27726)); #4769=EDGE_LOOP('',(#27727,#27728,#27729,#27730)); #4770=EDGE_LOOP('',(#27731,#27732,#27733,#27734)); #4771=EDGE_LOOP('',(#27735,#27736,#27737,#27738)); #4772=EDGE_LOOP('',(#27739,#27740,#27741,#27742)); #4773=EDGE_LOOP('',(#27743,#27744,#27745,#27746)); #4774=EDGE_LOOP('',(#27747,#27748,#27749,#27750)); #4775=EDGE_LOOP('',(#27751,#27752,#27753,#27754)); #4776=EDGE_LOOP('',(#27755,#27756,#27757,#27758)); #4777=EDGE_LOOP('',(#27759,#27760,#27761,#27762)); #4778=EDGE_LOOP('',(#27763,#27764,#27765,#27766)); #4779=EDGE_LOOP('',(#27767,#27768,#27769,#27770)); #4780=EDGE_LOOP('',(#27771,#27772,#27773,#27774)); #4781=EDGE_LOOP('',(#27775,#27776,#27777,#27778)); #4782=EDGE_LOOP('',(#27779,#27780,#27781,#27782)); #4783=EDGE_LOOP('',(#27783,#27784,#27785,#27786)); #4784=EDGE_LOOP('',(#27787,#27788,#27789,#27790)); #4785=EDGE_LOOP('',(#27791,#27792,#27793,#27794)); #4786=EDGE_LOOP('',(#27795,#27796,#27797,#27798)); #4787=EDGE_LOOP('',(#27799,#27800,#27801,#27802)); #4788=EDGE_LOOP('',(#27803,#27804,#27805,#27806)); #4789=EDGE_LOOP('',(#27807,#27808,#27809,#27810)); #4790=EDGE_LOOP('',(#27811,#27812,#27813,#27814)); #4791=EDGE_LOOP('',(#27815,#27816,#27817,#27818)); #4792=EDGE_LOOP('',(#27819,#27820,#27821,#27822)); #4793=EDGE_LOOP('',(#27823,#27824,#27825,#27826)); #4794=EDGE_LOOP('',(#27827,#27828,#27829,#27830)); #4795=EDGE_LOOP('',(#27831,#27832,#27833,#27834)); #4796=EDGE_LOOP('',(#27835,#27836,#27837,#27838)); #4797=EDGE_LOOP('',(#27839,#27840,#27841,#27842)); #4798=EDGE_LOOP('',(#27843,#27844,#27845,#27846)); #4799=EDGE_LOOP('',(#27847,#27848,#27849,#27850)); #4800=EDGE_LOOP('',(#27851,#27852,#27853,#27854)); #4801=EDGE_LOOP('',(#27855,#27856,#27857,#27858)); #4802=EDGE_LOOP('',(#27859,#27860,#27861,#27862)); #4803=EDGE_LOOP('',(#27863,#27864,#27865,#27866)); #4804=EDGE_LOOP('',(#27867,#27868,#27869,#27870)); #4805=EDGE_LOOP('',(#27871,#27872,#27873,#27874)); #4806=EDGE_LOOP('',(#27875,#27876,#27877,#27878)); #4807=EDGE_LOOP('',(#27879,#27880,#27881,#27882)); #4808=EDGE_LOOP('',(#27883,#27884,#27885,#27886)); #4809=EDGE_LOOP('',(#27887,#27888,#27889,#27890)); #4810=EDGE_LOOP('',(#27891,#27892,#27893,#27894)); #4811=EDGE_LOOP('',(#27895,#27896,#27897,#27898)); #4812=EDGE_LOOP('',(#27899,#27900,#27901,#27902)); #4813=EDGE_LOOP('',(#27903,#27904,#27905,#27906)); #4814=EDGE_LOOP('',(#27907,#27908,#27909,#27910)); #4815=EDGE_LOOP('',(#27911,#27912,#27913,#27914)); #4816=EDGE_LOOP('',(#27915,#27916,#27917,#27918)); #4817=EDGE_LOOP('',(#27919,#27920,#27921,#27922)); #4818=EDGE_LOOP('',(#27923,#27924,#27925,#27926)); #4819=EDGE_LOOP('',(#27927,#27928,#27929,#27930)); #4820=EDGE_LOOP('',(#27931,#27932,#27933,#27934)); #4821=EDGE_LOOP('',(#27935,#27936,#27937,#27938)); #4822=EDGE_LOOP('',(#27939,#27940,#27941,#27942)); #4823=EDGE_LOOP('',(#27943,#27944,#27945,#27946)); #4824=EDGE_LOOP('',(#27947,#27948,#27949,#27950)); #4825=EDGE_LOOP('',(#27951,#27952,#27953,#27954)); #4826=EDGE_LOOP('',(#27955,#27956,#27957,#27958)); #4827=EDGE_LOOP('',(#27959,#27960,#27961,#27962)); #4828=EDGE_LOOP('',(#27963,#27964,#27965,#27966)); #4829=EDGE_LOOP('',(#27967,#27968,#27969,#27970)); #4830=EDGE_LOOP('',(#27971,#27972,#27973,#27974)); #4831=EDGE_LOOP('',(#27975,#27976,#27977,#27978)); #4832=EDGE_LOOP('',(#27979,#27980,#27981,#27982)); #4833=EDGE_LOOP('',(#27983,#27984,#27985,#27986)); #4834=EDGE_LOOP('',(#27987,#27988,#27989,#27990)); #4835=EDGE_LOOP('',(#27991,#27992,#27993,#27994)); #4836=EDGE_LOOP('',(#27995,#27996,#27997,#27998)); #4837=EDGE_LOOP('',(#27999,#28000,#28001,#28002)); #4838=EDGE_LOOP('',(#28003,#28004,#28005,#28006)); #4839=EDGE_LOOP('',(#28007,#28008,#28009,#28010)); #4840=EDGE_LOOP('',(#28011,#28012,#28013,#28014)); #4841=EDGE_LOOP('',(#28015,#28016,#28017,#28018)); #4842=EDGE_LOOP('',(#28019,#28020,#28021,#28022)); #4843=EDGE_LOOP('',(#28023,#28024,#28025,#28026)); #4844=EDGE_LOOP('',(#28027,#28028,#28029,#28030)); #4845=EDGE_LOOP('',(#28031,#28032,#28033,#28034)); #4846=EDGE_LOOP('',(#28035,#28036,#28037,#28038)); #4847=EDGE_LOOP('',(#28039,#28040,#28041,#28042)); #4848=EDGE_LOOP('',(#28043,#28044,#28045,#28046)); #4849=EDGE_LOOP('',(#28047,#28048,#28049,#28050)); #4850=EDGE_LOOP('',(#28051,#28052,#28053,#28054)); #4851=EDGE_LOOP('',(#28055,#28056,#28057,#28058)); #4852=EDGE_LOOP('',(#28059,#28060,#28061,#28062)); #4853=EDGE_LOOP('',(#28063,#28064,#28065,#28066)); #4854=EDGE_LOOP('',(#28067,#28068,#28069,#28070)); #4855=EDGE_LOOP('',(#28071,#28072,#28073,#28074)); #4856=EDGE_LOOP('',(#28075,#28076,#28077,#28078)); #4857=EDGE_LOOP('',(#28079,#28080,#28081,#28082)); #4858=EDGE_LOOP('',(#28083,#28084,#28085,#28086)); #4859=EDGE_LOOP('',(#28087,#28088,#28089,#28090)); #4860=EDGE_LOOP('',(#28091,#28092,#28093,#28094)); #4861=EDGE_LOOP('',(#28095,#28096,#28097,#28098)); #4862=EDGE_LOOP('',(#28099,#28100,#28101,#28102)); #4863=EDGE_LOOP('',(#28103,#28104,#28105,#28106)); #4864=EDGE_LOOP('',(#28107,#28108,#28109,#28110)); #4865=EDGE_LOOP('',(#28111,#28112,#28113,#28114)); #4866=EDGE_LOOP('',(#28115,#28116,#28117,#28118)); #4867=EDGE_LOOP('',(#28119,#28120,#28121,#28122)); #4868=EDGE_LOOP('',(#28123,#28124,#28125,#28126)); #4869=EDGE_LOOP('',(#28127,#28128,#28129,#28130)); #4870=EDGE_LOOP('',(#28131,#28132,#28133,#28134)); #4871=EDGE_LOOP('',(#28135,#28136,#28137,#28138)); #4872=EDGE_LOOP('',(#28139,#28140,#28141,#28142)); #4873=EDGE_LOOP('',(#28143,#28144,#28145,#28146)); #4874=EDGE_LOOP('',(#28147,#28148,#28149,#28150)); #4875=EDGE_LOOP('',(#28151,#28152,#28153,#28154)); #4876=EDGE_LOOP('',(#28155,#28156,#28157,#28158)); #4877=EDGE_LOOP('',(#28159,#28160,#28161,#28162)); #4878=EDGE_LOOP('',(#28163,#28164,#28165,#28166)); #4879=EDGE_LOOP('',(#28167,#28168,#28169,#28170)); #4880=EDGE_LOOP('',(#28171,#28172,#28173,#28174)); #4881=EDGE_LOOP('',(#28175,#28176,#28177,#28178)); #4882=EDGE_LOOP('',(#28179,#28180,#28181,#28182)); #4883=EDGE_LOOP('',(#28183,#28184,#28185,#28186)); #4884=EDGE_LOOP('',(#28187,#28188,#28189,#28190)); #4885=EDGE_LOOP('',(#28191,#28192,#28193,#28194)); #4886=EDGE_LOOP('',(#28195,#28196,#28197,#28198)); #4887=EDGE_LOOP('',(#28199,#28200,#28201,#28202)); #4888=EDGE_LOOP('',(#28203,#28204,#28205,#28206)); #4889=EDGE_LOOP('',(#28207,#28208,#28209,#28210,#28211,#28212,#28213,#28214, #28215,#28216,#28217,#28218,#28219,#28220,#28221,#28222,#28223,#28224)); #4890=EDGE_LOOP('',(#28225,#28226,#28227,#28228,#28229,#28230,#28231,#28232)); #4891=EDGE_LOOP('',(#28233,#28234,#28235,#28236,#28237,#28238,#28239,#28240)); #4892=EDGE_LOOP('',(#28241,#28242,#28243,#28244,#28245,#28246,#28247,#28248)); #4893=EDGE_LOOP('',(#28249,#28250,#28251,#28252,#28253,#28254,#28255,#28256)); #4894=EDGE_LOOP('',(#28257,#28258,#28259,#28260,#28261,#28262,#28263,#28264)); #4895=EDGE_LOOP('',(#28265,#28266,#28267,#28268,#28269,#28270,#28271,#28272)); #4896=EDGE_LOOP('',(#28273,#28274,#28275,#28276,#28277,#28278,#28279,#28280)); #4897=EDGE_LOOP('',(#28281,#28282,#28283,#28284,#28285,#28286,#28287,#28288)); #4898=EDGE_LOOP('',(#28289,#28290,#28291,#28292,#28293,#28294,#28295,#28296)); #4899=EDGE_LOOP('',(#28297,#28298,#28299,#28300,#28301,#28302,#28303,#28304)); #4900=EDGE_LOOP('',(#28305,#28306,#28307,#28308,#28309,#28310,#28311,#28312)); #4901=EDGE_LOOP('',(#28313,#28314,#28315,#28316,#28317,#28318,#28319,#28320)); #4902=EDGE_LOOP('',(#28321,#28322,#28323,#28324,#28325,#28326,#28327,#28328)); #4903=EDGE_LOOP('',(#28329,#28330,#28331,#28332,#28333,#28334,#28335,#28336)); #4904=EDGE_LOOP('',(#28337,#28338,#28339,#28340,#28341,#28342,#28343,#28344)); #4905=EDGE_LOOP('',(#28345,#28346,#28347,#28348,#28349,#28350,#28351,#28352)); #4906=EDGE_LOOP('',(#28353,#28354,#28355,#28356,#28357,#28358,#28359,#28360)); #4907=EDGE_LOOP('',(#28361,#28362,#28363,#28364,#28365,#28366,#28367,#28368)); #4908=EDGE_LOOP('',(#28369,#28370,#28371,#28372,#28373,#28374,#28375,#28376, #28377,#28378,#28379,#28380,#28381,#28382,#28383,#28384,#28385,#28386)); #4909=EDGE_LOOP('',(#28387,#28388,#28389,#28390,#28391,#28392,#28393,#28394)); #4910=EDGE_LOOP('',(#28395,#28396,#28397,#28398,#28399,#28400,#28401,#28402)); #4911=EDGE_LOOP('',(#28403,#28404,#28405,#28406,#28407,#28408,#28409,#28410)); #4912=EDGE_LOOP('',(#28411,#28412,#28413,#28414,#28415,#28416,#28417,#28418)); #4913=EDGE_LOOP('',(#28419,#28420,#28421,#28422,#28423,#28424,#28425,#28426)); #4914=EDGE_LOOP('',(#28427,#28428,#28429,#28430,#28431,#28432,#28433,#28434)); #4915=EDGE_LOOP('',(#28435,#28436,#28437,#28438,#28439,#28440,#28441,#28442)); #4916=EDGE_LOOP('',(#28443,#28444,#28445,#28446,#28447,#28448,#28449,#28450)); #4917=EDGE_LOOP('',(#28451,#28452,#28453,#28454,#28455,#28456,#28457,#28458)); #4918=EDGE_LOOP('',(#28459,#28460,#28461,#28462,#28463,#28464,#28465,#28466)); #4919=EDGE_LOOP('',(#28467,#28468,#28469,#28470,#28471,#28472,#28473,#28474)); #4920=EDGE_LOOP('',(#28475,#28476,#28477,#28478,#28479,#28480,#28481,#28482)); #4921=EDGE_LOOP('',(#28483,#28484,#28485,#28486,#28487,#28488,#28489,#28490)); #4922=EDGE_LOOP('',(#28491,#28492,#28493,#28494,#28495,#28496,#28497,#28498)); #4923=EDGE_LOOP('',(#28499,#28500,#28501,#28502,#28503,#28504,#28505,#28506)); #4924=EDGE_LOOP('',(#28507,#28508,#28509,#28510,#28511,#28512,#28513,#28514)); #4925=EDGE_LOOP('',(#28515,#28516,#28517,#28518,#28519,#28520,#28521,#28522)); #4926=EDGE_LOOP('',(#28523,#28524,#28525,#28526,#28527,#28528,#28529,#28530)); #4927=EDGE_LOOP('',(#28531,#28532,#28533,#28534)); #4928=EDGE_LOOP('',(#28535,#28536,#28537,#28538)); #4929=EDGE_LOOP('',(#28539,#28540,#28541,#28542)); #4930=EDGE_LOOP('',(#28543,#28544,#28545,#28546)); #4931=EDGE_LOOP('',(#28547,#28548,#28549,#28550)); #4932=EDGE_LOOP('',(#28551,#28552,#28553,#28554)); #4933=EDGE_LOOP('',(#28555,#28556,#28557,#28558)); #4934=EDGE_LOOP('',(#28559,#28560,#28561,#28562)); #4935=EDGE_LOOP('',(#28563,#28564,#28565,#28566)); #4936=EDGE_LOOP('',(#28567,#28568,#28569,#28570)); #4937=EDGE_LOOP('',(#28571,#28572,#28573,#28574)); #4938=EDGE_LOOP('',(#28575,#28576,#28577,#28578)); #4939=EDGE_LOOP('',(#28579,#28580,#28581,#28582)); #4940=EDGE_LOOP('',(#28583,#28584,#28585,#28586)); #4941=EDGE_LOOP('',(#28587,#28588,#28589,#28590)); #4942=EDGE_LOOP('',(#28591,#28592,#28593,#28594)); #4943=EDGE_LOOP('',(#28595,#28596,#28597,#28598)); #4944=EDGE_LOOP('',(#28599,#28600,#28601,#28602)); #4945=EDGE_LOOP('',(#28603,#28604,#28605,#28606)); #4946=EDGE_LOOP('',(#28607,#28608,#28609,#28610)); #4947=EDGE_LOOP('',(#28611,#28612,#28613,#28614)); #4948=EDGE_LOOP('',(#28615,#28616,#28617,#28618)); #4949=EDGE_LOOP('',(#28619,#28620,#28621,#28622)); #4950=EDGE_LOOP('',(#28623,#28624,#28625,#28626)); #4951=EDGE_LOOP('',(#28627,#28628,#28629,#28630)); #4952=EDGE_LOOP('',(#28631,#28632,#28633,#28634)); #4953=EDGE_LOOP('',(#28635,#28636,#28637,#28638)); #4954=EDGE_LOOP('',(#28639,#28640,#28641,#28642)); #4955=EDGE_LOOP('',(#28643,#28644,#28645,#28646)); #4956=EDGE_LOOP('',(#28647,#28648,#28649,#28650)); #4957=EDGE_LOOP('',(#28651,#28652,#28653,#28654)); #4958=EDGE_LOOP('',(#28655,#28656,#28657,#28658)); #4959=EDGE_LOOP('',(#28659,#28660,#28661,#28662)); #4960=EDGE_LOOP('',(#28663,#28664,#28665,#28666)); #4961=EDGE_LOOP('',(#28667,#28668,#28669,#28670)); #4962=EDGE_LOOP('',(#28671,#28672,#28673,#28674)); #4963=EDGE_LOOP('',(#28675,#28676,#28677,#28678)); #4964=EDGE_LOOP('',(#28679,#28680,#28681,#28682)); #4965=EDGE_LOOP('',(#28683,#28684,#28685,#28686)); #4966=EDGE_LOOP('',(#28687,#28688,#28689,#28690)); #4967=EDGE_LOOP('',(#28691,#28692,#28693,#28694)); #4968=EDGE_LOOP('',(#28695,#28696,#28697,#28698)); #4969=EDGE_LOOP('',(#28699,#28700,#28701,#28702)); #4970=EDGE_LOOP('',(#28703,#28704,#28705,#28706)); #4971=EDGE_LOOP('',(#28707,#28708,#28709,#28710)); #4972=EDGE_LOOP('',(#28711,#28712,#28713,#28714)); #4973=EDGE_LOOP('',(#28715,#28716,#28717,#28718)); #4974=EDGE_LOOP('',(#28719,#28720,#28721,#28722)); #4975=EDGE_LOOP('',(#28723,#28724,#28725,#28726)); #4976=EDGE_LOOP('',(#28727,#28728,#28729,#28730)); #4977=EDGE_LOOP('',(#28731,#28732,#28733,#28734)); #4978=EDGE_LOOP('',(#28735,#28736,#28737,#28738)); #4979=EDGE_LOOP('',(#28739,#28740,#28741,#28742)); #4980=EDGE_LOOP('',(#28743,#28744,#28745,#28746)); #4981=EDGE_LOOP('',(#28747,#28748,#28749,#28750)); #4982=EDGE_LOOP('',(#28751,#28752,#28753,#28754)); #4983=EDGE_LOOP('',(#28755,#28756,#28757,#28758)); #4984=EDGE_LOOP('',(#28759,#28760,#28761,#28762)); #4985=EDGE_LOOP('',(#28763,#28764,#28765,#28766)); #4986=EDGE_LOOP('',(#28767,#28768,#28769,#28770)); #4987=EDGE_LOOP('',(#28771,#28772,#28773,#28774)); #4988=EDGE_LOOP('',(#28775,#28776,#28777,#28778)); #4989=EDGE_LOOP('',(#28779,#28780,#28781,#28782)); #4990=EDGE_LOOP('',(#28783,#28784,#28785,#28786)); #4991=EDGE_LOOP('',(#28787,#28788,#28789,#28790)); #4992=EDGE_LOOP('',(#28791,#28792,#28793,#28794)); #4993=EDGE_LOOP('',(#28795,#28796,#28797,#28798)); #4994=EDGE_LOOP('',(#28799,#28800,#28801,#28802)); #4995=EDGE_LOOP('',(#28803,#28804,#28805,#28806)); #4996=EDGE_LOOP('',(#28807,#28808,#28809,#28810)); #4997=EDGE_LOOP('',(#28811,#28812,#28813,#28814)); #4998=EDGE_LOOP('',(#28815,#28816,#28817,#28818)); #4999=EDGE_LOOP('',(#28819,#28820,#28821,#28822)); #5000=EDGE_LOOP('',(#28823,#28824,#28825,#28826)); #5001=EDGE_LOOP('',(#28827,#28828,#28829,#28830)); #5002=EDGE_LOOP('',(#28831,#28832,#28833,#28834)); #5003=EDGE_LOOP('',(#28835,#28836,#28837,#28838)); #5004=EDGE_LOOP('',(#28839,#28840,#28841,#28842)); #5005=EDGE_LOOP('',(#28843,#28844,#28845,#28846)); #5006=EDGE_LOOP('',(#28847,#28848,#28849,#28850)); #5007=EDGE_LOOP('',(#28851,#28852,#28853,#28854)); #5008=EDGE_LOOP('',(#28855,#28856,#28857,#28858)); #5009=EDGE_LOOP('',(#28859,#28860,#28861,#28862)); #5010=EDGE_LOOP('',(#28863,#28864,#28865,#28866)); #5011=EDGE_LOOP('',(#28867,#28868,#28869,#28870)); #5012=EDGE_LOOP('',(#28871,#28872,#28873,#28874)); #5013=EDGE_LOOP('',(#28875,#28876,#28877,#28878)); #5014=EDGE_LOOP('',(#28879,#28880,#28881,#28882)); #5015=EDGE_LOOP('',(#28883,#28884,#28885,#28886)); #5016=EDGE_LOOP('',(#28887,#28888,#28889,#28890)); #5017=EDGE_LOOP('',(#28891,#28892,#28893,#28894)); #5018=EDGE_LOOP('',(#28895,#28896,#28897,#28898)); #5019=EDGE_LOOP('',(#28899,#28900,#28901,#28902)); #5020=EDGE_LOOP('',(#28903,#28904,#28905,#28906)); #5021=EDGE_LOOP('',(#28907,#28908,#28909,#28910)); #5022=EDGE_LOOP('',(#28911,#28912,#28913,#28914)); #5023=EDGE_LOOP('',(#28915,#28916,#28917,#28918)); #5024=EDGE_LOOP('',(#28919,#28920,#28921,#28922)); #5025=EDGE_LOOP('',(#28923,#28924,#28925,#28926)); #5026=EDGE_LOOP('',(#28927,#28928,#28929,#28930)); #5027=EDGE_LOOP('',(#28931,#28932,#28933,#28934)); #5028=EDGE_LOOP('',(#28935,#28936,#28937,#28938)); #5029=EDGE_LOOP('',(#28939,#28940,#28941,#28942)); #5030=EDGE_LOOP('',(#28943,#28944,#28945,#28946)); #5031=EDGE_LOOP('',(#28947,#28948,#28949,#28950)); #5032=EDGE_LOOP('',(#28951,#28952,#28953,#28954)); #5033=EDGE_LOOP('',(#28955,#28956,#28957,#28958)); #5034=EDGE_LOOP('',(#28959,#28960,#28961,#28962)); #5035=EDGE_LOOP('',(#28963,#28964,#28965,#28966)); #5036=EDGE_LOOP('',(#28967,#28968,#28969,#28970)); #5037=EDGE_LOOP('',(#28971,#28972,#28973,#28974)); #5038=EDGE_LOOP('',(#28975,#28976,#28977,#28978)); #5039=EDGE_LOOP('',(#28979,#28980,#28981,#28982)); #5040=EDGE_LOOP('',(#28983,#28984,#28985,#28986)); #5041=EDGE_LOOP('',(#28987,#28988,#28989,#28990)); #5042=EDGE_LOOP('',(#28991,#28992,#28993,#28994)); #5043=EDGE_LOOP('',(#28995,#28996,#28997,#28998)); #5044=EDGE_LOOP('',(#28999,#29000,#29001,#29002)); #5045=EDGE_LOOP('',(#29003,#29004,#29005,#29006)); #5046=EDGE_LOOP('',(#29007,#29008,#29009,#29010)); #5047=EDGE_LOOP('',(#29011,#29012,#29013,#29014)); #5048=EDGE_LOOP('',(#29015,#29016,#29017,#29018)); #5049=EDGE_LOOP('',(#29019,#29020,#29021,#29022)); #5050=EDGE_LOOP('',(#29023,#29024,#29025,#29026)); #5051=EDGE_LOOP('',(#29027,#29028,#29029,#29030)); #5052=EDGE_LOOP('',(#29031,#29032,#29033,#29034)); #5053=EDGE_LOOP('',(#29035,#29036,#29037,#29038)); #5054=EDGE_LOOP('',(#29039,#29040,#29041,#29042)); #5055=EDGE_LOOP('',(#29043,#29044,#29045,#29046)); #5056=EDGE_LOOP('',(#29047,#29048,#29049,#29050)); #5057=EDGE_LOOP('',(#29051,#29052,#29053,#29054)); #5058=EDGE_LOOP('',(#29055,#29056,#29057,#29058)); #5059=EDGE_LOOP('',(#29059,#29060,#29061,#29062)); #5060=EDGE_LOOP('',(#29063,#29064,#29065,#29066)); #5061=EDGE_LOOP('',(#29067,#29068,#29069,#29070)); #5062=EDGE_LOOP('',(#29071,#29072,#29073,#29074)); #5063=EDGE_LOOP('',(#29075,#29076,#29077,#29078)); #5064=EDGE_LOOP('',(#29079,#29080,#29081,#29082)); #5065=EDGE_LOOP('',(#29083,#29084,#29085,#29086)); #5066=EDGE_LOOP('',(#29087,#29088,#29089,#29090)); #5067=EDGE_LOOP('',(#29091,#29092,#29093,#29094)); #5068=EDGE_LOOP('',(#29095,#29096,#29097,#29098)); #5069=EDGE_LOOP('',(#29099,#29100,#29101,#29102)); #5070=EDGE_LOOP('',(#29103,#29104,#29105,#29106)); #5071=EDGE_LOOP('',(#29107,#29108,#29109,#29110)); #5072=EDGE_LOOP('',(#29111,#29112,#29113,#29114)); #5073=EDGE_LOOP('',(#29115,#29116,#29117,#29118)); #5074=EDGE_LOOP('',(#29119,#29120,#29121,#29122)); #5075=EDGE_LOOP('',(#29123,#29124,#29125,#29126)); #5076=EDGE_LOOP('',(#29127,#29128,#29129,#29130)); #5077=EDGE_LOOP('',(#29131,#29132,#29133,#29134)); #5078=EDGE_LOOP('',(#29135,#29136,#29137,#29138)); #5079=EDGE_LOOP('',(#29139,#29140,#29141,#29142)); #5080=EDGE_LOOP('',(#29143,#29144,#29145,#29146)); #5081=EDGE_LOOP('',(#29147,#29148,#29149,#29150)); #5082=EDGE_LOOP('',(#29151,#29152,#29153,#29154)); #5083=EDGE_LOOP('',(#29155,#29156,#29157,#29158)); #5084=EDGE_LOOP('',(#29159,#29160,#29161,#29162)); #5085=EDGE_LOOP('',(#29163,#29164,#29165,#29166)); #5086=EDGE_LOOP('',(#29167,#29168,#29169,#29170)); #5087=EDGE_LOOP('',(#29171,#29172,#29173,#29174)); #5088=EDGE_LOOP('',(#29175,#29176,#29177,#29178)); #5089=EDGE_LOOP('',(#29179,#29180,#29181,#29182)); #5090=EDGE_LOOP('',(#29183,#29184,#29185,#29186)); #5091=EDGE_LOOP('',(#29187,#29188,#29189,#29190)); #5092=EDGE_LOOP('',(#29191,#29192,#29193,#29194)); #5093=EDGE_LOOP('',(#29195,#29196,#29197,#29198)); #5094=EDGE_LOOP('',(#29199,#29200,#29201,#29202)); #5095=EDGE_LOOP('',(#29203,#29204,#29205,#29206)); #5096=EDGE_LOOP('',(#29207,#29208,#29209,#29210)); #5097=EDGE_LOOP('',(#29211,#29212,#29213,#29214)); #5098=EDGE_LOOP('',(#29215,#29216,#29217,#29218)); #5099=EDGE_LOOP('',(#29219,#29220,#29221,#29222)); #5100=EDGE_LOOP('',(#29223,#29224,#29225,#29226)); #5101=EDGE_LOOP('',(#29227,#29228,#29229,#29230)); #5102=EDGE_LOOP('',(#29231,#29232,#29233,#29234)); #5103=EDGE_LOOP('',(#29235,#29236,#29237,#29238)); #5104=EDGE_LOOP('',(#29239,#29240,#29241,#29242)); #5105=EDGE_LOOP('',(#29243,#29244,#29245,#29246)); #5106=EDGE_LOOP('',(#29247,#29248,#29249,#29250)); #5107=EDGE_LOOP('',(#29251,#29252,#29253,#29254)); #5108=EDGE_LOOP('',(#29255,#29256,#29257,#29258)); #5109=EDGE_LOOP('',(#29259,#29260,#29261,#29262)); #5110=EDGE_LOOP('',(#29263,#29264,#29265,#29266)); #5111=EDGE_LOOP('',(#29267,#29268,#29269,#29270)); #5112=EDGE_LOOP('',(#29271,#29272,#29273,#29274)); #5113=EDGE_LOOP('',(#29275,#29276,#29277,#29278)); #5114=EDGE_LOOP('',(#29279,#29280,#29281,#29282)); #5115=EDGE_LOOP('',(#29283,#29284,#29285,#29286)); #5116=EDGE_LOOP('',(#29287,#29288,#29289,#29290)); #5117=EDGE_LOOP('',(#29291,#29292,#29293,#29294)); #5118=EDGE_LOOP('',(#29295,#29296,#29297,#29298)); #5119=EDGE_LOOP('',(#29299,#29300,#29301,#29302)); #5120=EDGE_LOOP('',(#29303,#29304,#29305,#29306)); #5121=EDGE_LOOP('',(#29307,#29308,#29309,#29310)); #5122=EDGE_LOOP('',(#29311,#29312,#29313,#29314)); #5123=EDGE_LOOP('',(#29315,#29316,#29317,#29318)); #5124=EDGE_LOOP('',(#29319,#29320,#29321,#29322)); #5125=EDGE_LOOP('',(#29323,#29324,#29325,#29326)); #5126=EDGE_LOOP('',(#29327,#29328,#29329,#29330)); #5127=EDGE_LOOP('',(#29331,#29332,#29333,#29334)); #5128=EDGE_LOOP('',(#29335,#29336,#29337,#29338)); #5129=EDGE_LOOP('',(#29339,#29340,#29341,#29342)); #5130=EDGE_LOOP('',(#29343,#29344,#29345,#29346)); #5131=EDGE_LOOP('',(#29347,#29348,#29349,#29350)); #5132=EDGE_LOOP('',(#29351,#29352,#29353,#29354)); #5133=EDGE_LOOP('',(#29355,#29356,#29357,#29358)); #5134=EDGE_LOOP('',(#29359,#29360,#29361,#29362)); #5135=EDGE_LOOP('',(#29363,#29364,#29365,#29366)); #5136=EDGE_LOOP('',(#29367,#29368,#29369,#29370)); #5137=EDGE_LOOP('',(#29371,#29372,#29373,#29374)); #5138=EDGE_LOOP('',(#29375,#29376,#29377,#29378)); #5139=EDGE_LOOP('',(#29379,#29380,#29381,#29382)); #5140=EDGE_LOOP('',(#29383,#29384,#29385,#29386)); #5141=EDGE_LOOP('',(#29387,#29388,#29389,#29390)); #5142=EDGE_LOOP('',(#29391,#29392,#29393,#29394)); #5143=EDGE_LOOP('',(#29395,#29396,#29397,#29398)); #5144=EDGE_LOOP('',(#29399,#29400,#29401,#29402)); #5145=EDGE_LOOP('',(#29403,#29404,#29405,#29406)); #5146=EDGE_LOOP('',(#29407,#29408,#29409,#29410)); #5147=EDGE_LOOP('',(#29411,#29412,#29413,#29414)); #5148=EDGE_LOOP('',(#29415,#29416,#29417,#29418)); #5149=EDGE_LOOP('',(#29419,#29420,#29421,#29422)); #5150=EDGE_LOOP('',(#29423,#29424,#29425,#29426)); #5151=EDGE_LOOP('',(#29427,#29428,#29429,#29430)); #5152=EDGE_LOOP('',(#29431,#29432,#29433,#29434)); #5153=EDGE_LOOP('',(#29435,#29436,#29437,#29438)); #5154=EDGE_LOOP('',(#29439,#29440,#29441,#29442)); #5155=EDGE_LOOP('',(#29443,#29444,#29445,#29446)); #5156=EDGE_LOOP('',(#29447,#29448,#29449,#29450)); #5157=EDGE_LOOP('',(#29451,#29452,#29453,#29454)); #5158=EDGE_LOOP('',(#29455,#29456,#29457,#29458)); #5159=EDGE_LOOP('',(#29459,#29460,#29461,#29462)); #5160=EDGE_LOOP('',(#29463,#29464,#29465,#29466)); #5161=EDGE_LOOP('',(#29467,#29468,#29469,#29470)); #5162=EDGE_LOOP('',(#29471,#29472,#29473,#29474)); #5163=EDGE_LOOP('',(#29475,#29476,#29477,#29478)); #5164=EDGE_LOOP('',(#29479,#29480,#29481,#29482)); #5165=EDGE_LOOP('',(#29483,#29484,#29485,#29486)); #5166=EDGE_LOOP('',(#29487,#29488,#29489,#29490)); #5167=EDGE_LOOP('',(#29491,#29492,#29493,#29494)); #5168=EDGE_LOOP('',(#29495,#29496,#29497,#29498)); #5169=EDGE_LOOP('',(#29499,#29500,#29501,#29502)); #5170=EDGE_LOOP('',(#29503,#29504,#29505,#29506)); #5171=EDGE_LOOP('',(#29507,#29508,#29509,#29510)); #5172=EDGE_LOOP('',(#29511,#29512,#29513,#29514)); #5173=EDGE_LOOP('',(#29515,#29516,#29517,#29518,#29519,#29520,#29521,#29522)); #5174=EDGE_LOOP('',(#29523,#29524,#29525,#29526)); #5175=EDGE_LOOP('',(#29527)); #5176=EDGE_LOOP('',(#29528,#29529,#29530,#29531)); #5177=EDGE_LOOP('',(#29532)); #5178=EDGE_LOOP('',(#29533,#29534,#29535,#29536)); #5179=EDGE_LOOP('',(#29537,#29538,#29539,#29540)); #5180=EDGE_LOOP('',(#29541,#29542,#29543,#29544,#29545,#29546,#29547,#29548)); #5181=EDGE_LOOP('',(#29549)); #5182=EDGE_LOOP('',(#29550,#29551,#29552,#29553,#29554,#29555,#29556,#29557)); #5183=EDGE_LOOP('',(#29558,#29559,#29560,#29561)); #5184=EDGE_LOOP('',(#29562,#29563,#29564,#29565)); #5185=EDGE_LOOP('',(#29566,#29567,#29568,#29569)); #5186=EDGE_LOOP('',(#29570,#29571,#29572,#29573)); #5187=EDGE_LOOP('',(#29574,#29575,#29576,#29577,#29578,#29579,#29580,#29581)); #5188=EDGE_LOOP('',(#29582,#29583,#29584,#29585,#29586,#29587,#29588,#29589)); #5189=EDGE_LOOP('',(#29590,#29591,#29592,#29593,#29594,#29595,#29596,#29597)); #5190=EDGE_LOOP('',(#29598,#29599,#29600,#29601)); #5191=EDGE_LOOP('',(#29602,#29603,#29604,#29605,#29606,#29607,#29608,#29609)); #5192=EDGE_LOOP('',(#29610,#29611,#29612,#29613,#29614,#29615,#29616,#29617)); #5193=EDGE_LOOP('',(#29618,#29619,#29620,#29621,#29622,#29623,#29624,#29625)); #5194=EDGE_LOOP('',(#29626,#29627,#29628,#29629,#29630,#29631,#29632,#29633)); #5195=EDGE_LOOP('',(#29634,#29635,#29636,#29637,#29638,#29639,#29640,#29641)); #5196=EDGE_LOOP('',(#29642,#29643,#29644,#29645,#29646,#29647,#29648,#29649)); #5197=EDGE_LOOP('',(#29650,#29651,#29652,#29653,#29654,#29655,#29656,#29657)); #5198=EDGE_LOOP('',(#29658,#29659,#29660,#29661,#29662,#29663,#29664,#29665)); #5199=EDGE_LOOP('',(#29666,#29667,#29668,#29669,#29670,#29671,#29672,#29673)); #5200=EDGE_LOOP('',(#29674,#29675,#29676,#29677,#29678,#29679,#29680,#29681)); #5201=EDGE_LOOP('',(#29682,#29683,#29684,#29685,#29686,#29687,#29688,#29689)); #5202=EDGE_LOOP('',(#29690,#29691,#29692,#29693,#29694,#29695,#29696,#29697)); #5203=EDGE_LOOP('',(#29698,#29699,#29700,#29701)); #5204=EDGE_LOOP('',(#29702,#29703,#29704,#29705)); #5205=EDGE_LOOP('',(#29706)); #5206=EDGE_LOOP('',(#29707)); #5207=EDGE_LOOP('',(#29708,#29709,#29710,#29711)); #5208=EDGE_LOOP('',(#29712)); #5209=EDGE_LOOP('',(#29713)); #5210=EDGE_LOOP('',(#29714)); #5211=EDGE_LOOP('',(#29715)); #5212=EDGE_LOOP('',(#29716)); #5213=EDGE_LOOP('',(#29717)); #5214=EDGE_LOOP('',(#29718,#29719,#29720,#29721,#29722,#29723,#29724,#29725)); #5215=EDGE_LOOP('',(#29726)); #5216=EDGE_LOOP('',(#29727,#29728,#29729,#29730)); #5217=EDGE_LOOP('',(#29731,#29732,#29733,#29734)); #5218=EDGE_LOOP('',(#29735)); #5219=EDGE_LOOP('',(#29736)); #5220=EDGE_LOOP('',(#29737,#29738,#29739,#29740)); #5221=EDGE_LOOP('',(#29741,#29742,#29743,#29744,#29745,#29746,#29747,#29748)); #5222=EDGE_LOOP('',(#29749,#29750,#29751,#29752)); #5223=EDGE_LOOP('',(#29753,#29754,#29755,#29756,#29757,#29758,#29759,#29760)); #5224=EDGE_LOOP('',(#29761,#29762,#29763,#29764,#29765,#29766,#29767,#29768)); #5225=EDGE_LOOP('',(#29769,#29770,#29771,#29772)); #5226=EDGE_LOOP('',(#29773)); #5227=EDGE_LOOP('',(#29774,#29775,#29776,#29777)); #5228=EDGE_LOOP('',(#29778)); #5229=EDGE_LOOP('',(#29779,#29780,#29781,#29782)); #5230=EDGE_LOOP('',(#29783,#29784,#29785,#29786)); #5231=EDGE_LOOP('',(#29787,#29788,#29789,#29790,#29791,#29792,#29793,#29794)); #5232=EDGE_LOOP('',(#29795)); #5233=EDGE_LOOP('',(#29796,#29797,#29798,#29799,#29800,#29801,#29802,#29803)); #5234=EDGE_LOOP('',(#29804,#29805,#29806,#29807)); #5235=EDGE_LOOP('',(#29808,#29809,#29810,#29811)); #5236=EDGE_LOOP('',(#29812,#29813,#29814,#29815)); #5237=EDGE_LOOP('',(#29816,#29817,#29818,#29819)); #5238=EDGE_LOOP('',(#29820,#29821,#29822,#29823,#29824,#29825,#29826,#29827)); #5239=EDGE_LOOP('',(#29828,#29829,#29830,#29831,#29832,#29833,#29834,#29835)); #5240=EDGE_LOOP('',(#29836,#29837,#29838,#29839,#29840,#29841,#29842,#29843)); #5241=EDGE_LOOP('',(#29844,#29845,#29846,#29847)); #5242=EDGE_LOOP('',(#29848,#29849,#29850,#29851,#29852,#29853,#29854,#29855)); #5243=EDGE_LOOP('',(#29856,#29857,#29858,#29859,#29860,#29861,#29862,#29863)); #5244=EDGE_LOOP('',(#29864,#29865,#29866,#29867,#29868,#29869,#29870,#29871)); #5245=EDGE_LOOP('',(#29872,#29873,#29874,#29875,#29876,#29877,#29878,#29879)); #5246=EDGE_LOOP('',(#29880,#29881,#29882,#29883,#29884,#29885,#29886,#29887)); #5247=EDGE_LOOP('',(#29888,#29889,#29890,#29891,#29892,#29893,#29894,#29895)); #5248=EDGE_LOOP('',(#29896,#29897,#29898,#29899,#29900,#29901,#29902,#29903)); #5249=EDGE_LOOP('',(#29904,#29905,#29906,#29907,#29908,#29909,#29910,#29911)); #5250=EDGE_LOOP('',(#29912,#29913,#29914,#29915,#29916,#29917,#29918,#29919)); #5251=EDGE_LOOP('',(#29920,#29921,#29922,#29923,#29924,#29925,#29926,#29927)); #5252=EDGE_LOOP('',(#29928,#29929,#29930,#29931,#29932,#29933,#29934,#29935)); #5253=EDGE_LOOP('',(#29936,#29937,#29938,#29939,#29940,#29941,#29942,#29943)); #5254=EDGE_LOOP('',(#29944,#29945,#29946,#29947)); #5255=EDGE_LOOP('',(#29948,#29949,#29950,#29951)); #5256=EDGE_LOOP('',(#29952)); #5257=EDGE_LOOP('',(#29953)); #5258=EDGE_LOOP('',(#29954,#29955,#29956,#29957)); #5259=EDGE_LOOP('',(#29958)); #5260=EDGE_LOOP('',(#29959)); #5261=EDGE_LOOP('',(#29960)); #5262=EDGE_LOOP('',(#29961)); #5263=EDGE_LOOP('',(#29962)); #5264=EDGE_LOOP('',(#29963)); #5265=EDGE_LOOP('',(#29964,#29965,#29966,#29967,#29968,#29969,#29970,#29971)); #5266=EDGE_LOOP('',(#29972)); #5267=EDGE_LOOP('',(#29973,#29974,#29975,#29976)); #5268=EDGE_LOOP('',(#29977,#29978,#29979,#29980)); #5269=EDGE_LOOP('',(#29981)); #5270=EDGE_LOOP('',(#29982)); #5271=EDGE_LOOP('',(#29983,#29984,#29985,#29986)); #5272=EDGE_LOOP('',(#29987,#29988,#29989,#29990,#29991,#29992,#29993,#29994)); #5273=EDGE_LOOP('',(#29995,#29996,#29997,#29998)); #5274=EDGE_LOOP('',(#29999,#30000,#30001,#30002,#30003,#30004,#30005,#30006)); #5275=EDGE_LOOP('',(#30007,#30008,#30009,#30010)); #5276=EDGE_LOOP('',(#30011,#30012,#30013,#30014,#30015,#30016,#30017,#30018)); #5277=EDGE_LOOP('',(#30019,#30020,#30021)); #5278=EDGE_LOOP('',(#30022,#30023,#30024,#30025)); #5279=EDGE_LOOP('',(#30026,#30027,#30028,#30029)); #5280=EDGE_LOOP('',(#30030,#30031,#30032)); #5281=EDGE_LOOP('',(#30033,#30034,#30035,#30036,#30037,#30038)); #5282=EDGE_LOOP('',(#30039,#30040,#30041,#30042)); #5283=EDGE_LOOP('',(#30043,#30044,#30045,#30046)); #5284=EDGE_LOOP('',(#30047,#30048,#30049,#30050)); #5285=EDGE_LOOP('',(#30051,#30052,#30053)); #5286=EDGE_LOOP('',(#30054,#30055,#30056)); #5287=EDGE_LOOP('',(#30057,#30058,#30059,#30060)); #5288=EDGE_LOOP('',(#30061,#30062,#30063,#30064)); #5289=EDGE_LOOP('',(#30065,#30066,#30067,#30068,#30069,#30070)); #5290=EDGE_LOOP('',(#30071,#30072,#30073,#30074)); #5291=EDGE_LOOP('',(#30075,#30076,#30077,#30078)); #5292=EDGE_LOOP('',(#30079,#30080,#30081,#30082)); #5293=EDGE_LOOP('',(#30083,#30084,#30085,#30086)); #5294=EDGE_LOOP('',(#30087,#30088,#30089,#30090,#30091,#30092,#30093,#30094, #30095,#30096)); #5295=EDGE_LOOP('',(#30097,#30098,#30099)); #5296=EDGE_LOOP('',(#30100,#30101,#30102,#30103)); #5297=EDGE_LOOP('',(#30104,#30105,#30106)); #5298=EDGE_LOOP('',(#30107,#30108,#30109,#30110,#30111,#30112)); #5299=EDGE_LOOP('',(#30113,#30114,#30115,#30116)); #5300=EDGE_LOOP('',(#30117,#30118,#30119,#30120)); #5301=EDGE_LOOP('',(#30121,#30122,#30123,#30124)); #5302=EDGE_LOOP('',(#30125,#30126,#30127,#30128)); #5303=EDGE_LOOP('',(#30129,#30130,#30131)); #5304=EDGE_LOOP('',(#30132,#30133,#30134)); #5305=EDGE_LOOP('',(#30135,#30136,#30137,#30138)); #5306=EDGE_LOOP('',(#30139,#30140,#30141,#30142)); #5307=EDGE_LOOP('',(#30143,#30144,#30145,#30146,#30147,#30148)); #5308=EDGE_LOOP('',(#30149,#30150,#30151,#30152)); #5309=EDGE_LOOP('',(#30153,#30154,#30155,#30156)); #5310=EDGE_LOOP('',(#30157,#30158,#30159,#30160)); #5311=EDGE_LOOP('',(#30161,#30162,#30163,#30164)); #5312=EDGE_LOOP('',(#30165,#30166,#30167,#30168)); #5313=EDGE_LOOP('',(#30169,#30170,#30171,#30172,#30173)); #5314=EDGE_LOOP('',(#30174,#30175,#30176,#30177)); #5315=EDGE_LOOP('',(#30178,#30179,#30180,#30181)); #5316=EDGE_LOOP('',(#30182,#30183,#30184,#30185)); #5317=EDGE_LOOP('',(#30186,#30187,#30188,#30189,#30190)); #5318=EDGE_LOOP('',(#30191,#30192,#30193,#30194,#30195,#30196,#30197,#30198, #30199,#30200)); #5319=EDGE_LOOP('',(#30201,#30202,#30203,#30204)); #5320=EDGE_LOOP('',(#30205,#30206,#30207,#30208)); #5321=EDGE_LOOP('',(#30209,#30210,#30211,#30212)); #5322=EDGE_LOOP('',(#30213,#30214,#30215,#30216)); #5323=EDGE_LOOP('',(#30217,#30218,#30219,#30220)); #5324=EDGE_LOOP('',(#30221,#30222,#30223,#30224)); #5325=EDGE_LOOP('',(#30225,#30226,#30227,#30228)); #5326=EDGE_LOOP('',(#30229,#30230,#30231,#30232)); #5327=EDGE_LOOP('',(#30233,#30234,#30235,#30236)); #5328=EDGE_LOOP('',(#30237,#30238,#30239,#30240)); #5329=EDGE_LOOP('',(#30241,#30242,#30243,#30244)); #5330=EDGE_LOOP('',(#30245,#30246,#30247,#30248)); #5331=EDGE_LOOP('',(#30249,#30250,#30251,#30252)); #5332=EDGE_LOOP('',(#30253,#30254,#30255,#30256)); #5333=EDGE_LOOP('',(#30257,#30258,#30259,#30260)); #5334=EDGE_LOOP('',(#30261,#30262,#30263,#30264)); #5335=EDGE_LOOP('',(#30265,#30266,#30267,#30268)); #5336=EDGE_LOOP('',(#30269,#30270,#30271,#30272)); #5337=EDGE_LOOP('',(#30273,#30274,#30275,#30276,#30277,#30278,#30279,#30280, #30281,#30282,#30283,#30284)); #5338=EDGE_LOOP('',(#30285,#30286,#30287,#30288)); #5339=EDGE_LOOP('',(#30289)); #5340=EDGE_LOOP('',(#30290)); #5341=EDGE_LOOP('',(#30291)); #5342=EDGE_LOOP('',(#30292)); #5343=EDGE_LOOP('',(#30293)); #5344=EDGE_LOOP('',(#30294)); #5345=EDGE_LOOP('',(#30295,#30296,#30297,#30298,#30299,#30300,#30301,#30302, #30303,#30304,#30305,#30306)); #5346=EDGE_LOOP('',(#30307)); #5347=EDGE_LOOP('',(#30308)); #5348=EDGE_LOOP('',(#30309)); #5349=EDGE_LOOP('',(#30310)); #5350=EDGE_LOOP('',(#30311)); #5351=EDGE_LOOP('',(#30312)); #5352=EDGE_LOOP('',(#30313,#30314,#30315,#30316,#30317,#30318,#30319,#30320, #30321,#30322,#30323,#30324,#30325,#30326,#30327,#30328,#30329,#30330,#30331, #30332,#30333,#30334,#30335,#30336,#30337,#30338,#30339,#30340,#30341,#30342, #30343,#30344,#30345,#30346,#30347,#30348,#30349,#30350,#30351,#30352,#30353, #30354,#30355,#30356,#30357,#30358,#30359,#30360,#30361,#30362,#30363,#30364, #30365,#30366,#30367,#30368,#30369,#30370,#30371,#30372,#30373,#30374,#30375, #30376,#30377,#30378,#30379,#30380,#30381,#30382,#30383,#30384,#30385,#30386, #30387,#30388,#30389,#30390,#30391,#30392,#30393,#30394,#30395,#30396,#30397, #30398,#30399,#30400,#30401,#30402,#30403,#30404,#30405,#30406,#30407,#30408, #30409,#30410,#30411,#30412,#30413,#30414,#30415,#30416,#30417,#30418,#30419, #30420,#30421,#30422,#30423,#30424,#30425,#30426,#30427,#30428,#30429,#30430, #30431,#30432,#30433,#30434,#30435,#30436,#30437,#30438,#30439,#30440)); #5353=EDGE_LOOP('',(#30441,#30442,#30443,#30444)); #5354=EDGE_LOOP('',(#30445,#30446,#30447,#30448)); #5355=EDGE_LOOP('',(#30449,#30450,#30451,#30452)); #5356=EDGE_LOOP('',(#30453,#30454,#30455,#30456)); #5357=EDGE_LOOP('',(#30457,#30458,#30459,#30460)); #5358=EDGE_LOOP('',(#30461,#30462,#30463,#30464)); #5359=EDGE_LOOP('',(#30465,#30466,#30467,#30468)); #5360=EDGE_LOOP('',(#30469,#30470,#30471,#30472)); #5361=EDGE_LOOP('',(#30473,#30474,#30475,#30476)); #5362=EDGE_LOOP('',(#30477,#30478,#30479,#30480)); #5363=EDGE_LOOP('',(#30481,#30482,#30483,#30484)); #5364=EDGE_LOOP('',(#30485,#30486,#30487,#30488)); #5365=EDGE_LOOP('',(#30489,#30490,#30491,#30492)); #5366=EDGE_LOOP('',(#30493,#30494,#30495,#30496)); #5367=EDGE_LOOP('',(#30497,#30498,#30499,#30500)); #5368=EDGE_LOOP('',(#30501,#30502,#30503,#30504)); #5369=EDGE_LOOP('',(#30505,#30506,#30507,#30508)); #5370=EDGE_LOOP('',(#30509,#30510,#30511,#30512)); #5371=EDGE_LOOP('',(#30513,#30514,#30515,#30516)); #5372=EDGE_LOOP('',(#30517,#30518,#30519,#30520)); #5373=EDGE_LOOP('',(#30521,#30522,#30523,#30524)); #5374=EDGE_LOOP('',(#30525,#30526,#30527,#30528)); #5375=EDGE_LOOP('',(#30529,#30530,#30531,#30532)); #5376=EDGE_LOOP('',(#30533,#30534,#30535,#30536)); #5377=EDGE_LOOP('',(#30537,#30538,#30539,#30540)); #5378=EDGE_LOOP('',(#30541,#30542,#30543,#30544)); #5379=EDGE_LOOP('',(#30545,#30546,#30547,#30548)); #5380=EDGE_LOOP('',(#30549,#30550,#30551,#30552)); #5381=EDGE_LOOP('',(#30553,#30554,#30555,#30556)); #5382=EDGE_LOOP('',(#30557,#30558,#30559,#30560)); #5383=EDGE_LOOP('',(#30561,#30562,#30563,#30564)); #5384=EDGE_LOOP('',(#30565,#30566,#30567,#30568)); #5385=EDGE_LOOP('',(#30569,#30570,#30571,#30572)); #5386=EDGE_LOOP('',(#30573,#30574,#30575,#30576)); #5387=EDGE_LOOP('',(#30577,#30578,#30579,#30580)); #5388=EDGE_LOOP('',(#30581,#30582,#30583,#30584)); #5389=EDGE_LOOP('',(#30585,#30586,#30587,#30588)); #5390=EDGE_LOOP('',(#30589,#30590,#30591,#30592)); #5391=EDGE_LOOP('',(#30593,#30594,#30595,#30596)); #5392=EDGE_LOOP('',(#30597,#30598,#30599,#30600)); #5393=EDGE_LOOP('',(#30601,#30602,#30603,#30604)); #5394=EDGE_LOOP('',(#30605,#30606,#30607,#30608)); #5395=EDGE_LOOP('',(#30609,#30610,#30611,#30612)); #5396=EDGE_LOOP('',(#30613,#30614,#30615,#30616)); #5397=EDGE_LOOP('',(#30617,#30618,#30619,#30620)); #5398=EDGE_LOOP('',(#30621,#30622,#30623,#30624)); #5399=EDGE_LOOP('',(#30625,#30626,#30627,#30628)); #5400=EDGE_LOOP('',(#30629,#30630,#30631,#30632)); #5401=EDGE_LOOP('',(#30633,#30634,#30635,#30636)); #5402=EDGE_LOOP('',(#30637,#30638,#30639,#30640)); #5403=EDGE_LOOP('',(#30641,#30642,#30643,#30644)); #5404=EDGE_LOOP('',(#30645,#30646,#30647,#30648)); #5405=EDGE_LOOP('',(#30649,#30650,#30651,#30652)); #5406=EDGE_LOOP('',(#30653,#30654,#30655,#30656)); #5407=EDGE_LOOP('',(#30657,#30658,#30659,#30660)); #5408=EDGE_LOOP('',(#30661,#30662,#30663,#30664)); #5409=EDGE_LOOP('',(#30665,#30666,#30667,#30668)); #5410=EDGE_LOOP('',(#30669,#30670,#30671,#30672)); #5411=EDGE_LOOP('',(#30673,#30674,#30675,#30676)); #5412=EDGE_LOOP('',(#30677,#30678,#30679,#30680)); #5413=EDGE_LOOP('',(#30681,#30682,#30683,#30684)); #5414=EDGE_LOOP('',(#30685,#30686,#30687,#30688)); #5415=EDGE_LOOP('',(#30689,#30690,#30691,#30692)); #5416=EDGE_LOOP('',(#30693,#30694,#30695,#30696)); #5417=EDGE_LOOP('',(#30697,#30698,#30699,#30700)); #5418=EDGE_LOOP('',(#30701,#30702,#30703,#30704)); #5419=EDGE_LOOP('',(#30705,#30706,#30707,#30708)); #5420=EDGE_LOOP('',(#30709,#30710,#30711,#30712)); #5421=EDGE_LOOP('',(#30713,#30714,#30715,#30716)); #5422=EDGE_LOOP('',(#30717,#30718,#30719,#30720)); #5423=EDGE_LOOP('',(#30721,#30722,#30723,#30724)); #5424=EDGE_LOOP('',(#30725,#30726,#30727,#30728)); #5425=EDGE_LOOP('',(#30729,#30730,#30731,#30732)); #5426=EDGE_LOOP('',(#30733,#30734,#30735,#30736)); #5427=EDGE_LOOP('',(#30737,#30738,#30739,#30740)); #5428=EDGE_LOOP('',(#30741,#30742,#30743,#30744)); #5429=EDGE_LOOP('',(#30745,#30746,#30747,#30748)); #5430=EDGE_LOOP('',(#30749,#30750,#30751,#30752)); #5431=EDGE_LOOP('',(#30753,#30754,#30755,#30756)); #5432=EDGE_LOOP('',(#30757,#30758,#30759,#30760)); #5433=EDGE_LOOP('',(#30761,#30762,#30763,#30764)); #5434=EDGE_LOOP('',(#30765,#30766,#30767,#30768)); #5435=EDGE_LOOP('',(#30769,#30770,#30771,#30772)); #5436=EDGE_LOOP('',(#30773,#30774,#30775,#30776)); #5437=EDGE_LOOP('',(#30777,#30778,#30779,#30780)); #5438=EDGE_LOOP('',(#30781,#30782,#30783,#30784)); #5439=EDGE_LOOP('',(#30785,#30786,#30787,#30788)); #5440=EDGE_LOOP('',(#30789,#30790,#30791,#30792)); #5441=EDGE_LOOP('',(#30793,#30794,#30795,#30796)); #5442=EDGE_LOOP('',(#30797,#30798,#30799,#30800)); #5443=EDGE_LOOP('',(#30801,#30802,#30803,#30804)); #5444=EDGE_LOOP('',(#30805,#30806,#30807,#30808)); #5445=EDGE_LOOP('',(#30809,#30810,#30811,#30812)); #5446=EDGE_LOOP('',(#30813,#30814,#30815,#30816)); #5447=EDGE_LOOP('',(#30817,#30818,#30819,#30820)); #5448=EDGE_LOOP('',(#30821,#30822,#30823,#30824)); #5449=EDGE_LOOP('',(#30825,#30826,#30827,#30828)); #5450=EDGE_LOOP('',(#30829,#30830,#30831,#30832)); #5451=EDGE_LOOP('',(#30833,#30834,#30835,#30836)); #5452=EDGE_LOOP('',(#30837,#30838,#30839,#30840)); #5453=EDGE_LOOP('',(#30841,#30842,#30843,#30844)); #5454=EDGE_LOOP('',(#30845,#30846,#30847,#30848)); #5455=EDGE_LOOP('',(#30849,#30850,#30851,#30852)); #5456=EDGE_LOOP('',(#30853,#30854,#30855,#30856)); #5457=EDGE_LOOP('',(#30857,#30858,#30859,#30860)); #5458=EDGE_LOOP('',(#30861,#30862,#30863,#30864)); #5459=EDGE_LOOP('',(#30865,#30866,#30867,#30868)); #5460=EDGE_LOOP('',(#30869,#30870,#30871,#30872)); #5461=EDGE_LOOP('',(#30873,#30874,#30875,#30876)); #5462=EDGE_LOOP('',(#30877,#30878,#30879,#30880)); #5463=EDGE_LOOP('',(#30881,#30882,#30883,#30884)); #5464=EDGE_LOOP('',(#30885,#30886,#30887,#30888)); #5465=EDGE_LOOP('',(#30889,#30890,#30891,#30892)); #5466=EDGE_LOOP('',(#30893,#30894,#30895,#30896)); #5467=EDGE_LOOP('',(#30897,#30898,#30899,#30900)); #5468=EDGE_LOOP('',(#30901,#30902,#30903,#30904)); #5469=EDGE_LOOP('',(#30905,#30906,#30907,#30908)); #5470=EDGE_LOOP('',(#30909,#30910,#30911,#30912)); #5471=EDGE_LOOP('',(#30913,#30914,#30915,#30916)); #5472=EDGE_LOOP('',(#30917,#30918,#30919,#30920)); #5473=EDGE_LOOP('',(#30921,#30922,#30923,#30924)); #5474=EDGE_LOOP('',(#30925,#30926,#30927,#30928)); #5475=EDGE_LOOP('',(#30929,#30930,#30931,#30932)); #5476=EDGE_LOOP('',(#30933,#30934,#30935,#30936)); #5477=EDGE_LOOP('',(#30937,#30938,#30939,#30940,#30941,#30942,#30943,#30944, #30945,#30946,#30947,#30948,#30949,#30950,#30951,#30952,#30953,#30954,#30955, #30956,#30957,#30958,#30959,#30960,#30961,#30962,#30963,#30964,#30965,#30966, #30967,#30968,#30969,#30970,#30971,#30972,#30973,#30974,#30975,#30976,#30977, #30978,#30979,#30980,#30981,#30982,#30983,#30984,#30985,#30986,#30987,#30988, #30989,#30990,#30991,#30992,#30993,#30994,#30995,#30996,#30997,#30998,#30999, #31000,#31001,#31002,#31003,#31004,#31005,#31006,#31007,#31008,#31009,#31010, #31011,#31012,#31013,#31014,#31015,#31016,#31017,#31018,#31019,#31020,#31021, #31022,#31023,#31024,#31025,#31026,#31027,#31028,#31029,#31030,#31031,#31032, #31033,#31034,#31035,#31036,#31037,#31038,#31039,#31040,#31041,#31042,#31043, #31044,#31045,#31046,#31047,#31048,#31049,#31050,#31051,#31052,#31053,#31054, #31055,#31056,#31057,#31058,#31059,#31060,#31061,#31062,#31063,#31064)); #5478=EDGE_LOOP('',(#31065,#31066,#31067,#31068,#31069,#31070,#31071,#31072)); #5479=EDGE_LOOP('',(#31073)); #5480=EDGE_LOOP('',(#31074)); #5481=EDGE_LOOP('',(#31075)); #5482=EDGE_LOOP('',(#31076)); #5483=EDGE_LOOP('',(#31077,#31078,#31079,#31080)); #5484=EDGE_LOOP('',(#31081,#31082,#31083,#31084)); #5485=EDGE_LOOP('',(#31085,#31086,#31087,#31088)); #5486=EDGE_LOOP('',(#31089,#31090,#31091,#31092)); #5487=EDGE_LOOP('',(#31093,#31094,#31095,#31096)); #5488=EDGE_LOOP('',(#31097,#31098,#31099,#31100)); #5489=EDGE_LOOP('',(#31101,#31102,#31103,#31104)); #5490=EDGE_LOOP('',(#31105,#31106,#31107,#31108)); #5491=EDGE_LOOP('',(#31109,#31110,#31111,#31112)); #5492=EDGE_LOOP('',(#31113,#31114,#31115,#31116)); #5493=EDGE_LOOP('',(#31117,#31118,#31119,#31120)); #5494=EDGE_LOOP('',(#31121,#31122,#31123,#31124)); #5495=EDGE_LOOP('',(#31125,#31126,#31127,#31128,#31129,#31130,#31131,#31132)); #5496=EDGE_LOOP('',(#31133,#31134,#31135,#31136)); #5497=EDGE_LOOP('',(#31137,#31138,#31139,#31140)); #5498=EDGE_LOOP('',(#31141,#31142,#31143,#31144)); #5499=EDGE_LOOP('',(#31145,#31146,#31147,#31148)); #5500=EDGE_LOOP('',(#31149,#31150,#31151,#31152)); #5501=EDGE_LOOP('',(#31153,#31154,#31155,#31156)); #5502=EDGE_LOOP('',(#31157,#31158,#31159,#31160)); #5503=EDGE_LOOP('',(#31161,#31162,#31163,#31164)); #5504=EDGE_LOOP('',(#31165,#31166,#31167,#31168,#31169,#31170,#31171,#31172)); #5505=EDGE_LOOP('',(#31173)); #5506=EDGE_LOOP('',(#31174)); #5507=EDGE_LOOP('',(#31175)); #5508=EDGE_LOOP('',(#31176)); #5509=EDGE_LOOP('',(#31177,#31178,#31179,#31180,#31181,#31182,#31183,#31184, #31185,#31186,#31187,#31188,#31189,#31190,#31191,#31192)); #5510=EDGE_LOOP('',(#31193)); #5511=EDGE_LOOP('',(#31194)); #5512=EDGE_LOOP('',(#31195)); #5513=EDGE_LOOP('',(#31196)); #5514=EDGE_LOOP('',(#31197)); #5515=EDGE_LOOP('',(#31198)); #5516=EDGE_LOOP('',(#31199)); #5517=EDGE_LOOP('',(#31200)); #5518=EDGE_LOOP('',(#31201,#31202,#31203,#31204)); #5519=EDGE_LOOP('',(#31205,#31206,#31207,#31208)); #5520=EDGE_LOOP('',(#31209,#31210,#31211,#31212)); #5521=EDGE_LOOP('',(#31213,#31214,#31215,#31216)); #5522=EDGE_LOOP('',(#31217,#31218,#31219,#31220)); #5523=EDGE_LOOP('',(#31221,#31222,#31223,#31224)); #5524=EDGE_LOOP('',(#31225,#31226,#31227,#31228)); #5525=EDGE_LOOP('',(#31229,#31230,#31231,#31232)); #5526=EDGE_LOOP('',(#31233,#31234,#31235,#31236)); #5527=EDGE_LOOP('',(#31237,#31238,#31239,#31240)); #5528=EDGE_LOOP('',(#31241,#31242,#31243,#31244)); #5529=EDGE_LOOP('',(#31245,#31246,#31247,#31248)); #5530=EDGE_LOOP('',(#31249,#31250,#31251,#31252)); #5531=EDGE_LOOP('',(#31253,#31254,#31255,#31256,#31257,#31258,#31259,#31260, #31261,#31262,#31263,#31264)); #5532=EDGE_LOOP('',(#31265)); #5533=EDGE_LOOP('',(#31266,#31267,#31268,#31269,#31270,#31271,#31272,#31273, #31274,#31275,#31276,#31277)); #5534=EDGE_LOOP('',(#31278)); #5535=EDGE_LOOP('',(#31279,#31280,#31281,#31282)); #5536=EDGE_LOOP('',(#31283,#31284,#31285,#31286)); #5537=EDGE_LOOP('',(#31287,#31288,#31289,#31290)); #5538=EDGE_LOOP('',(#31291,#31292,#31293,#31294)); #5539=EDGE_LOOP('',(#31295,#31296,#31297,#31298)); #5540=EDGE_LOOP('',(#31299,#31300,#31301,#31302)); #5541=EDGE_LOOP('',(#31303,#31304,#31305,#31306)); #5542=EDGE_LOOP('',(#31307,#31308,#31309,#31310)); #5543=EDGE_LOOP('',(#31311,#31312,#31313,#31314)); #5544=EDGE_LOOP('',(#31315,#31316,#31317,#31318)); #5545=EDGE_LOOP('',(#31319,#31320,#31321,#31322)); #5546=EDGE_LOOP('',(#31323,#31324,#31325,#31326)); #5547=EDGE_LOOP('',(#31327,#31328,#31329,#31330)); #5548=EDGE_LOOP('',(#31331,#31332,#31333,#31334)); #5549=EDGE_LOOP('',(#31335,#31336,#31337,#31338)); #5550=EDGE_LOOP('',(#31339,#31340,#31341,#31342)); #5551=EDGE_LOOP('',(#31343,#31344,#31345,#31346)); #5552=EDGE_LOOP('',(#31347,#31348,#31349,#31350)); #5553=EDGE_LOOP('',(#31351,#31352,#31353,#31354,#31355,#31356,#31357,#31358, #31359,#31360,#31361,#31362)); #5554=EDGE_LOOP('',(#31363,#31364,#31365,#31366)); #5555=EDGE_LOOP('',(#31367)); #5556=EDGE_LOOP('',(#31368)); #5557=EDGE_LOOP('',(#31369,#31370,#31371,#31372,#31373,#31374,#31375,#31376, #31377,#31378,#31379,#31380)); #5558=EDGE_LOOP('',(#31381,#31382,#31383,#31384)); #5559=EDGE_LOOP('',(#31385)); #5560=EDGE_LOOP('',(#31386)); #5561=EDGE_LOOP('',(#31387,#31388,#31389,#31390)); #5562=EDGE_LOOP('',(#31391,#31392,#31393,#31394)); #5563=EDGE_LOOP('',(#31395,#31396,#31397,#31398)); #5564=EDGE_LOOP('',(#31399,#31400,#31401,#31402)); #5565=EDGE_LOOP('',(#31403,#31404,#31405,#31406)); #5566=EDGE_LOOP('',(#31407,#31408,#31409,#31410)); #5567=EDGE_LOOP('',(#31411,#31412,#31413,#31414)); #5568=EDGE_LOOP('',(#31415,#31416,#31417,#31418)); #5569=EDGE_LOOP('',(#31419,#31420,#31421,#31422)); #5570=EDGE_LOOP('',(#31423,#31424,#31425,#31426)); #5571=EDGE_LOOP('',(#31427,#31428,#31429,#31430)); #5572=EDGE_LOOP('',(#31431,#31432,#31433,#31434)); #5573=EDGE_LOOP('',(#31435,#31436,#31437,#31438)); #5574=EDGE_LOOP('',(#31439,#31440,#31441,#31442,#31443,#31444,#31445,#31446, #31447,#31448,#31449,#31450)); #5575=EDGE_LOOP('',(#31451)); #5576=EDGE_LOOP('',(#31452,#31453,#31454,#31455,#31456,#31457,#31458,#31459, #31460,#31461,#31462,#31463)); #5577=EDGE_LOOP('',(#31464)); #5578=EDGE_LOOP('',(#31465,#31466,#31467,#31468)); #5579=EDGE_LOOP('',(#31469,#31470,#31471,#31472)); #5580=EDGE_LOOP('',(#31473,#31474,#31475,#31476)); #5581=EDGE_LOOP('',(#31477,#31478,#31479,#31480)); #5582=EDGE_LOOP('',(#31481,#31482,#31483,#31484)); #5583=EDGE_LOOP('',(#31485,#31486,#31487,#31488)); #5584=EDGE_LOOP('',(#31489,#31490,#31491,#31492)); #5585=EDGE_LOOP('',(#31493,#31494,#31495,#31496)); #5586=EDGE_LOOP('',(#31497,#31498,#31499,#31500)); #5587=EDGE_LOOP('',(#31501,#31502,#31503,#31504)); #5588=EDGE_LOOP('',(#31505,#31506,#31507,#31508)); #5589=EDGE_LOOP('',(#31509,#31510,#31511,#31512)); #5590=EDGE_LOOP('',(#31513,#31514,#31515,#31516)); #5591=EDGE_LOOP('',(#31517,#31518,#31519,#31520)); #5592=EDGE_LOOP('',(#31521,#31522,#31523,#31524)); #5593=EDGE_LOOP('',(#31525,#31526,#31527,#31528)); #5594=EDGE_LOOP('',(#31529,#31530,#31531,#31532)); #5595=EDGE_LOOP('',(#31533,#31534,#31535,#31536)); #5596=EDGE_LOOP('',(#31537,#31538,#31539,#31540,#31541,#31542,#31543,#31544, #31545,#31546,#31547,#31548)); #5597=EDGE_LOOP('',(#31549,#31550,#31551,#31552)); #5598=EDGE_LOOP('',(#31553)); #5599=EDGE_LOOP('',(#31554)); #5600=EDGE_LOOP('',(#31555,#31556,#31557,#31558,#31559,#31560,#31561,#31562, #31563,#31564,#31565,#31566)); #5601=EDGE_LOOP('',(#31567,#31568,#31569,#31570)); #5602=EDGE_LOOP('',(#31571)); #5603=EDGE_LOOP('',(#31572)); #5604=EDGE_LOOP('',(#31573,#31574,#31575,#31576)); #5605=EDGE_LOOP('',(#31577,#31578,#31579,#31580)); #5606=EDGE_LOOP('',(#31581,#31582,#31583,#31584)); #5607=EDGE_LOOP('',(#31585,#31586,#31587,#31588)); #5608=EDGE_LOOP('',(#31589,#31590,#31591,#31592)); #5609=EDGE_LOOP('',(#31593,#31594,#31595,#31596)); #5610=EDGE_LOOP('',(#31597,#31598,#31599,#31600)); #5611=EDGE_LOOP('',(#31601,#31602,#31603,#31604)); #5612=EDGE_LOOP('',(#31605,#31606,#31607,#31608)); #5613=EDGE_LOOP('',(#31609,#31610,#31611,#31612)); #5614=EDGE_LOOP('',(#31613,#31614,#31615,#31616)); #5615=EDGE_LOOP('',(#31617,#31618,#31619,#31620)); #5616=EDGE_LOOP('',(#31621,#31622,#31623,#31624)); #5617=EDGE_LOOP('',(#31625,#31626,#31627,#31628)); #5618=EDGE_LOOP('',(#31629,#31630,#31631,#31632)); #5619=EDGE_LOOP('',(#31633,#31634,#31635,#31636)); #5620=EDGE_LOOP('',(#31637,#31638,#31639,#31640)); #5621=EDGE_LOOP('',(#31641,#31642,#31643,#31644)); #5622=EDGE_LOOP('',(#31645,#31646,#31647,#31648,#31649,#31650,#31651,#31652, #31653,#31654,#31655,#31656)); #5623=EDGE_LOOP('',(#31657)); #5624=EDGE_LOOP('',(#31658,#31659,#31660,#31661)); #5625=EDGE_LOOP('',(#31662)); #5626=EDGE_LOOP('',(#31663,#31664,#31665,#31666,#31667,#31668,#31669,#31670, #31671,#31672,#31673,#31674)); #5627=EDGE_LOOP('',(#31675)); #5628=EDGE_LOOP('',(#31676,#31677,#31678,#31679)); #5629=EDGE_LOOP('',(#31680)); #5630=EDGE_LOOP('',(#31681,#31682,#31683,#31684)); #5631=EDGE_LOOP('',(#31685,#31686,#31687,#31688)); #5632=EDGE_LOOP('',(#31689,#31690,#31691,#31692)); #5633=EDGE_LOOP('',(#31693,#31694,#31695,#31696)); #5634=EDGE_LOOP('',(#31697,#31698,#31699,#31700)); #5635=EDGE_LOOP('',(#31701,#31702,#31703,#31704)); #5636=EDGE_LOOP('',(#31705,#31706,#31707,#31708)); #5637=EDGE_LOOP('',(#31709,#31710,#31711,#31712)); #5638=EDGE_LOOP('',(#31713,#31714,#31715,#31716)); #5639=EDGE_LOOP('',(#31717,#31718,#31719,#31720)); #5640=EDGE_LOOP('',(#31721,#31722,#31723,#31724)); #5641=EDGE_LOOP('',(#31725,#31726,#31727,#31728)); #5642=EDGE_LOOP('',(#31729,#31730,#31731,#31732)); #5643=EDGE_LOOP('',(#31733,#31734,#31735,#31736)); #5644=EDGE_LOOP('',(#31737,#31738,#31739,#31740)); #5645=EDGE_LOOP('',(#31741,#31742,#31743,#31744)); #5646=EDGE_LOOP('',(#31745,#31746,#31747,#31748)); #5647=EDGE_LOOP('',(#31749,#31750,#31751,#31752)); #5648=EDGE_LOOP('',(#31753,#31754,#31755,#31756)); #5649=EDGE_LOOP('',(#31757,#31758,#31759,#31760)); #5650=EDGE_LOOP('',(#31761,#31762,#31763,#31764)); #5651=EDGE_LOOP('',(#31765,#31766,#31767,#31768)); #5652=EDGE_LOOP('',(#31769,#31770,#31771,#31772)); #5653=EDGE_LOOP('',(#31773,#31774,#31775,#31776)); #5654=EDGE_LOOP('',(#31777,#31778,#31779,#31780)); #5655=EDGE_LOOP('',(#31781,#31782,#31783,#31784)); #5656=EDGE_LOOP('',(#31785,#31786,#31787,#31788)); #5657=EDGE_LOOP('',(#31789,#31790,#31791,#31792)); #5658=EDGE_LOOP('',(#31793,#31794,#31795,#31796)); #5659=EDGE_LOOP('',(#31797,#31798,#31799,#31800,#31801,#31802,#31803,#31804, #31805,#31806,#31807,#31808,#31809,#31810,#31811,#31812)); #5660=EDGE_LOOP('',(#31813)); #5661=EDGE_LOOP('',(#31814)); #5662=EDGE_LOOP('',(#31815)); #5663=EDGE_LOOP('',(#31816)); #5664=EDGE_LOOP('',(#31817)); #5665=EDGE_LOOP('',(#31818)); #5666=EDGE_LOOP('',(#31819)); #5667=EDGE_LOOP('',(#31820)); #5668=EDGE_LOOP('',(#31821)); #5669=EDGE_LOOP('',(#31822)); #5670=EDGE_LOOP('',(#31823)); #5671=EDGE_LOOP('',(#31824)); #5672=EDGE_LOOP('',(#31825)); #5673=EDGE_LOOP('',(#31826,#31827,#31828,#31829,#31830,#31831,#31832,#31833, #31834,#31835,#31836,#31837,#31838,#31839,#31840,#31841)); #5674=EDGE_LOOP('',(#31842)); #5675=EDGE_LOOP('',(#31843)); #5676=EDGE_LOOP('',(#31844)); #5677=EDGE_LOOP('',(#31845)); #5678=EDGE_LOOP('',(#31846)); #5679=EDGE_LOOP('',(#31847)); #5680=EDGE_LOOP('',(#31848)); #5681=EDGE_LOOP('',(#31849)); #5682=EDGE_LOOP('',(#31850)); #5683=EDGE_LOOP('',(#31851)); #5684=EDGE_LOOP('',(#31852)); #5685=EDGE_LOOP('',(#31853)); #5686=EDGE_LOOP('',(#31854)); #5687=EDGE_LOOP('',(#31855,#31856,#31857,#31858)); #5688=EDGE_LOOP('',(#31859,#31860,#31861,#31862)); #5689=EDGE_LOOP('',(#31863,#31864,#31865,#31866)); #5690=EDGE_LOOP('',(#31867,#31868,#31869,#31870)); #5691=EDGE_LOOP('',(#31871,#31872,#31873,#31874)); #5692=EDGE_LOOP('',(#31875,#31876,#31877,#31878)); #5693=EDGE_LOOP('',(#31879,#31880,#31881,#31882)); #5694=EDGE_LOOP('',(#31883,#31884,#31885,#31886)); #5695=EDGE_LOOP('',(#31887,#31888,#31889,#31890)); #5696=EDGE_LOOP('',(#31891,#31892,#31893,#31894)); #5697=EDGE_LOOP('',(#31895,#31896,#31897,#31898)); #5698=EDGE_LOOP('',(#31899,#31900,#31901,#31902)); #5699=EDGE_LOOP('',(#31903,#31904,#31905,#31906)); #5700=EDGE_LOOP('',(#31907,#31908,#31909,#31910)); #5701=EDGE_LOOP('',(#31911,#31912,#31913,#31914)); #5702=EDGE_LOOP('',(#31915,#31916,#31917,#31918)); #5703=EDGE_LOOP('',(#31919,#31920,#31921,#31922)); #5704=EDGE_LOOP('',(#31923,#31924,#31925,#31926)); #5705=EDGE_LOOP('',(#31927,#31928,#31929,#31930)); #5706=EDGE_LOOP('',(#31931,#31932,#31933,#31934)); #5707=EDGE_LOOP('',(#31935,#31936,#31937,#31938)); #5708=EDGE_LOOP('',(#31939,#31940,#31941,#31942)); #5709=EDGE_LOOP('',(#31943,#31944,#31945,#31946)); #5710=EDGE_LOOP('',(#31947,#31948,#31949,#31950)); #5711=EDGE_LOOP('',(#31951,#31952,#31953,#31954)); #5712=EDGE_LOOP('',(#31955,#31956,#31957,#31958)); #5713=EDGE_LOOP('',(#31959,#31960,#31961,#31962)); #5714=EDGE_LOOP('',(#31963,#31964,#31965,#31966)); #5715=EDGE_LOOP('',(#31967,#31968,#31969,#31970)); #5716=EDGE_LOOP('',(#31971,#31972,#31973,#31974,#31975,#31976,#31977,#31978, #31979,#31980,#31981,#31982,#31983,#31984,#31985,#31986)); #5717=EDGE_LOOP('',(#31987)); #5718=EDGE_LOOP('',(#31988)); #5719=EDGE_LOOP('',(#31989)); #5720=EDGE_LOOP('',(#31990)); #5721=EDGE_LOOP('',(#31991)); #5722=EDGE_LOOP('',(#31992)); #5723=EDGE_LOOP('',(#31993)); #5724=EDGE_LOOP('',(#31994)); #5725=EDGE_LOOP('',(#31995)); #5726=EDGE_LOOP('',(#31996)); #5727=EDGE_LOOP('',(#31997)); #5728=EDGE_LOOP('',(#31998)); #5729=EDGE_LOOP('',(#31999)); #5730=EDGE_LOOP('',(#32000,#32001,#32002,#32003,#32004,#32005,#32006,#32007, #32008,#32009,#32010,#32011,#32012,#32013,#32014,#32015)); #5731=EDGE_LOOP('',(#32016)); #5732=EDGE_LOOP('',(#32017)); #5733=EDGE_LOOP('',(#32018)); #5734=EDGE_LOOP('',(#32019)); #5735=EDGE_LOOP('',(#32020)); #5736=EDGE_LOOP('',(#32021)); #5737=EDGE_LOOP('',(#32022)); #5738=EDGE_LOOP('',(#32023)); #5739=EDGE_LOOP('',(#32024)); #5740=EDGE_LOOP('',(#32025)); #5741=EDGE_LOOP('',(#32026)); #5742=EDGE_LOOP('',(#32027)); #5743=EDGE_LOOP('',(#32028)); #5744=EDGE_LOOP('',(#32029,#32030,#32031,#32032)); #5745=EDGE_LOOP('',(#32033,#32034,#32035,#32036)); #5746=EDGE_LOOP('',(#32037,#32038,#32039,#32040)); #5747=EDGE_LOOP('',(#32041,#32042,#32043,#32044)); #5748=EDGE_LOOP('',(#32045,#32046,#32047,#32048)); #5749=EDGE_LOOP('',(#32049,#32050,#32051,#32052)); #5750=EDGE_LOOP('',(#32053,#32054,#32055,#32056)); #5751=EDGE_LOOP('',(#32057,#32058,#32059,#32060)); #5752=EDGE_LOOP('',(#32061,#32062,#32063,#32064)); #5753=EDGE_LOOP('',(#32065,#32066,#32067,#32068)); #5754=EDGE_LOOP('',(#32069,#32070,#32071,#32072)); #5755=EDGE_LOOP('',(#32073,#32074,#32075,#32076)); #5756=EDGE_LOOP('',(#32077,#32078,#32079,#32080)); #5757=EDGE_LOOP('',(#32081,#32082,#32083,#32084)); #5758=EDGE_LOOP('',(#32085,#32086,#32087,#32088)); #5759=EDGE_LOOP('',(#32089,#32090,#32091,#32092)); #5760=EDGE_LOOP('',(#32093,#32094,#32095,#32096)); #5761=EDGE_LOOP('',(#32097,#32098,#32099,#32100,#32101,#32102)); #5762=EDGE_LOOP('',(#32103)); #5763=EDGE_LOOP('',(#32104)); #5764=EDGE_LOOP('',(#32105)); #5765=EDGE_LOOP('',(#32106)); #5766=EDGE_LOOP('',(#32107)); #5767=EDGE_LOOP('',(#32108)); #5768=EDGE_LOOP('',(#32109)); #5769=EDGE_LOOP('',(#32110)); #5770=EDGE_LOOP('',(#32111)); #5771=EDGE_LOOP('',(#32112)); #5772=EDGE_LOOP('',(#32113)); #5773=EDGE_LOOP('',(#32114,#32115,#32116,#32117,#32118,#32119)); #5774=EDGE_LOOP('',(#32120)); #5775=EDGE_LOOP('',(#32121)); #5776=EDGE_LOOP('',(#32122)); #5777=EDGE_LOOP('',(#32123)); #5778=EDGE_LOOP('',(#32124)); #5779=EDGE_LOOP('',(#32125)); #5780=EDGE_LOOP('',(#32126)); #5781=EDGE_LOOP('',(#32127)); #5782=EDGE_LOOP('',(#32128)); #5783=EDGE_LOOP('',(#32129)); #5784=EDGE_LOOP('',(#32130)); #5785=EDGE_LOOP('',(#32131,#32132,#32133,#32134)); #5786=EDGE_LOOP('',(#32135,#32136,#32137,#32138)); #5787=EDGE_LOOP('',(#32139,#32140,#32141,#32142)); #5788=EDGE_LOOP('',(#32143,#32144,#32145,#32146)); #5789=EDGE_LOOP('',(#32147,#32148,#32149,#32150)); #5790=EDGE_LOOP('',(#32151,#32152,#32153,#32154)); #5791=EDGE_LOOP('',(#32155,#32156,#32157,#32158)); #5792=EDGE_LOOP('',(#32159,#32160,#32161,#32162)); #5793=EDGE_LOOP('',(#32163,#32164,#32165,#32166)); #5794=EDGE_LOOP('',(#32167,#32168,#32169,#32170)); #5795=EDGE_LOOP('',(#32171,#32172,#32173,#32174)); #5796=EDGE_LOOP('',(#32175,#32176,#32177,#32178)); #5797=EDGE_LOOP('',(#32179,#32180,#32181,#32182)); #5798=EDGE_LOOP('',(#32183,#32184,#32185,#32186)); #5799=EDGE_LOOP('',(#32187,#32188,#32189,#32190)); #5800=EDGE_LOOP('',(#32191,#32192,#32193,#32194)); #5801=EDGE_LOOP('',(#32195,#32196,#32197,#32198)); #5802=EDGE_LOOP('',(#32199,#32200,#32201,#32202)); #5803=EDGE_LOOP('',(#32203,#32204,#32205,#32206)); #5804=EDGE_LOOP('',(#32207,#32208,#32209,#32210)); #5805=EDGE_LOOP('',(#32211,#32212,#32213,#32214)); #5806=EDGE_LOOP('',(#32215,#32216,#32217,#32218)); #5807=EDGE_LOOP('',(#32219,#32220,#32221,#32222)); #5808=EDGE_LOOP('',(#32223,#32224,#32225,#32226)); #5809=EDGE_LOOP('',(#32227,#32228,#32229,#32230)); #5810=EDGE_LOOP('',(#32231,#32232,#32233,#32234)); #5811=EDGE_LOOP('',(#32235,#32236,#32237,#32238)); #5812=EDGE_LOOP('',(#32239,#32240,#32241,#32242)); #5813=EDGE_LOOP('',(#32243,#32244,#32245,#32246)); #5814=EDGE_LOOP('',(#32247,#32248,#32249,#32250)); #5815=EDGE_LOOP('',(#32251,#32252,#32253,#32254)); #5816=EDGE_LOOP('',(#32255,#32256,#32257,#32258)); #5817=EDGE_LOOP('',(#32259,#32260,#32261,#32262)); #5818=EDGE_LOOP('',(#32263,#32264,#32265,#32266)); #5819=EDGE_LOOP('',(#32267,#32268,#32269,#32270)); #5820=EDGE_LOOP('',(#32271,#32272,#32273,#32274)); #5821=EDGE_LOOP('',(#32275,#32276,#32277,#32278)); #5822=EDGE_LOOP('',(#32279,#32280,#32281,#32282)); #5823=EDGE_LOOP('',(#32283,#32284,#32285,#32286)); #5824=EDGE_LOOP('',(#32287,#32288,#32289,#32290)); #5825=EDGE_LOOP('',(#32291,#32292,#32293,#32294)); #5826=EDGE_LOOP('',(#32295,#32296,#32297,#32298)); #5827=EDGE_LOOP('',(#32299,#32300,#32301,#32302)); #5828=EDGE_LOOP('',(#32303,#32304,#32305,#32306)); #5829=EDGE_LOOP('',(#32307,#32308,#32309,#32310)); #5830=EDGE_LOOP('',(#32311,#32312,#32313,#32314)); #5831=EDGE_LOOP('',(#32315,#32316,#32317,#32318)); #5832=EDGE_LOOP('',(#32319,#32320,#32321,#32322)); #5833=EDGE_LOOP('',(#32323,#32324,#32325,#32326)); #5834=EDGE_LOOP('',(#32327,#32328,#32329,#32330)); #5835=EDGE_LOOP('',(#32331,#32332,#32333,#32334)); #5836=EDGE_LOOP('',(#32335,#32336,#32337,#32338)); #5837=EDGE_LOOP('',(#32339,#32340,#32341,#32342)); #5838=EDGE_LOOP('',(#32343,#32344,#32345,#32346)); #5839=EDGE_LOOP('',(#32347,#32348,#32349,#32350)); #5840=EDGE_LOOP('',(#32351,#32352,#32353,#32354,#32355,#32356,#32357,#32358, #32359,#32360,#32361,#32362,#32363,#32364,#32365,#32366,#32367,#32368,#32369, #32370,#32371,#32372,#32373,#32374,#32375,#32376,#32377,#32378,#32379,#32380, #32381,#32382,#32383,#32384,#32385,#32386)); #5841=EDGE_LOOP('',(#32387,#32388,#32389,#32390)); #5842=EDGE_LOOP('',(#32391,#32392,#32393,#32394)); #5843=EDGE_LOOP('',(#32395)); #5844=EDGE_LOOP('',(#32396,#32397,#32398,#32399)); #5845=EDGE_LOOP('',(#32400,#32401,#32402,#32403,#32404,#32405,#32406,#32407, #32408,#32409,#32410,#32411,#32412,#32413,#32414,#32415,#32416,#32417,#32418, #32419,#32420,#32421,#32422,#32423,#32424,#32425,#32426,#32427,#32428,#32429, #32430,#32431,#32432,#32433,#32434,#32435)); #5846=EDGE_LOOP('',(#32436,#32437,#32438,#32439)); #5847=EDGE_LOOP('',(#32440,#32441,#32442,#32443)); #5848=EDGE_LOOP('',(#32444)); #5849=EDGE_LOOP('',(#32445,#32446,#32447,#32448)); #5850=EDGE_LOOP('',(#32449,#32450,#32451,#32452)); #5851=EDGE_LOOP('',(#32453,#32454,#32455,#32456)); #5852=EDGE_LOOP('',(#32457,#32458,#32459,#32460)); #5853=EDGE_LOOP('',(#32461,#32462,#32463,#32464)); #5854=EDGE_LOOP('',(#32465,#32466,#32467,#32468)); #5855=EDGE_LOOP('',(#32469,#32470,#32471,#32472)); #5856=EDGE_LOOP('',(#32473,#32474,#32475,#32476)); #5857=EDGE_LOOP('',(#32477,#32478,#32479,#32480)); #5858=EDGE_LOOP('',(#32481,#32482,#32483,#32484)); #5859=EDGE_LOOP('',(#32485,#32486,#32487,#32488)); #5860=EDGE_LOOP('',(#32489,#32490,#32491,#32492)); #5861=EDGE_LOOP('',(#32493,#32494,#32495,#32496)); #5862=EDGE_LOOP('',(#32497,#32498,#32499,#32500)); #5863=EDGE_LOOP('',(#32501,#32502,#32503,#32504)); #5864=EDGE_LOOP('',(#32505,#32506,#32507,#32508)); #5865=EDGE_LOOP('',(#32509,#32510,#32511,#32512)); #5866=EDGE_LOOP('',(#32513,#32514,#32515,#32516)); #5867=EDGE_LOOP('',(#32517,#32518,#32519,#32520)); #5868=EDGE_LOOP('',(#32521,#32522,#32523,#32524)); #5869=EDGE_LOOP('',(#32525,#32526,#32527,#32528)); #5870=EDGE_LOOP('',(#32529,#32530,#32531,#32532)); #5871=EDGE_LOOP('',(#32533,#32534,#32535,#32536)); #5872=EDGE_LOOP('',(#32537,#32538,#32539,#32540)); #5873=EDGE_LOOP('',(#32541,#32542,#32543,#32544)); #5874=EDGE_LOOP('',(#32545,#32546,#32547,#32548)); #5875=EDGE_LOOP('',(#32549,#32550,#32551,#32552)); #5876=EDGE_LOOP('',(#32553,#32554,#32555,#32556,#32557,#32558,#32559,#32560, #32561,#32562,#32563,#32564,#32565,#32566,#32567,#32568,#32569,#32570)); #5877=EDGE_LOOP('',(#32571,#32572,#32573,#32574)); #5878=EDGE_LOOP('',(#32575,#32576,#32577,#32578)); #5879=EDGE_LOOP('',(#32579,#32580,#32581,#32582,#32583,#32584,#32585,#32586, #32587,#32588,#32589,#32590,#32591,#32592,#32593,#32594,#32595,#32596)); #5880=EDGE_LOOP('',(#32597,#32598,#32599,#32600)); #5881=EDGE_LOOP('',(#32601,#32602,#32603,#32604)); #5882=EDGE_LOOP('',(#32605,#32606,#32607,#32608)); #5883=EDGE_LOOP('',(#32609,#32610,#32611,#32612)); #5884=EDGE_LOOP('',(#32613,#32614,#32615,#32616)); #5885=EDGE_LOOP('',(#32617,#32618,#32619,#32620)); #5886=EDGE_LOOP('',(#32621,#32622,#32623,#32624)); #5887=EDGE_LOOP('',(#32625,#32626,#32627,#32628)); #5888=EDGE_LOOP('',(#32629,#32630,#32631,#32632)); #5889=EDGE_LOOP('',(#32633,#32634,#32635,#32636)); #5890=EDGE_LOOP('',(#32637,#32638,#32639,#32640)); #5891=EDGE_LOOP('',(#32641,#32642,#32643,#32644)); #5892=EDGE_LOOP('',(#32645,#32646,#32647,#32648)); #5893=EDGE_LOOP('',(#32649,#32650,#32651,#32652)); #5894=EDGE_LOOP('',(#32653,#32654,#32655,#32656)); #5895=EDGE_LOOP('',(#32657,#32658,#32659,#32660,#32661,#32662,#32663,#32664, #32665,#32666,#32667,#32668)); #5896=EDGE_LOOP('',(#32669)); #5897=EDGE_LOOP('',(#32670,#32671,#32672,#32673,#32674,#32675,#32676,#32677, #32678,#32679,#32680,#32681)); #5898=EDGE_LOOP('',(#32682)); #5899=EDGE_LOOP('',(#32683,#32684,#32685,#32686)); #5900=EDGE_LOOP('',(#32687,#32688,#32689,#32690)); #5901=EDGE_LOOP('',(#32691,#32692,#32693,#32694)); #5902=EDGE_LOOP('',(#32695,#32696,#32697,#32698)); #5903=EDGE_LOOP('',(#32699,#32700,#32701,#32702)); #5904=EDGE_LOOP('',(#32703,#32704,#32705,#32706)); #5905=EDGE_LOOP('',(#32707,#32708,#32709,#32710)); #5906=EDGE_LOOP('',(#32711,#32712,#32713,#32714)); #5907=EDGE_LOOP('',(#32715,#32716,#32717,#32718)); #5908=EDGE_LOOP('',(#32719,#32720,#32721,#32722)); #5909=EDGE_LOOP('',(#32723,#32724,#32725,#32726)); #5910=EDGE_LOOP('',(#32727,#32728,#32729,#32730)); #5911=EDGE_LOOP('',(#32731,#32732,#32733,#32734)); #5912=EDGE_LOOP('',(#32735,#32736,#32737,#32738)); #5913=EDGE_LOOP('',(#32739,#32740,#32741,#32742)); #5914=EDGE_LOOP('',(#32743,#32744,#32745,#32746)); #5915=EDGE_LOOP('',(#32747,#32748,#32749,#32750)); #5916=EDGE_LOOP('',(#32751,#32752,#32753,#32754)); #5917=EDGE_LOOP('',(#32755,#32756,#32757,#32758)); #5918=EDGE_LOOP('',(#32759,#32760,#32761,#32762)); #5919=EDGE_LOOP('',(#32763,#32764,#32765,#32766)); #5920=EDGE_LOOP('',(#32767,#32768,#32769,#32770)); #5921=EDGE_LOOP('',(#32771,#32772,#32773,#32774)); #5922=EDGE_LOOP('',(#32775,#32776,#32777,#32778)); #5923=EDGE_LOOP('',(#32779,#32780,#32781,#32782)); #5924=EDGE_LOOP('',(#32783,#32784,#32785,#32786)); #5925=EDGE_LOOP('',(#32787,#32788,#32789,#32790,#32791,#32792,#32793,#32794, #32795,#32796,#32797,#32798,#32799,#32800,#32801,#32802,#32803,#32804)); #5926=EDGE_LOOP('',(#32805,#32806,#32807,#32808)); #5927=EDGE_LOOP('',(#32809,#32810,#32811,#32812)); #5928=EDGE_LOOP('',(#32813,#32814,#32815,#32816,#32817,#32818,#32819,#32820, #32821,#32822,#32823,#32824,#32825,#32826,#32827,#32828,#32829,#32830)); #5929=EDGE_LOOP('',(#32831,#32832,#32833,#32834)); #5930=EDGE_LOOP('',(#32835,#32836,#32837,#32838)); #5931=EDGE_LOOP('',(#32839,#32840,#32841,#32842)); #5932=EDGE_LOOP('',(#32843,#32844,#32845,#32846)); #5933=EDGE_LOOP('',(#32847,#32848,#32849,#32850)); #5934=EDGE_LOOP('',(#32851,#32852,#32853,#32854)); #5935=EDGE_LOOP('',(#32855,#32856,#32857,#32858)); #5936=EDGE_LOOP('',(#32859,#32860,#32861,#32862)); #5937=EDGE_LOOP('',(#32863,#32864,#32865,#32866)); #5938=EDGE_LOOP('',(#32867,#32868,#32869,#32870)); #5939=EDGE_LOOP('',(#32871,#32872,#32873,#32874)); #5940=EDGE_LOOP('',(#32875,#32876,#32877,#32878)); #5941=EDGE_LOOP('',(#32879,#32880,#32881,#32882)); #5942=EDGE_LOOP('',(#32883,#32884,#32885,#32886)); #5943=EDGE_LOOP('',(#32887,#32888,#32889,#32890)); #5944=EDGE_LOOP('',(#32891,#32892,#32893,#32894,#32895,#32896,#32897,#32898, #32899,#32900,#32901,#32902)); #5945=EDGE_LOOP('',(#32903)); #5946=EDGE_LOOP('',(#32904,#32905,#32906,#32907,#32908,#32909,#32910,#32911, #32912,#32913,#32914,#32915)); #5947=EDGE_LOOP('',(#32916)); #5948=LINE('',#47977,#9801); #5949=LINE('',#47982,#9802); #5950=LINE('',#47984,#9803); #5951=LINE('',#47986,#9804); #5952=LINE('',#47987,#9805); #5953=LINE('',#47990,#9806); #5954=LINE('',#47992,#9807); #5955=LINE('',#47993,#9808); #5956=LINE('',#47996,#9809); #5957=LINE('',#47998,#9810); #5958=LINE('',#47999,#9811); #5959=LINE('',#48002,#9812); #5960=LINE('',#48004,#9813); #5961=LINE('',#48005,#9814); #5962=LINE('',#48008,#9815); #5963=LINE('',#48010,#9816); #5964=LINE('',#48011,#9817); #5965=LINE('',#48014,#9818); #5966=LINE('',#48016,#9819); #5967=LINE('',#48017,#9820); #5968=LINE('',#48020,#9821); #5969=LINE('',#48022,#9822); #5970=LINE('',#48023,#9823); #5971=LINE('',#48033,#9824); #5972=LINE('',#48038,#9825); #5973=LINE('',#48040,#9826); #5974=LINE('',#48042,#9827); #5975=LINE('',#48043,#9828); #5976=LINE('',#48046,#9829); #5977=LINE('',#48048,#9830); #5978=LINE('',#48049,#9831); #5979=LINE('',#48052,#9832); #5980=LINE('',#48054,#9833); #5981=LINE('',#48055,#9834); #5982=LINE('',#48058,#9835); #5983=LINE('',#48060,#9836); #5984=LINE('',#48061,#9837); #5985=LINE('',#48064,#9838); #5986=LINE('',#48066,#9839); #5987=LINE('',#48067,#9840); #5988=LINE('',#48070,#9841); #5989=LINE('',#48072,#9842); #5990=LINE('',#48073,#9843); #5991=LINE('',#48076,#9844); #5992=LINE('',#48078,#9845); #5993=LINE('',#48079,#9846); #5994=LINE('',#48093,#9847); #5995=LINE('',#48097,#9848); #5996=LINE('',#48102,#9849); #5997=LINE('',#48104,#9850); #5998=LINE('',#48106,#9851); #5999=LINE('',#48107,#9852); #6000=LINE('',#48112,#9853); #6001=LINE('',#48119,#9854); #6002=LINE('',#48122,#9855); #6003=LINE('',#48125,#9856); #6004=LINE('',#48127,#9857); #6005=LINE('',#48128,#9858); #6006=LINE('',#48131,#9859); #6007=LINE('',#48133,#9860); #6008=LINE('',#48134,#9861); #6009=LINE('',#48137,#9862); #6010=LINE('',#48139,#9863); #6011=LINE('',#48140,#9864); #6012=LINE('',#48143,#9865); #6013=LINE('',#48145,#9866); #6014=LINE('',#48146,#9867); #6015=LINE('',#48149,#9868); #6016=LINE('',#48151,#9869); #6017=LINE('',#48152,#9870); #6018=LINE('',#48155,#9871); #6019=LINE('',#48157,#9872); #6020=LINE('',#48158,#9873); #6021=LINE('',#48161,#9874); #6022=LINE('',#48163,#9875); #6023=LINE('',#48164,#9876); #6024=LINE('',#48167,#9877); #6025=LINE('',#48169,#9878); #6026=LINE('',#48170,#9879); #6027=LINE('',#48173,#9880); #6028=LINE('',#48175,#9881); #6029=LINE('',#48176,#9882); #6030=LINE('',#48179,#9883); #6031=LINE('',#48181,#9884); #6032=LINE('',#48182,#9885); #6033=LINE('',#48185,#9886); #6034=LINE('',#48187,#9887); #6035=LINE('',#48188,#9888); #6036=LINE('',#48191,#9889); #6037=LINE('',#48193,#9890); #6038=LINE('',#48194,#9891); #6039=LINE('',#48197,#9892); #6040=LINE('',#48199,#9893); #6041=LINE('',#48200,#9894); #6042=LINE('',#48203,#9895); #6043=LINE('',#48205,#9896); #6044=LINE('',#48206,#9897); #6045=LINE('',#48208,#9898); #6046=LINE('',#48209,#9899); #6047=LINE('',#48212,#9900); #6048=LINE('',#48214,#9901); #6049=LINE('',#48215,#9902); #6050=LINE('',#48218,#9903); #6051=LINE('',#48220,#9904); #6052=LINE('',#48221,#9905); #6053=LINE('',#48224,#9906); #6054=LINE('',#48226,#9907); #6055=LINE('',#48227,#9908); #6056=LINE('',#48230,#9909); #6057=LINE('',#48232,#9910); #6058=LINE('',#48233,#9911); #6059=LINE('',#48236,#9912); #6060=LINE('',#48238,#9913); #6061=LINE('',#48239,#9914); #6062=LINE('',#48242,#9915); #6063=LINE('',#48244,#9916); #6064=LINE('',#48245,#9917); #6065=LINE('',#48248,#9918); #6066=LINE('',#48250,#9919); #6067=LINE('',#48251,#9920); #6068=LINE('',#48254,#9921); #6069=LINE('',#48256,#9922); #6070=LINE('',#48257,#9923); #6071=LINE('',#48260,#9924); #6072=LINE('',#48262,#9925); #6073=LINE('',#48263,#9926); #6074=LINE('',#48266,#9927); #6075=LINE('',#48268,#9928); #6076=LINE('',#48269,#9929); #6077=LINE('',#48272,#9930); #6078=LINE('',#48274,#9931); #6079=LINE('',#48275,#9932); #6080=LINE('',#48278,#9933); #6081=LINE('',#48280,#9934); #6082=LINE('',#48281,#9935); #6083=LINE('',#48284,#9936); #6084=LINE('',#48286,#9937); #6085=LINE('',#48287,#9938); #6086=LINE('',#48290,#9939); #6087=LINE('',#48292,#9940); #6088=LINE('',#48293,#9941); #6089=LINE('',#48296,#9942); #6090=LINE('',#48298,#9943); #6091=LINE('',#48299,#9944); #6092=LINE('',#48305,#9945); #6093=LINE('',#48307,#9946); #6094=LINE('',#48308,#9947); #6095=LINE('',#48314,#9948); #6096=LINE('',#48316,#9949); #6097=LINE('',#48318,#9950); #6098=LINE('',#48319,#9951); #6099=LINE('',#48322,#9952); #6100=LINE('',#48324,#9953); #6101=LINE('',#48325,#9954); #6102=LINE('',#48328,#9955); #6103=LINE('',#48330,#9956); #6104=LINE('',#48331,#9957); #6105=LINE('',#48337,#9958); #6106=LINE('',#48340,#9959); #6107=LINE('',#48342,#9960); #6108=LINE('',#48343,#9961); #6109=LINE('',#48349,#9962); #6110=LINE('',#48352,#9963); #6111=LINE('',#48354,#9964); #6112=LINE('',#48355,#9965); #6113=LINE('',#48358,#9966); #6114=LINE('',#48360,#9967); #6115=LINE('',#48361,#9968); #6116=LINE('',#48364,#9969); #6117=LINE('',#48366,#9970); #6118=LINE('',#48367,#9971); #6119=LINE('',#48370,#9972); #6120=LINE('',#48372,#9973); #6121=LINE('',#48373,#9974); #6122=LINE('',#48376,#9975); #6123=LINE('',#48378,#9976); #6124=LINE('',#48379,#9977); #6125=LINE('',#48382,#9978); #6126=LINE('',#48384,#9979); #6127=LINE('',#48385,#9980); #6128=LINE('',#48388,#9981); #6129=LINE('',#48390,#9982); #6130=LINE('',#48391,#9983); #6131=LINE('',#48394,#9984); #6132=LINE('',#48396,#9985); #6133=LINE('',#48397,#9986); #6134=LINE('',#48400,#9987); #6135=LINE('',#48402,#9988); #6136=LINE('',#48403,#9989); #6137=LINE('',#48406,#9990); #6138=LINE('',#48408,#9991); #6139=LINE('',#48409,#9992); #6140=LINE('',#48412,#9993); #6141=LINE('',#48414,#9994); #6142=LINE('',#48415,#9995); #6143=LINE('',#48417,#9996); #6144=LINE('',#48418,#9997); #6145=LINE('',#48424,#9998); #6146=LINE('',#48426,#9999); #6147=LINE('',#48428,#10000); #6148=LINE('',#48429,#10001); #6149=LINE('',#48432,#10002); #6150=LINE('',#48434,#10003); #6151=LINE('',#48435,#10004); #6152=LINE('',#48438,#10005); #6153=LINE('',#48440,#10006); #6154=LINE('',#48441,#10007); #6155=LINE('',#48444,#10008); #6156=LINE('',#48446,#10009); #6157=LINE('',#48447,#10010); #6158=LINE('',#48450,#10011); #6159=LINE('',#48452,#10012); #6160=LINE('',#48453,#10013); #6161=LINE('',#48456,#10014); #6162=LINE('',#48458,#10015); #6163=LINE('',#48459,#10016); #6164=LINE('',#48462,#10017); #6165=LINE('',#48464,#10018); #6166=LINE('',#48465,#10019); #6167=LINE('',#48468,#10020); #6168=LINE('',#48470,#10021); #6169=LINE('',#48471,#10022); #6170=LINE('',#48474,#10023); #6171=LINE('',#48476,#10024); #6172=LINE('',#48477,#10025); #6173=LINE('',#48480,#10026); #6174=LINE('',#48482,#10027); #6175=LINE('',#48483,#10028); #6176=LINE('',#48486,#10029); #6177=LINE('',#48488,#10030); #6178=LINE('',#48489,#10031); #6179=LINE('',#48492,#10032); #6180=LINE('',#48494,#10033); #6181=LINE('',#48495,#10034); #6182=LINE('',#48498,#10035); #6183=LINE('',#48500,#10036); #6184=LINE('',#48501,#10037); #6185=LINE('',#48504,#10038); #6186=LINE('',#48506,#10039); #6187=LINE('',#48507,#10040); #6188=LINE('',#48510,#10041); #6189=LINE('',#48512,#10042); #6190=LINE('',#48513,#10043); #6191=LINE('',#48516,#10044); #6192=LINE('',#48518,#10045); #6193=LINE('',#48519,#10046); #6194=LINE('',#48522,#10047); #6195=LINE('',#48524,#10048); #6196=LINE('',#48525,#10049); #6197=LINE('',#48531,#10050); #6198=LINE('',#48534,#10051); #6199=LINE('',#48536,#10052); #6200=LINE('',#48537,#10053); #6201=LINE('',#48540,#10054); #6202=LINE('',#48542,#10055); #6203=LINE('',#48543,#10056); #6204=LINE('',#48546,#10057); #6205=LINE('',#48548,#10058); #6206=LINE('',#48549,#10059); #6207=LINE('',#48552,#10060); #6208=LINE('',#48554,#10061); #6209=LINE('',#48555,#10062); #6210=LINE('',#48558,#10063); #6211=LINE('',#48560,#10064); #6212=LINE('',#48561,#10065); #6213=LINE('',#48564,#10066); #6214=LINE('',#48566,#10067); #6215=LINE('',#48567,#10068); #6216=LINE('',#48570,#10069); #6217=LINE('',#48572,#10070); #6218=LINE('',#48573,#10071); #6219=LINE('',#48576,#10072); #6220=LINE('',#48578,#10073); #6221=LINE('',#48579,#10074); #6222=LINE('',#48582,#10075); #6223=LINE('',#48584,#10076); #6224=LINE('',#48585,#10077); #6225=LINE('',#48588,#10078); #6226=LINE('',#48590,#10079); #6227=LINE('',#48591,#10080); #6228=LINE('',#48594,#10081); #6229=LINE('',#48596,#10082); #6230=LINE('',#48597,#10083); #6231=LINE('',#48600,#10084); #6232=LINE('',#48602,#10085); #6233=LINE('',#48603,#10086); #6234=LINE('',#48606,#10087); #6235=LINE('',#48608,#10088); #6236=LINE('',#48609,#10089); #6237=LINE('',#48612,#10090); #6238=LINE('',#48614,#10091); #6239=LINE('',#48615,#10092); #6240=LINE('',#48618,#10093); #6241=LINE('',#48620,#10094); #6242=LINE('',#48621,#10095); #6243=LINE('',#48624,#10096); #6244=LINE('',#48626,#10097); #6245=LINE('',#48627,#10098); #6246=LINE('',#48630,#10099); #6247=LINE('',#48632,#10100); #6248=LINE('',#48633,#10101); #6249=LINE('',#48635,#10102); #6250=LINE('',#48636,#10103); #6251=LINE('',#48643,#10104); #6252=LINE('',#48645,#10105); #6253=LINE('',#48647,#10106); #6254=LINE('',#48648,#10107); #6255=LINE('',#48651,#10108); #6256=LINE('',#48653,#10109); #6257=LINE('',#48654,#10110); #6258=LINE('',#48657,#10111); #6259=LINE('',#48659,#10112); #6260=LINE('',#48660,#10113); #6261=LINE('',#48663,#10114); #6262=LINE('',#48665,#10115); #6263=LINE('',#48666,#10116); #6264=LINE('',#48669,#10117); #6265=LINE('',#48671,#10118); #6266=LINE('',#48672,#10119); #6267=LINE('',#48675,#10120); #6268=LINE('',#48677,#10121); #6269=LINE('',#48678,#10122); #6270=LINE('',#48681,#10123); #6271=LINE('',#48683,#10124); #6272=LINE('',#48684,#10125); #6273=LINE('',#48687,#10126); #6274=LINE('',#48689,#10127); #6275=LINE('',#48690,#10128); #6276=LINE('',#48693,#10129); #6277=LINE('',#48695,#10130); #6278=LINE('',#48696,#10131); #6279=LINE('',#48699,#10132); #6280=LINE('',#48701,#10133); #6281=LINE('',#48702,#10134); #6282=LINE('',#48705,#10135); #6283=LINE('',#48707,#10136); #6284=LINE('',#48708,#10137); #6285=LINE('',#48711,#10138); #6286=LINE('',#48713,#10139); #6287=LINE('',#48714,#10140); #6288=LINE('',#48717,#10141); #6289=LINE('',#48719,#10142); #6290=LINE('',#48720,#10143); #6291=LINE('',#48723,#10144); #6292=LINE('',#48725,#10145); #6293=LINE('',#48726,#10146); #6294=LINE('',#48729,#10147); #6295=LINE('',#48731,#10148); #6296=LINE('',#48732,#10149); #6297=LINE('',#48735,#10150); #6298=LINE('',#48737,#10151); #6299=LINE('',#48738,#10152); #6300=LINE('',#48741,#10153); #6301=LINE('',#48743,#10154); #6302=LINE('',#48744,#10155); #6303=LINE('',#48747,#10156); #6304=LINE('',#48749,#10157); #6305=LINE('',#48750,#10158); #6306=LINE('',#48753,#10159); #6307=LINE('',#48755,#10160); #6308=LINE('',#48756,#10161); #6309=LINE('',#48759,#10162); #6310=LINE('',#48761,#10163); #6311=LINE('',#48762,#10164); #6312=LINE('',#48765,#10165); #6313=LINE('',#48767,#10166); #6314=LINE('',#48768,#10167); #6315=LINE('',#48771,#10168); #6316=LINE('',#48773,#10169); #6317=LINE('',#48774,#10170); #6318=LINE('',#48777,#10171); #6319=LINE('',#48779,#10172); #6320=LINE('',#48780,#10173); #6321=LINE('',#48783,#10174); #6322=LINE('',#48785,#10175); #6323=LINE('',#48786,#10176); #6324=LINE('',#48789,#10177); #6325=LINE('',#48791,#10178); #6326=LINE('',#48792,#10179); #6327=LINE('',#48795,#10180); #6328=LINE('',#48797,#10181); #6329=LINE('',#48798,#10182); #6330=LINE('',#48801,#10183); #6331=LINE('',#48803,#10184); #6332=LINE('',#48804,#10185); #6333=LINE('',#48807,#10186); #6334=LINE('',#48809,#10187); #6335=LINE('',#48810,#10188); #6336=LINE('',#48813,#10189); #6337=LINE('',#48815,#10190); #6338=LINE('',#48816,#10191); #6339=LINE('',#48819,#10192); #6340=LINE('',#48821,#10193); #6341=LINE('',#48822,#10194); #6342=LINE('',#48825,#10195); #6343=LINE('',#48827,#10196); #6344=LINE('',#48828,#10197); #6345=LINE('',#48834,#10198); #6346=LINE('',#48837,#10199); #6347=LINE('',#48839,#10200); #6348=LINE('',#48840,#10201); #6349=LINE('',#48851,#10202); #6350=LINE('',#48856,#10203); #6351=LINE('',#48858,#10204); #6352=LINE('',#48860,#10205); #6353=LINE('',#48861,#10206); #6354=LINE('',#48864,#10207); #6355=LINE('',#48866,#10208); #6356=LINE('',#48867,#10209); #6357=LINE('',#48870,#10210); #6358=LINE('',#48872,#10211); #6359=LINE('',#48873,#10212); #6360=LINE('',#48876,#10213); #6361=LINE('',#48878,#10214); #6362=LINE('',#48879,#10215); #6363=LINE('',#48882,#10216); #6364=LINE('',#48884,#10217); #6365=LINE('',#48885,#10218); #6366=LINE('',#48888,#10219); #6367=LINE('',#48890,#10220); #6368=LINE('',#48891,#10221); #6369=LINE('',#48894,#10222); #6370=LINE('',#48896,#10223); #6371=LINE('',#48897,#10224); #6372=LINE('',#48907,#10225); #6373=LINE('',#48912,#10226); #6374=LINE('',#48914,#10227); #6375=LINE('',#48916,#10228); #6376=LINE('',#48917,#10229); #6377=LINE('',#48920,#10230); #6378=LINE('',#48922,#10231); #6379=LINE('',#48923,#10232); #6380=LINE('',#48926,#10233); #6381=LINE('',#48928,#10234); #6382=LINE('',#48929,#10235); #6383=LINE('',#48932,#10236); #6384=LINE('',#48934,#10237); #6385=LINE('',#48935,#10238); #6386=LINE('',#48938,#10239); #6387=LINE('',#48940,#10240); #6388=LINE('',#48941,#10241); #6389=LINE('',#48944,#10242); #6390=LINE('',#48946,#10243); #6391=LINE('',#48947,#10244); #6392=LINE('',#48950,#10245); #6393=LINE('',#48952,#10246); #6394=LINE('',#48953,#10247); #6395=LINE('',#48967,#10248); #6396=LINE('',#48971,#10249); #6397=LINE('',#48976,#10250); #6398=LINE('',#48978,#10251); #6399=LINE('',#48980,#10252); #6400=LINE('',#48981,#10253); #6401=LINE('',#48986,#10254); #6402=LINE('',#48993,#10255); #6403=LINE('',#48996,#10256); #6404=LINE('',#48999,#10257); #6405=LINE('',#49001,#10258); #6406=LINE('',#49002,#10259); #6407=LINE('',#49005,#10260); #6408=LINE('',#49007,#10261); #6409=LINE('',#49008,#10262); #6410=LINE('',#49011,#10263); #6411=LINE('',#49013,#10264); #6412=LINE('',#49014,#10265); #6413=LINE('',#49017,#10266); #6414=LINE('',#49019,#10267); #6415=LINE('',#49020,#10268); #6416=LINE('',#49023,#10269); #6417=LINE('',#49025,#10270); #6418=LINE('',#49026,#10271); #6419=LINE('',#49029,#10272); #6420=LINE('',#49031,#10273); #6421=LINE('',#49032,#10274); #6422=LINE('',#49035,#10275); #6423=LINE('',#49037,#10276); #6424=LINE('',#49038,#10277); #6425=LINE('',#49041,#10278); #6426=LINE('',#49043,#10279); #6427=LINE('',#49044,#10280); #6428=LINE('',#49047,#10281); #6429=LINE('',#49049,#10282); #6430=LINE('',#49050,#10283); #6431=LINE('',#49053,#10284); #6432=LINE('',#49055,#10285); #6433=LINE('',#49056,#10286); #6434=LINE('',#49059,#10287); #6435=LINE('',#49061,#10288); #6436=LINE('',#49062,#10289); #6437=LINE('',#49065,#10290); #6438=LINE('',#49067,#10291); #6439=LINE('',#49068,#10292); #6440=LINE('',#49071,#10293); #6441=LINE('',#49073,#10294); #6442=LINE('',#49074,#10295); #6443=LINE('',#49077,#10296); #6444=LINE('',#49079,#10297); #6445=LINE('',#49080,#10298); #6446=LINE('',#49082,#10299); #6447=LINE('',#49083,#10300); #6448=LINE('',#49086,#10301); #6449=LINE('',#49088,#10302); #6450=LINE('',#49089,#10303); #6451=LINE('',#49092,#10304); #6452=LINE('',#49094,#10305); #6453=LINE('',#49095,#10306); #6454=LINE('',#49098,#10307); #6455=LINE('',#49100,#10308); #6456=LINE('',#49101,#10309); #6457=LINE('',#49104,#10310); #6458=LINE('',#49106,#10311); #6459=LINE('',#49107,#10312); #6460=LINE('',#49110,#10313); #6461=LINE('',#49112,#10314); #6462=LINE('',#49113,#10315); #6463=LINE('',#49116,#10316); #6464=LINE('',#49118,#10317); #6465=LINE('',#49119,#10318); #6466=LINE('',#49122,#10319); #6467=LINE('',#49124,#10320); #6468=LINE('',#49125,#10321); #6469=LINE('',#49128,#10322); #6470=LINE('',#49130,#10323); #6471=LINE('',#49131,#10324); #6472=LINE('',#49134,#10325); #6473=LINE('',#49136,#10326); #6474=LINE('',#49137,#10327); #6475=LINE('',#49140,#10328); #6476=LINE('',#49142,#10329); #6477=LINE('',#49143,#10330); #6478=LINE('',#49146,#10331); #6479=LINE('',#49148,#10332); #6480=LINE('',#49149,#10333); #6481=LINE('',#49152,#10334); #6482=LINE('',#49154,#10335); #6483=LINE('',#49155,#10336); #6484=LINE('',#49158,#10337); #6485=LINE('',#49160,#10338); #6486=LINE('',#49161,#10339); #6487=LINE('',#49164,#10340); #6488=LINE('',#49166,#10341); #6489=LINE('',#49167,#10342); #6490=LINE('',#49170,#10343); #6491=LINE('',#49172,#10344); #6492=LINE('',#49173,#10345); #6493=LINE('',#49178,#10346); #6494=LINE('',#49181,#10347); #6495=LINE('',#49182,#10348); #6496=LINE('',#49188,#10349); #6497=LINE('',#49190,#10350); #6498=LINE('',#49192,#10351); #6499=LINE('',#49193,#10352); #6500=LINE('',#49196,#10353); #6501=LINE('',#49198,#10354); #6502=LINE('',#49199,#10355); #6503=LINE('',#49202,#10356); #6504=LINE('',#49204,#10357); #6505=LINE('',#49205,#10358); #6506=LINE('',#49210,#10359); #6507=LINE('',#49214,#10360); #6508=LINE('',#49216,#10361); #6509=LINE('',#49217,#10362); #6510=LINE('',#49222,#10363); #6511=LINE('',#49226,#10364); #6512=LINE('',#49228,#10365); #6513=LINE('',#49229,#10366); #6514=LINE('',#49232,#10367); #6515=LINE('',#49234,#10368); #6516=LINE('',#49235,#10369); #6517=LINE('',#49238,#10370); #6518=LINE('',#49240,#10371); #6519=LINE('',#49241,#10372); #6520=LINE('',#49244,#10373); #6521=LINE('',#49246,#10374); #6522=LINE('',#49247,#10375); #6523=LINE('',#49250,#10376); #6524=LINE('',#49252,#10377); #6525=LINE('',#49253,#10378); #6526=LINE('',#49256,#10379); #6527=LINE('',#49258,#10380); #6528=LINE('',#49259,#10381); #6529=LINE('',#49262,#10382); #6530=LINE('',#49264,#10383); #6531=LINE('',#49265,#10384); #6532=LINE('',#49268,#10385); #6533=LINE('',#49270,#10386); #6534=LINE('',#49271,#10387); #6535=LINE('',#49274,#10388); #6536=LINE('',#49276,#10389); #6537=LINE('',#49277,#10390); #6538=LINE('',#49280,#10391); #6539=LINE('',#49282,#10392); #6540=LINE('',#49283,#10393); #6541=LINE('',#49286,#10394); #6542=LINE('',#49288,#10395); #6543=LINE('',#49289,#10396); #6544=LINE('',#49291,#10397); #6545=LINE('',#49292,#10398); #6546=LINE('',#49298,#10399); #6547=LINE('',#49300,#10400); #6548=LINE('',#49302,#10401); #6549=LINE('',#49303,#10402); #6550=LINE('',#49306,#10403); #6551=LINE('',#49308,#10404); #6552=LINE('',#49309,#10405); #6553=LINE('',#49312,#10406); #6554=LINE('',#49314,#10407); #6555=LINE('',#49315,#10408); #6556=LINE('',#49318,#10409); #6557=LINE('',#49320,#10410); #6558=LINE('',#49321,#10411); #6559=LINE('',#49324,#10412); #6560=LINE('',#49326,#10413); #6561=LINE('',#49327,#10414); #6562=LINE('',#49330,#10415); #6563=LINE('',#49332,#10416); #6564=LINE('',#49333,#10417); #6565=LINE('',#49336,#10418); #6566=LINE('',#49338,#10419); #6567=LINE('',#49339,#10420); #6568=LINE('',#49342,#10421); #6569=LINE('',#49344,#10422); #6570=LINE('',#49345,#10423); #6571=LINE('',#49348,#10424); #6572=LINE('',#49350,#10425); #6573=LINE('',#49351,#10426); #6574=LINE('',#49354,#10427); #6575=LINE('',#49356,#10428); #6576=LINE('',#49357,#10429); #6577=LINE('',#49360,#10430); #6578=LINE('',#49362,#10431); #6579=LINE('',#49363,#10432); #6580=LINE('',#49366,#10433); #6581=LINE('',#49368,#10434); #6582=LINE('',#49369,#10435); #6583=LINE('',#49372,#10436); #6584=LINE('',#49374,#10437); #6585=LINE('',#49375,#10438); #6586=LINE('',#49378,#10439); #6587=LINE('',#49380,#10440); #6588=LINE('',#49381,#10441); #6589=LINE('',#49384,#10442); #6590=LINE('',#49386,#10443); #6591=LINE('',#49387,#10444); #6592=LINE('',#49390,#10445); #6593=LINE('',#49392,#10446); #6594=LINE('',#49393,#10447); #6595=LINE('',#49396,#10448); #6596=LINE('',#49398,#10449); #6597=LINE('',#49399,#10450); #6598=LINE('',#49404,#10451); #6599=LINE('',#49408,#10452); #6600=LINE('',#49410,#10453); #6601=LINE('',#49411,#10454); #6602=LINE('',#49414,#10455); #6603=LINE('',#49416,#10456); #6604=LINE('',#49417,#10457); #6605=LINE('',#49420,#10458); #6606=LINE('',#49422,#10459); #6607=LINE('',#49423,#10460); #6608=LINE('',#49426,#10461); #6609=LINE('',#49428,#10462); #6610=LINE('',#49429,#10463); #6611=LINE('',#49432,#10464); #6612=LINE('',#49434,#10465); #6613=LINE('',#49435,#10466); #6614=LINE('',#49438,#10467); #6615=LINE('',#49440,#10468); #6616=LINE('',#49441,#10469); #6617=LINE('',#49444,#10470); #6618=LINE('',#49446,#10471); #6619=LINE('',#49447,#10472); #6620=LINE('',#49450,#10473); #6621=LINE('',#49452,#10474); #6622=LINE('',#49453,#10475); #6623=LINE('',#49456,#10476); #6624=LINE('',#49458,#10477); #6625=LINE('',#49459,#10478); #6626=LINE('',#49462,#10479); #6627=LINE('',#49464,#10480); #6628=LINE('',#49465,#10481); #6629=LINE('',#49468,#10482); #6630=LINE('',#49470,#10483); #6631=LINE('',#49471,#10484); #6632=LINE('',#49474,#10485); #6633=LINE('',#49476,#10486); #6634=LINE('',#49477,#10487); #6635=LINE('',#49480,#10488); #6636=LINE('',#49482,#10489); #6637=LINE('',#49483,#10490); #6638=LINE('',#49486,#10491); #6639=LINE('',#49488,#10492); #6640=LINE('',#49489,#10493); #6641=LINE('',#49492,#10494); #6642=LINE('',#49494,#10495); #6643=LINE('',#49495,#10496); #6644=LINE('',#49498,#10497); #6645=LINE('',#49500,#10498); #6646=LINE('',#49501,#10499); #6647=LINE('',#49504,#10500); #6648=LINE('',#49506,#10501); #6649=LINE('',#49507,#10502); #6650=LINE('',#49509,#10503); #6651=LINE('',#49510,#10504); #6652=LINE('',#49533,#10505); #6653=LINE('',#49540,#10506); #6654=LINE('',#49546,#10507); #6655=LINE('',#49551,#10508); #6656=LINE('',#49553,#10509); #6657=LINE('',#49555,#10510); #6658=LINE('',#49556,#10511); #6659=LINE('',#49562,#10512); #6660=LINE('',#49565,#10513); #6661=LINE('',#49567,#10514); #6662=LINE('',#49568,#10515); #6663=LINE('',#49574,#10516); #6664=LINE('',#49577,#10517); #6665=LINE('',#49579,#10518); #6666=LINE('',#49580,#10519); #6667=LINE('',#49586,#10520); #6668=LINE('',#49589,#10521); #6669=LINE('',#49591,#10522); #6670=LINE('',#49592,#10523); #6671=LINE('',#49611,#10524); #6672=LINE('',#49616,#10525); #6673=LINE('',#49618,#10526); #6674=LINE('',#49620,#10527); #6675=LINE('',#49621,#10528); #6676=LINE('',#49627,#10529); #6677=LINE('',#49630,#10530); #6678=LINE('',#49632,#10531); #6679=LINE('',#49633,#10532); #6680=LINE('',#49636,#10533); #6681=LINE('',#49638,#10534); #6682=LINE('',#49639,#10535); #6683=LINE('',#49642,#10536); #6684=LINE('',#49644,#10537); #6685=LINE('',#49645,#10538); #6686=LINE('',#49648,#10539); #6687=LINE('',#49650,#10540); #6688=LINE('',#49651,#10541); #6689=LINE('',#49654,#10542); #6690=LINE('',#49656,#10543); #6691=LINE('',#49657,#10544); #6692=LINE('',#49660,#10545); #6693=LINE('',#49662,#10546); #6694=LINE('',#49663,#10547); #6695=LINE('',#49666,#10548); #6696=LINE('',#49668,#10549); #6697=LINE('',#49669,#10550); #6698=LINE('',#49679,#10551); #6699=LINE('',#49684,#10552); #6700=LINE('',#49686,#10553); #6701=LINE('',#49688,#10554); #6702=LINE('',#49689,#10555); #6703=LINE('',#49695,#10556); #6704=LINE('',#49698,#10557); #6705=LINE('',#49700,#10558); #6706=LINE('',#49701,#10559); #6707=LINE('',#49704,#10560); #6708=LINE('',#49706,#10561); #6709=LINE('',#49707,#10562); #6710=LINE('',#49710,#10563); #6711=LINE('',#49712,#10564); #6712=LINE('',#49713,#10565); #6713=LINE('',#49716,#10566); #6714=LINE('',#49718,#10567); #6715=LINE('',#49719,#10568); #6716=LINE('',#49722,#10569); #6717=LINE('',#49724,#10570); #6718=LINE('',#49725,#10571); #6719=LINE('',#49728,#10572); #6720=LINE('',#49730,#10573); #6721=LINE('',#49731,#10574); #6722=LINE('',#49734,#10575); #6723=LINE('',#49736,#10576); #6724=LINE('',#49737,#10577); #6725=LINE('',#49747,#10578); #6726=LINE('',#49753,#10579); #6727=LINE('',#49759,#10580); #6728=LINE('',#49766,#10581); #6729=LINE('',#49769,#10582); #6730=LINE('',#49772,#10583); #6731=LINE('',#49774,#10584); #6732=LINE('',#49775,#10585); #6733=LINE('',#49778,#10586); #6734=LINE('',#49780,#10587); #6735=LINE('',#49781,#10588); #6736=LINE('',#49784,#10589); #6737=LINE('',#49786,#10590); #6738=LINE('',#49787,#10591); #6739=LINE('',#49790,#10592); #6740=LINE('',#49792,#10593); #6741=LINE('',#49793,#10594); #6742=LINE('',#49796,#10595); #6743=LINE('',#49798,#10596); #6744=LINE('',#49799,#10597); #6745=LINE('',#49802,#10598); #6746=LINE('',#49804,#10599); #6747=LINE('',#49805,#10600); #6748=LINE('',#49808,#10601); #6749=LINE('',#49810,#10602); #6750=LINE('',#49811,#10603); #6751=LINE('',#49817,#10604); #6752=LINE('',#49819,#10605); #6753=LINE('',#49820,#10606); #6754=LINE('',#49827,#10607); #6755=LINE('',#49833,#10608); #6756=LINE('',#49839,#10609); #6757=LINE('',#49846,#10610); #6758=LINE('',#49849,#10611); #6759=LINE('',#49852,#10612); #6760=LINE('',#49854,#10613); #6761=LINE('',#49855,#10614); #6762=LINE('',#49858,#10615); #6763=LINE('',#49860,#10616); #6764=LINE('',#49861,#10617); #6765=LINE('',#49864,#10618); #6766=LINE('',#49866,#10619); #6767=LINE('',#49867,#10620); #6768=LINE('',#49870,#10621); #6769=LINE('',#49872,#10622); #6770=LINE('',#49873,#10623); #6771=LINE('',#49876,#10624); #6772=LINE('',#49878,#10625); #6773=LINE('',#49879,#10626); #6774=LINE('',#49882,#10627); #6775=LINE('',#49884,#10628); #6776=LINE('',#49885,#10629); #6777=LINE('',#49888,#10630); #6778=LINE('',#49890,#10631); #6779=LINE('',#49891,#10632); #6780=LINE('',#49897,#10633); #6781=LINE('',#49899,#10634); #6782=LINE('',#49900,#10635); #6783=LINE('',#49907,#10636); #6784=LINE('',#49912,#10637); #6785=LINE('',#49914,#10638); #6786=LINE('',#49916,#10639); #6787=LINE('',#49917,#10640); #6788=LINE('',#49922,#10641); #6789=LINE('',#49926,#10642); #6790=LINE('',#49928,#10643); #6791=LINE('',#49929,#10644); #6792=LINE('',#49932,#10645); #6793=LINE('',#49934,#10646); #6794=LINE('',#49935,#10647); #6795=LINE('',#49938,#10648); #6796=LINE('',#49940,#10649); #6797=LINE('',#49941,#10650); #6798=LINE('',#49944,#10651); #6799=LINE('',#49946,#10652); #6800=LINE('',#49947,#10653); #6801=LINE('',#49950,#10654); #6802=LINE('',#49952,#10655); #6803=LINE('',#49953,#10656); #6804=LINE('',#49956,#10657); #6805=LINE('',#49958,#10658); #6806=LINE('',#49959,#10659); #6807=LINE('',#49962,#10660); #6808=LINE('',#49964,#10661); #6809=LINE('',#49965,#10662); #6810=LINE('',#49975,#10663); #6811=LINE('',#49980,#10664); #6812=LINE('',#49982,#10665); #6813=LINE('',#49984,#10666); #6814=LINE('',#49985,#10667); #6815=LINE('',#49990,#10668); #6816=LINE('',#49994,#10669); #6817=LINE('',#49996,#10670); #6818=LINE('',#49997,#10671); #6819=LINE('',#50000,#10672); #6820=LINE('',#50002,#10673); #6821=LINE('',#50003,#10674); #6822=LINE('',#50006,#10675); #6823=LINE('',#50008,#10676); #6824=LINE('',#50009,#10677); #6825=LINE('',#50012,#10678); #6826=LINE('',#50014,#10679); #6827=LINE('',#50015,#10680); #6828=LINE('',#50018,#10681); #6829=LINE('',#50020,#10682); #6830=LINE('',#50021,#10683); #6831=LINE('',#50024,#10684); #6832=LINE('',#50026,#10685); #6833=LINE('',#50027,#10686); #6834=LINE('',#50030,#10687); #6835=LINE('',#50032,#10688); #6836=LINE('',#50033,#10689); #6837=LINE('',#50043,#10690); #6838=LINE('',#50049,#10691); #6839=LINE('',#50055,#10692); #6840=LINE('',#50062,#10693); #6841=LINE('',#50065,#10694); #6842=LINE('',#50068,#10695); #6843=LINE('',#50070,#10696); #6844=LINE('',#50071,#10697); #6845=LINE('',#50074,#10698); #6846=LINE('',#50076,#10699); #6847=LINE('',#50077,#10700); #6848=LINE('',#50080,#10701); #6849=LINE('',#50082,#10702); #6850=LINE('',#50083,#10703); #6851=LINE('',#50086,#10704); #6852=LINE('',#50088,#10705); #6853=LINE('',#50089,#10706); #6854=LINE('',#50092,#10707); #6855=LINE('',#50094,#10708); #6856=LINE('',#50095,#10709); #6857=LINE('',#50098,#10710); #6858=LINE('',#50100,#10711); #6859=LINE('',#50101,#10712); #6860=LINE('',#50104,#10713); #6861=LINE('',#50106,#10714); #6862=LINE('',#50107,#10715); #6863=LINE('',#50112,#10716); #6864=LINE('',#50115,#10717); #6865=LINE('',#50116,#10718); #6866=LINE('',#50123,#10719); #6867=LINE('',#50129,#10720); #6868=LINE('',#50135,#10721); #6869=LINE('',#50142,#10722); #6870=LINE('',#50145,#10723); #6871=LINE('',#50148,#10724); #6872=LINE('',#50150,#10725); #6873=LINE('',#50151,#10726); #6874=LINE('',#50154,#10727); #6875=LINE('',#50156,#10728); #6876=LINE('',#50157,#10729); #6877=LINE('',#50160,#10730); #6878=LINE('',#50162,#10731); #6879=LINE('',#50163,#10732); #6880=LINE('',#50166,#10733); #6881=LINE('',#50168,#10734); #6882=LINE('',#50169,#10735); #6883=LINE('',#50172,#10736); #6884=LINE('',#50174,#10737); #6885=LINE('',#50175,#10738); #6886=LINE('',#50178,#10739); #6887=LINE('',#50180,#10740); #6888=LINE('',#50181,#10741); #6889=LINE('',#50184,#10742); #6890=LINE('',#50186,#10743); #6891=LINE('',#50187,#10744); #6892=LINE('',#50192,#10745); #6893=LINE('',#50195,#10746); #6894=LINE('',#50196,#10747); #6895=LINE('',#50202,#10748); #6896=LINE('',#50204,#10749); #6897=LINE('',#50206,#10750); #6898=LINE('',#50207,#10751); #6899=LINE('',#50210,#10752); #6900=LINE('',#50212,#10753); #6901=LINE('',#50213,#10754); #6902=LINE('',#50216,#10755); #6903=LINE('',#50218,#10756); #6904=LINE('',#50219,#10757); #6905=LINE('',#50221,#10758); #6906=LINE('',#50222,#10759); #6907=LINE('',#50226,#10760); #6908=LINE('',#50228,#10761); #6909=LINE('',#50230,#10762); #6910=LINE('',#50231,#10763); #6911=LINE('',#50234,#10764); #6912=LINE('',#50236,#10765); #6913=LINE('',#50237,#10766); #6914=LINE('',#50240,#10767); #6915=LINE('',#50242,#10768); #6916=LINE('',#50243,#10769); #6917=LINE('',#50245,#10770); #6918=LINE('',#50246,#10771); #6919=LINE('',#50250,#10772); #6920=LINE('',#50252,#10773); #6921=LINE('',#50254,#10774); #6922=LINE('',#50255,#10775); #6923=LINE('',#50258,#10776); #6924=LINE('',#50260,#10777); #6925=LINE('',#50261,#10778); #6926=LINE('',#50264,#10779); #6927=LINE('',#50266,#10780); #6928=LINE('',#50267,#10781); #6929=LINE('',#50269,#10782); #6930=LINE('',#50270,#10783); #6931=LINE('',#50275,#10784); #6932=LINE('',#50281,#10785); #6933=LINE('',#50287,#10786); #6934=LINE('',#50293,#10787); #6935=LINE('',#50299,#10788); #6936=LINE('',#50305,#10789); #6937=LINE('',#50310,#10790); #6938=LINE('',#50312,#10791); #6939=LINE('',#50314,#10792); #6940=LINE('',#50315,#10793); #6941=LINE('',#50318,#10794); #6942=LINE('',#50320,#10795); #6943=LINE('',#50321,#10796); #6944=LINE('',#50324,#10797); #6945=LINE('',#50326,#10798); #6946=LINE('',#50327,#10799); #6947=LINE('',#50329,#10800); #6948=LINE('',#50330,#10801); #6949=LINE('',#50334,#10802); #6950=LINE('',#50336,#10803); #6951=LINE('',#50338,#10804); #6952=LINE('',#50339,#10805); #6953=LINE('',#50342,#10806); #6954=LINE('',#50344,#10807); #6955=LINE('',#50345,#10808); #6956=LINE('',#50348,#10809); #6957=LINE('',#50350,#10810); #6958=LINE('',#50351,#10811); #6959=LINE('',#50353,#10812); #6960=LINE('',#50354,#10813); #6961=LINE('',#50358,#10814); #6962=LINE('',#50360,#10815); #6963=LINE('',#50362,#10816); #6964=LINE('',#50363,#10817); #6965=LINE('',#50366,#10818); #6966=LINE('',#50368,#10819); #6967=LINE('',#50369,#10820); #6968=LINE('',#50372,#10821); #6969=LINE('',#50374,#10822); #6970=LINE('',#50375,#10823); #6971=LINE('',#50377,#10824); #6972=LINE('',#50378,#10825); #6973=LINE('',#50382,#10826); #6974=LINE('',#50384,#10827); #6975=LINE('',#50386,#10828); #6976=LINE('',#50387,#10829); #6977=LINE('',#50390,#10830); #6978=LINE('',#50392,#10831); #6979=LINE('',#50393,#10832); #6980=LINE('',#50396,#10833); #6981=LINE('',#50398,#10834); #6982=LINE('',#50399,#10835); #6983=LINE('',#50401,#10836); #6984=LINE('',#50402,#10837); #6985=LINE('',#50406,#10838); #6986=LINE('',#50408,#10839); #6987=LINE('',#50410,#10840); #6988=LINE('',#50411,#10841); #6989=LINE('',#50414,#10842); #6990=LINE('',#50416,#10843); #6991=LINE('',#50417,#10844); #6992=LINE('',#50420,#10845); #6993=LINE('',#50422,#10846); #6994=LINE('',#50423,#10847); #6995=LINE('',#50425,#10848); #6996=LINE('',#50426,#10849); #6997=LINE('',#50430,#10850); #6998=LINE('',#50432,#10851); #6999=LINE('',#50434,#10852); #7000=LINE('',#50435,#10853); #7001=LINE('',#50438,#10854); #7002=LINE('',#50440,#10855); #7003=LINE('',#50441,#10856); #7004=LINE('',#50444,#10857); #7005=LINE('',#50446,#10858); #7006=LINE('',#50447,#10859); #7007=LINE('',#50449,#10860); #7008=LINE('',#50450,#10861); #7009=LINE('',#50455,#10862); #7010=LINE('',#50460,#10863); #7011=LINE('',#50462,#10864); #7012=LINE('',#50464,#10865); #7013=LINE('',#50465,#10866); #7014=LINE('',#50468,#10867); #7015=LINE('',#50470,#10868); #7016=LINE('',#50471,#10869); #7017=LINE('',#50474,#10870); #7018=LINE('',#50476,#10871); #7019=LINE('',#50477,#10872); #7020=LINE('',#50479,#10873); #7021=LINE('',#50480,#10874); #7022=LINE('',#50484,#10875); #7023=LINE('',#50486,#10876); #7024=LINE('',#50488,#10877); #7025=LINE('',#50489,#10878); #7026=LINE('',#50492,#10879); #7027=LINE('',#50494,#10880); #7028=LINE('',#50495,#10881); #7029=LINE('',#50498,#10882); #7030=LINE('',#50500,#10883); #7031=LINE('',#50501,#10884); #7032=LINE('',#50503,#10885); #7033=LINE('',#50504,#10886); #7034=LINE('',#50508,#10887); #7035=LINE('',#50510,#10888); #7036=LINE('',#50512,#10889); #7037=LINE('',#50513,#10890); #7038=LINE('',#50516,#10891); #7039=LINE('',#50518,#10892); #7040=LINE('',#50519,#10893); #7041=LINE('',#50522,#10894); #7042=LINE('',#50524,#10895); #7043=LINE('',#50525,#10896); #7044=LINE('',#50527,#10897); #7045=LINE('',#50528,#10898); #7046=LINE('',#50532,#10899); #7047=LINE('',#50534,#10900); #7048=LINE('',#50536,#10901); #7049=LINE('',#50537,#10902); #7050=LINE('',#50540,#10903); #7051=LINE('',#50542,#10904); #7052=LINE('',#50543,#10905); #7053=LINE('',#50546,#10906); #7054=LINE('',#50548,#10907); #7055=LINE('',#50549,#10908); #7056=LINE('',#50551,#10909); #7057=LINE('',#50552,#10910); #7058=LINE('',#50556,#10911); #7059=LINE('',#50558,#10912); #7060=LINE('',#50560,#10913); #7061=LINE('',#50561,#10914); #7062=LINE('',#50564,#10915); #7063=LINE('',#50566,#10916); #7064=LINE('',#50567,#10917); #7065=LINE('',#50570,#10918); #7066=LINE('',#50572,#10919); #7067=LINE('',#50573,#10920); #7068=LINE('',#50575,#10921); #7069=LINE('',#50576,#10922); #7070=LINE('',#50581,#10923); #7071=LINE('',#50587,#10924); #7072=LINE('',#50592,#10925); #7073=LINE('',#50594,#10926); #7074=LINE('',#50596,#10927); #7075=LINE('',#50597,#10928); #7076=LINE('',#50600,#10929); #7077=LINE('',#50602,#10930); #7078=LINE('',#50603,#10931); #7079=LINE('',#50606,#10932); #7080=LINE('',#50608,#10933); #7081=LINE('',#50609,#10934); #7082=LINE('',#50611,#10935); #7083=LINE('',#50612,#10936); #7084=LINE('',#50617,#10937); #7085=LINE('',#50623,#10938); #7086=LINE('',#50629,#10939); #7087=LINE('',#50634,#10940); #7088=LINE('',#50636,#10941); #7089=LINE('',#50638,#10942); #7090=LINE('',#50639,#10943); #7091=LINE('',#50642,#10944); #7092=LINE('',#50644,#10945); #7093=LINE('',#50645,#10946); #7094=LINE('',#50648,#10947); #7095=LINE('',#50650,#10948); #7096=LINE('',#50651,#10949); #7097=LINE('',#50653,#10950); #7098=LINE('',#50654,#10951); #7099=LINE('',#50659,#10952); #7100=LINE('',#50665,#10953); #7101=LINE('',#50671,#10954); #7102=LINE('',#50676,#10955); #7103=LINE('',#50678,#10956); #7104=LINE('',#50680,#10957); #7105=LINE('',#50681,#10958); #7106=LINE('',#50684,#10959); #7107=LINE('',#50686,#10960); #7108=LINE('',#50687,#10961); #7109=LINE('',#50690,#10962); #7110=LINE('',#50692,#10963); #7111=LINE('',#50693,#10964); #7112=LINE('',#50695,#10965); #7113=LINE('',#50696,#10966); #7114=LINE('',#50702,#10967); #7115=LINE('',#50705,#10968); #7116=LINE('',#50711,#10969); #7117=LINE('',#50714,#10970); #7118=LINE('',#50716,#10971); #7119=LINE('',#50717,#10972); #7120=LINE('',#50723,#10973); #7121=LINE('',#50729,#10974); #7122=LINE('',#50735,#10975); #7123=LINE('',#50738,#10976); #7124=LINE('',#50740,#10977); #7125=LINE('',#50741,#10978); #7126=LINE('',#50748,#10979); #7127=LINE('',#50750,#10980); #7128=LINE('',#50752,#10981); #7129=LINE('',#50753,#10982); #7130=LINE('',#50756,#10983); #7131=LINE('',#50758,#10984); #7132=LINE('',#50759,#10985); #7133=LINE('',#50762,#10986); #7134=LINE('',#50764,#10987); #7135=LINE('',#50765,#10988); #7136=LINE('',#50767,#10989); #7137=LINE('',#50768,#10990); #7138=LINE('',#50773,#10991); #7139=LINE('',#50778,#10992); #7140=LINE('',#50780,#10993); #7141=LINE('',#50782,#10994); #7142=LINE('',#50783,#10995); #7143=LINE('',#50786,#10996); #7144=LINE('',#50788,#10997); #7145=LINE('',#50789,#10998); #7146=LINE('',#50792,#10999); #7147=LINE('',#50794,#11000); #7148=LINE('',#50795,#11001); #7149=LINE('',#50797,#11002); #7150=LINE('',#50798,#11003); #7151=LINE('',#50802,#11004); #7152=LINE('',#50804,#11005); #7153=LINE('',#50806,#11006); #7154=LINE('',#50807,#11007); #7155=LINE('',#50810,#11008); #7156=LINE('',#50812,#11009); #7157=LINE('',#50813,#11010); #7158=LINE('',#50816,#11011); #7159=LINE('',#50818,#11012); #7160=LINE('',#50819,#11013); #7161=LINE('',#50821,#11014); #7162=LINE('',#50822,#11015); #7163=LINE('',#50826,#11016); #7164=LINE('',#50828,#11017); #7165=LINE('',#50830,#11018); #7166=LINE('',#50831,#11019); #7167=LINE('',#50834,#11020); #7168=LINE('',#50836,#11021); #7169=LINE('',#50837,#11022); #7170=LINE('',#50840,#11023); #7171=LINE('',#50842,#11024); #7172=LINE('',#50843,#11025); #7173=LINE('',#50845,#11026); #7174=LINE('',#50846,#11027); #7175=LINE('',#50851,#11028); #7176=LINE('',#50856,#11029); #7177=LINE('',#50858,#11030); #7178=LINE('',#50860,#11031); #7179=LINE('',#50861,#11032); #7180=LINE('',#50864,#11033); #7181=LINE('',#50866,#11034); #7182=LINE('',#50867,#11035); #7183=LINE('',#50870,#11036); #7184=LINE('',#50872,#11037); #7185=LINE('',#50873,#11038); #7186=LINE('',#50876,#11039); #7187=LINE('',#50878,#11040); #7188=LINE('',#50879,#11041); #7189=LINE('',#50882,#11042); #7190=LINE('',#50884,#11043); #7191=LINE('',#50885,#11044); #7192=LINE('',#50888,#11045); #7193=LINE('',#50890,#11046); #7194=LINE('',#50891,#11047); #7195=LINE('',#50894,#11048); #7196=LINE('',#50896,#11049); #7197=LINE('',#50897,#11050); #7198=LINE('',#50900,#11051); #7199=LINE('',#50902,#11052); #7200=LINE('',#50903,#11053); #7201=LINE('',#50909,#11054); #7202=LINE('',#50912,#11055); #7203=LINE('',#50914,#11056); #7204=LINE('',#50915,#11057); #7205=LINE('',#50921,#11058); #7206=LINE('',#50924,#11059); #7207=LINE('',#50926,#11060); #7208=LINE('',#50927,#11061); #7209=LINE('',#50930,#11062); #7210=LINE('',#50932,#11063); #7211=LINE('',#50933,#11064); #7212=LINE('',#50936,#11065); #7213=LINE('',#50938,#11066); #7214=LINE('',#50939,#11067); #7215=LINE('',#50942,#11068); #7216=LINE('',#50944,#11069); #7217=LINE('',#50945,#11070); #7218=LINE('',#50948,#11071); #7219=LINE('',#50950,#11072); #7220=LINE('',#50951,#11073); #7221=LINE('',#50954,#11074); #7222=LINE('',#50956,#11075); #7223=LINE('',#50957,#11076); #7224=LINE('',#50960,#11077); #7225=LINE('',#50962,#11078); #7226=LINE('',#50963,#11079); #7227=LINE('',#50966,#11080); #7228=LINE('',#50968,#11081); #7229=LINE('',#50969,#11082); #7230=LINE('',#50972,#11083); #7231=LINE('',#50974,#11084); #7232=LINE('',#50975,#11085); #7233=LINE('',#50978,#11086); #7234=LINE('',#50980,#11087); #7235=LINE('',#50981,#11088); #7236=LINE('',#50984,#11089); #7237=LINE('',#50986,#11090); #7238=LINE('',#50987,#11091); #7239=LINE('',#50990,#11092); #7240=LINE('',#50992,#11093); #7241=LINE('',#50993,#11094); #7242=LINE('',#50996,#11095); #7243=LINE('',#50998,#11096); #7244=LINE('',#50999,#11097); #7245=LINE('',#51005,#11098); #7246=LINE('',#51008,#11099); #7247=LINE('',#51010,#11100); #7248=LINE('',#51011,#11101); #7249=LINE('',#51017,#11102); #7250=LINE('',#51020,#11103); #7251=LINE('',#51022,#11104); #7252=LINE('',#51023,#11105); #7253=LINE('',#51026,#11106); #7254=LINE('',#51028,#11107); #7255=LINE('',#51029,#11108); #7256=LINE('',#51032,#11109); #7257=LINE('',#51034,#11110); #7258=LINE('',#51035,#11111); #7259=LINE('',#51038,#11112); #7260=LINE('',#51040,#11113); #7261=LINE('',#51041,#11114); #7262=LINE('',#51043,#11115); #7263=LINE('',#51044,#11116); #7264=LINE('',#51051,#11117); #7265=LINE('',#51057,#11118); #7266=LINE('',#51063,#11119); #7267=LINE('',#51068,#11120); #7268=LINE('',#51070,#11121); #7269=LINE('',#51072,#11122); #7270=LINE('',#51073,#11123); #7271=LINE('',#51079,#11124); #7272=LINE('',#51082,#11125); #7273=LINE('',#51084,#11126); #7274=LINE('',#51085,#11127); #7275=LINE('',#51091,#11128); #7276=LINE('',#51094,#11129); #7277=LINE('',#51096,#11130); #7278=LINE('',#51097,#11131); #7279=LINE('',#51103,#11132); #7280=LINE('',#51106,#11133); #7281=LINE('',#51108,#11134); #7282=LINE('',#51109,#11135); #7283=LINE('',#51121,#11136); #7284=LINE('',#51127,#11137); #7285=LINE('',#51132,#11138); #7286=LINE('',#51134,#11139); #7287=LINE('',#51136,#11140); #7288=LINE('',#51137,#11141); #7289=LINE('',#51140,#11142); #7290=LINE('',#51142,#11143); #7291=LINE('',#51143,#11144); #7292=LINE('',#51146,#11145); #7293=LINE('',#51148,#11146); #7294=LINE('',#51149,#11147); #7295=LINE('',#51152,#11148); #7296=LINE('',#51154,#11149); #7297=LINE('',#51155,#11150); #7298=LINE('',#51158,#11151); #7299=LINE('',#51160,#11152); #7300=LINE('',#51161,#11153); #7301=LINE('',#51164,#11154); #7302=LINE('',#51166,#11155); #7303=LINE('',#51167,#11156); #7304=LINE('',#51170,#11157); #7305=LINE('',#51172,#11158); #7306=LINE('',#51173,#11159); #7307=LINE('',#51176,#11160); #7308=LINE('',#51178,#11161); #7309=LINE('',#51179,#11162); #7310=LINE('',#51182,#11163); #7311=LINE('',#51184,#11164); #7312=LINE('',#51185,#11165); #7313=LINE('',#51188,#11166); #7314=LINE('',#51190,#11167); #7315=LINE('',#51191,#11168); #7316=LINE('',#51194,#11169); #7317=LINE('',#51196,#11170); #7318=LINE('',#51197,#11171); #7319=LINE('',#51199,#11172); #7320=LINE('',#51200,#11173); #7321=LINE('',#51207,#11174); #7322=LINE('',#51213,#11175); #7323=LINE('',#51218,#11176); #7324=LINE('',#51220,#11177); #7325=LINE('',#51222,#11178); #7326=LINE('',#51223,#11179); #7327=LINE('',#51226,#11180); #7328=LINE('',#51228,#11181); #7329=LINE('',#51229,#11182); #7330=LINE('',#51232,#11183); #7331=LINE('',#51234,#11184); #7332=LINE('',#51235,#11185); #7333=LINE('',#51238,#11186); #7334=LINE('',#51240,#11187); #7335=LINE('',#51241,#11188); #7336=LINE('',#51244,#11189); #7337=LINE('',#51246,#11190); #7338=LINE('',#51247,#11191); #7339=LINE('',#51250,#11192); #7340=LINE('',#51252,#11193); #7341=LINE('',#51253,#11194); #7342=LINE('',#51256,#11195); #7343=LINE('',#51258,#11196); #7344=LINE('',#51259,#11197); #7345=LINE('',#51262,#11198); #7346=LINE('',#51264,#11199); #7347=LINE('',#51265,#11200); #7348=LINE('',#51268,#11201); #7349=LINE('',#51270,#11202); #7350=LINE('',#51271,#11203); #7351=LINE('',#51274,#11204); #7352=LINE('',#51276,#11205); #7353=LINE('',#51277,#11206); #7354=LINE('',#51280,#11207); #7355=LINE('',#51282,#11208); #7356=LINE('',#51283,#11209); #7357=LINE('',#51285,#11210); #7358=LINE('',#51286,#11211); #7359=LINE('',#51293,#11212); #7360=LINE('',#51299,#11213); #7361=LINE('',#51304,#11214); #7362=LINE('',#51306,#11215); #7363=LINE('',#51308,#11216); #7364=LINE('',#51309,#11217); #7365=LINE('',#51312,#11218); #7366=LINE('',#51314,#11219); #7367=LINE('',#51315,#11220); #7368=LINE('',#51318,#11221); #7369=LINE('',#51320,#11222); #7370=LINE('',#51321,#11223); #7371=LINE('',#51324,#11224); #7372=LINE('',#51326,#11225); #7373=LINE('',#51327,#11226); #7374=LINE('',#51330,#11227); #7375=LINE('',#51332,#11228); #7376=LINE('',#51333,#11229); #7377=LINE('',#51336,#11230); #7378=LINE('',#51338,#11231); #7379=LINE('',#51339,#11232); #7380=LINE('',#51342,#11233); #7381=LINE('',#51344,#11234); #7382=LINE('',#51345,#11235); #7383=LINE('',#51348,#11236); #7384=LINE('',#51350,#11237); #7385=LINE('',#51351,#11238); #7386=LINE('',#51354,#11239); #7387=LINE('',#51356,#11240); #7388=LINE('',#51357,#11241); #7389=LINE('',#51360,#11242); #7390=LINE('',#51362,#11243); #7391=LINE('',#51363,#11244); #7392=LINE('',#51366,#11245); #7393=LINE('',#51368,#11246); #7394=LINE('',#51369,#11247); #7395=LINE('',#51371,#11248); #7396=LINE('',#51372,#11249); #7397=LINE('',#51379,#11250); #7398=LINE('',#51385,#11251); #7399=LINE('',#51390,#11252); #7400=LINE('',#51392,#11253); #7401=LINE('',#51394,#11254); #7402=LINE('',#51395,#11255); #7403=LINE('',#51398,#11256); #7404=LINE('',#51400,#11257); #7405=LINE('',#51401,#11258); #7406=LINE('',#51404,#11259); #7407=LINE('',#51406,#11260); #7408=LINE('',#51407,#11261); #7409=LINE('',#51410,#11262); #7410=LINE('',#51412,#11263); #7411=LINE('',#51413,#11264); #7412=LINE('',#51416,#11265); #7413=LINE('',#51418,#11266); #7414=LINE('',#51419,#11267); #7415=LINE('',#51422,#11268); #7416=LINE('',#51424,#11269); #7417=LINE('',#51425,#11270); #7418=LINE('',#51428,#11271); #7419=LINE('',#51430,#11272); #7420=LINE('',#51431,#11273); #7421=LINE('',#51434,#11274); #7422=LINE('',#51436,#11275); #7423=LINE('',#51437,#11276); #7424=LINE('',#51440,#11277); #7425=LINE('',#51442,#11278); #7426=LINE('',#51443,#11279); #7427=LINE('',#51446,#11280); #7428=LINE('',#51448,#11281); #7429=LINE('',#51449,#11282); #7430=LINE('',#51452,#11283); #7431=LINE('',#51454,#11284); #7432=LINE('',#51455,#11285); #7433=LINE('',#51457,#11286); #7434=LINE('',#51458,#11287); #7435=LINE('',#51465,#11288); #7436=LINE('',#51471,#11289); #7437=LINE('',#51476,#11290); #7438=LINE('',#51478,#11291); #7439=LINE('',#51480,#11292); #7440=LINE('',#51481,#11293); #7441=LINE('',#51484,#11294); #7442=LINE('',#51486,#11295); #7443=LINE('',#51487,#11296); #7444=LINE('',#51490,#11297); #7445=LINE('',#51492,#11298); #7446=LINE('',#51493,#11299); #7447=LINE('',#51496,#11300); #7448=LINE('',#51498,#11301); #7449=LINE('',#51499,#11302); #7450=LINE('',#51502,#11303); #7451=LINE('',#51504,#11304); #7452=LINE('',#51505,#11305); #7453=LINE('',#51508,#11306); #7454=LINE('',#51510,#11307); #7455=LINE('',#51511,#11308); #7456=LINE('',#51514,#11309); #7457=LINE('',#51516,#11310); #7458=LINE('',#51517,#11311); #7459=LINE('',#51520,#11312); #7460=LINE('',#51522,#11313); #7461=LINE('',#51523,#11314); #7462=LINE('',#51526,#11315); #7463=LINE('',#51528,#11316); #7464=LINE('',#51529,#11317); #7465=LINE('',#51532,#11318); #7466=LINE('',#51534,#11319); #7467=LINE('',#51535,#11320); #7468=LINE('',#51538,#11321); #7469=LINE('',#51540,#11322); #7470=LINE('',#51541,#11323); #7471=LINE('',#51543,#11324); #7472=LINE('',#51544,#11325); #7473=LINE('',#51551,#11326); #7474=LINE('',#51557,#11327); #7475=LINE('',#51562,#11328); #7476=LINE('',#51564,#11329); #7477=LINE('',#51566,#11330); #7478=LINE('',#51567,#11331); #7479=LINE('',#51570,#11332); #7480=LINE('',#51572,#11333); #7481=LINE('',#51573,#11334); #7482=LINE('',#51576,#11335); #7483=LINE('',#51578,#11336); #7484=LINE('',#51579,#11337); #7485=LINE('',#51582,#11338); #7486=LINE('',#51584,#11339); #7487=LINE('',#51585,#11340); #7488=LINE('',#51588,#11341); #7489=LINE('',#51590,#11342); #7490=LINE('',#51591,#11343); #7491=LINE('',#51594,#11344); #7492=LINE('',#51596,#11345); #7493=LINE('',#51597,#11346); #7494=LINE('',#51600,#11347); #7495=LINE('',#51602,#11348); #7496=LINE('',#51603,#11349); #7497=LINE('',#51606,#11350); #7498=LINE('',#51608,#11351); #7499=LINE('',#51609,#11352); #7500=LINE('',#51612,#11353); #7501=LINE('',#51614,#11354); #7502=LINE('',#51615,#11355); #7503=LINE('',#51618,#11356); #7504=LINE('',#51620,#11357); #7505=LINE('',#51621,#11358); #7506=LINE('',#51624,#11359); #7507=LINE('',#51626,#11360); #7508=LINE('',#51627,#11361); #7509=LINE('',#51629,#11362); #7510=LINE('',#51630,#11363); #7511=LINE('',#51637,#11364); #7512=LINE('',#51639,#11365); #7513=LINE('',#51641,#11366); #7514=LINE('',#51642,#11367); #7515=LINE('',#51648,#11368); #7516=LINE('',#51651,#11369); #7517=LINE('',#51653,#11370); #7518=LINE('',#51654,#11371); #7519=LINE('',#51660,#11372); #7520=LINE('',#51663,#11373); #7521=LINE('',#51665,#11374); #7522=LINE('',#51666,#11375); #7523=LINE('',#51672,#11376); #7524=LINE('',#51675,#11377); #7525=LINE('',#51677,#11378); #7526=LINE('',#51678,#11379); #7527=LINE('',#51685,#11380); #7528=LINE('',#51687,#11381); #7529=LINE('',#51689,#11382); #7530=LINE('',#51690,#11383); #7531=LINE('',#51696,#11384); #7532=LINE('',#51699,#11385); #7533=LINE('',#51701,#11386); #7534=LINE('',#51702,#11387); #7535=LINE('',#51708,#11388); #7536=LINE('',#51711,#11389); #7537=LINE('',#51713,#11390); #7538=LINE('',#51714,#11391); #7539=LINE('',#51720,#11392); #7540=LINE('',#51723,#11393); #7541=LINE('',#51725,#11394); #7542=LINE('',#51726,#11395); #7543=LINE('',#51733,#11396); #7544=LINE('',#51735,#11397); #7545=LINE('',#51737,#11398); #7546=LINE('',#51738,#11399); #7547=LINE('',#51744,#11400); #7548=LINE('',#51747,#11401); #7549=LINE('',#51749,#11402); #7550=LINE('',#51750,#11403); #7551=LINE('',#51756,#11404); #7552=LINE('',#51759,#11405); #7553=LINE('',#51761,#11406); #7554=LINE('',#51762,#11407); #7555=LINE('',#51768,#11408); #7556=LINE('',#51771,#11409); #7557=LINE('',#51773,#11410); #7558=LINE('',#51774,#11411); #7559=LINE('',#51781,#11412); #7560=LINE('',#51783,#11413); #7561=LINE('',#51785,#11414); #7562=LINE('',#51786,#11415); #7563=LINE('',#51792,#11416); #7564=LINE('',#51795,#11417); #7565=LINE('',#51797,#11418); #7566=LINE('',#51798,#11419); #7567=LINE('',#51804,#11420); #7568=LINE('',#51807,#11421); #7569=LINE('',#51809,#11422); #7570=LINE('',#51810,#11423); #7571=LINE('',#51816,#11424); #7572=LINE('',#51819,#11425); #7573=LINE('',#51821,#11426); #7574=LINE('',#51822,#11427); #7575=LINE('',#51829,#11428); #7576=LINE('',#51831,#11429); #7577=LINE('',#51833,#11430); #7578=LINE('',#51834,#11431); #7579=LINE('',#51840,#11432); #7580=LINE('',#51843,#11433); #7581=LINE('',#51845,#11434); #7582=LINE('',#51846,#11435); #7583=LINE('',#51852,#11436); #7584=LINE('',#51855,#11437); #7585=LINE('',#51857,#11438); #7586=LINE('',#51858,#11439); #7587=LINE('',#51864,#11440); #7588=LINE('',#51867,#11441); #7589=LINE('',#51869,#11442); #7590=LINE('',#51870,#11443); #7591=LINE('',#51877,#11444); #7592=LINE('',#51879,#11445); #7593=LINE('',#51881,#11446); #7594=LINE('',#51882,#11447); #7595=LINE('',#51888,#11448); #7596=LINE('',#51891,#11449); #7597=LINE('',#51893,#11450); #7598=LINE('',#51894,#11451); #7599=LINE('',#51900,#11452); #7600=LINE('',#51903,#11453); #7601=LINE('',#51905,#11454); #7602=LINE('',#51906,#11455); #7603=LINE('',#51912,#11456); #7604=LINE('',#51915,#11457); #7605=LINE('',#51917,#11458); #7606=LINE('',#51918,#11459); #7607=LINE('',#51925,#11460); #7608=LINE('',#51927,#11461); #7609=LINE('',#51929,#11462); #7610=LINE('',#51930,#11463); #7611=LINE('',#51936,#11464); #7612=LINE('',#51939,#11465); #7613=LINE('',#51941,#11466); #7614=LINE('',#51942,#11467); #7615=LINE('',#51948,#11468); #7616=LINE('',#51951,#11469); #7617=LINE('',#51953,#11470); #7618=LINE('',#51954,#11471); #7619=LINE('',#51960,#11472); #7620=LINE('',#51963,#11473); #7621=LINE('',#51965,#11474); #7622=LINE('',#51966,#11475); #7623=LINE('',#51973,#11476); #7624=LINE('',#51975,#11477); #7625=LINE('',#51977,#11478); #7626=LINE('',#51978,#11479); #7627=LINE('',#51984,#11480); #7628=LINE('',#51987,#11481); #7629=LINE('',#51989,#11482); #7630=LINE('',#51990,#11483); #7631=LINE('',#51996,#11484); #7632=LINE('',#51999,#11485); #7633=LINE('',#52001,#11486); #7634=LINE('',#52002,#11487); #7635=LINE('',#52008,#11488); #7636=LINE('',#52011,#11489); #7637=LINE('',#52013,#11490); #7638=LINE('',#52014,#11491); #7639=LINE('',#52021,#11492); #7640=LINE('',#52023,#11493); #7641=LINE('',#52025,#11494); #7642=LINE('',#52026,#11495); #7643=LINE('',#52032,#11496); #7644=LINE('',#52035,#11497); #7645=LINE('',#52037,#11498); #7646=LINE('',#52038,#11499); #7647=LINE('',#52044,#11500); #7648=LINE('',#52047,#11501); #7649=LINE('',#52049,#11502); #7650=LINE('',#52050,#11503); #7651=LINE('',#52056,#11504); #7652=LINE('',#52059,#11505); #7653=LINE('',#52061,#11506); #7654=LINE('',#52062,#11507); #7655=LINE('',#52069,#11508); #7656=LINE('',#52071,#11509); #7657=LINE('',#52073,#11510); #7658=LINE('',#52074,#11511); #7659=LINE('',#52080,#11512); #7660=LINE('',#52083,#11513); #7661=LINE('',#52085,#11514); #7662=LINE('',#52086,#11515); #7663=LINE('',#52092,#11516); #7664=LINE('',#52095,#11517); #7665=LINE('',#52097,#11518); #7666=LINE('',#52098,#11519); #7667=LINE('',#52104,#11520); #7668=LINE('',#52107,#11521); #7669=LINE('',#52109,#11522); #7670=LINE('',#52110,#11523); #7671=LINE('',#52117,#11524); #7672=LINE('',#52119,#11525); #7673=LINE('',#52121,#11526); #7674=LINE('',#52122,#11527); #7675=LINE('',#52128,#11528); #7676=LINE('',#52131,#11529); #7677=LINE('',#52133,#11530); #7678=LINE('',#52134,#11531); #7679=LINE('',#52140,#11532); #7680=LINE('',#52143,#11533); #7681=LINE('',#52145,#11534); #7682=LINE('',#52146,#11535); #7683=LINE('',#52152,#11536); #7684=LINE('',#52155,#11537); #7685=LINE('',#52157,#11538); #7686=LINE('',#52158,#11539); #7687=LINE('',#52165,#11540); #7688=LINE('',#52167,#11541); #7689=LINE('',#52169,#11542); #7690=LINE('',#52170,#11543); #7691=LINE('',#52176,#11544); #7692=LINE('',#52179,#11545); #7693=LINE('',#52181,#11546); #7694=LINE('',#52182,#11547); #7695=LINE('',#52188,#11548); #7696=LINE('',#52191,#11549); #7697=LINE('',#52193,#11550); #7698=LINE('',#52194,#11551); #7699=LINE('',#52200,#11552); #7700=LINE('',#52203,#11553); #7701=LINE('',#52205,#11554); #7702=LINE('',#52206,#11555); #7703=LINE('',#52213,#11556); #7704=LINE('',#52215,#11557); #7705=LINE('',#52217,#11558); #7706=LINE('',#52218,#11559); #7707=LINE('',#52224,#11560); #7708=LINE('',#52227,#11561); #7709=LINE('',#52229,#11562); #7710=LINE('',#52230,#11563); #7711=LINE('',#52236,#11564); #7712=LINE('',#52239,#11565); #7713=LINE('',#52241,#11566); #7714=LINE('',#52242,#11567); #7715=LINE('',#52248,#11568); #7716=LINE('',#52251,#11569); #7717=LINE('',#52253,#11570); #7718=LINE('',#52254,#11571); #7719=LINE('',#52261,#11572); #7720=LINE('',#52263,#11573); #7721=LINE('',#52265,#11574); #7722=LINE('',#52266,#11575); #7723=LINE('',#52272,#11576); #7724=LINE('',#52275,#11577); #7725=LINE('',#52277,#11578); #7726=LINE('',#52278,#11579); #7727=LINE('',#52284,#11580); #7728=LINE('',#52287,#11581); #7729=LINE('',#52289,#11582); #7730=LINE('',#52290,#11583); #7731=LINE('',#52296,#11584); #7732=LINE('',#52299,#11585); #7733=LINE('',#52301,#11586); #7734=LINE('',#52302,#11587); #7735=LINE('',#52309,#11588); #7736=LINE('',#52311,#11589); #7737=LINE('',#52313,#11590); #7738=LINE('',#52314,#11591); #7739=LINE('',#52320,#11592); #7740=LINE('',#52323,#11593); #7741=LINE('',#52325,#11594); #7742=LINE('',#52326,#11595); #7743=LINE('',#52332,#11596); #7744=LINE('',#52335,#11597); #7745=LINE('',#52337,#11598); #7746=LINE('',#52338,#11599); #7747=LINE('',#52344,#11600); #7748=LINE('',#52347,#11601); #7749=LINE('',#52349,#11602); #7750=LINE('',#52350,#11603); #7751=LINE('',#52357,#11604); #7752=LINE('',#52359,#11605); #7753=LINE('',#52361,#11606); #7754=LINE('',#52362,#11607); #7755=LINE('',#52368,#11608); #7756=LINE('',#52371,#11609); #7757=LINE('',#52373,#11610); #7758=LINE('',#52374,#11611); #7759=LINE('',#52380,#11612); #7760=LINE('',#52383,#11613); #7761=LINE('',#52385,#11614); #7762=LINE('',#52386,#11615); #7763=LINE('',#52392,#11616); #7764=LINE('',#52395,#11617); #7765=LINE('',#52397,#11618); #7766=LINE('',#52398,#11619); #7767=LINE('',#52405,#11620); #7768=LINE('',#52407,#11621); #7769=LINE('',#52409,#11622); #7770=LINE('',#52410,#11623); #7771=LINE('',#52416,#11624); #7772=LINE('',#52419,#11625); #7773=LINE('',#52421,#11626); #7774=LINE('',#52422,#11627); #7775=LINE('',#52428,#11628); #7776=LINE('',#52431,#11629); #7777=LINE('',#52433,#11630); #7778=LINE('',#52434,#11631); #7779=LINE('',#52440,#11632); #7780=LINE('',#52443,#11633); #7781=LINE('',#52445,#11634); #7782=LINE('',#52446,#11635); #7783=LINE('',#52453,#11636); #7784=LINE('',#52455,#11637); #7785=LINE('',#52457,#11638); #7786=LINE('',#52458,#11639); #7787=LINE('',#52464,#11640); #7788=LINE('',#52467,#11641); #7789=LINE('',#52469,#11642); #7790=LINE('',#52470,#11643); #7791=LINE('',#52476,#11644); #7792=LINE('',#52479,#11645); #7793=LINE('',#52481,#11646); #7794=LINE('',#52482,#11647); #7795=LINE('',#52488,#11648); #7796=LINE('',#52491,#11649); #7797=LINE('',#52493,#11650); #7798=LINE('',#52494,#11651); #7799=LINE('',#52501,#11652); #7800=LINE('',#52503,#11653); #7801=LINE('',#52505,#11654); #7802=LINE('',#52506,#11655); #7803=LINE('',#52509,#11656); #7804=LINE('',#52511,#11657); #7805=LINE('',#52512,#11658); #7806=LINE('',#52515,#11659); #7807=LINE('',#52517,#11660); #7808=LINE('',#52518,#11661); #7809=LINE('',#52524,#11662); #7810=LINE('',#52527,#11663); #7811=LINE('',#52529,#11664); #7812=LINE('',#52530,#11665); #7813=LINE('',#52536,#11666); #7814=LINE('',#52539,#11667); #7815=LINE('',#52541,#11668); #7816=LINE('',#52542,#11669); #7817=LINE('',#52545,#11670); #7818=LINE('',#52547,#11671); #7819=LINE('',#52548,#11672); #7820=LINE('',#52551,#11673); #7821=LINE('',#52553,#11674); #7822=LINE('',#52554,#11675); #7823=LINE('',#52557,#11676); #7824=LINE('',#52559,#11677); #7825=LINE('',#52560,#11678); #7826=LINE('',#52566,#11679); #7827=LINE('',#52569,#11680); #7828=LINE('',#52571,#11681); #7829=LINE('',#52572,#11682); #7830=LINE('',#52575,#11683); #7831=LINE('',#52577,#11684); #7832=LINE('',#52578,#11685); #7833=LINE('',#52581,#11686); #7834=LINE('',#52583,#11687); #7835=LINE('',#52584,#11688); #7836=LINE('',#52587,#11689); #7837=LINE('',#52589,#11690); #7838=LINE('',#52590,#11691); #7839=LINE('',#52593,#11692); #7840=LINE('',#52595,#11693); #7841=LINE('',#52596,#11694); #7842=LINE('',#52602,#11695); #7843=LINE('',#52604,#11696); #7844=LINE('',#52605,#11697); #7845=LINE('',#52611,#11698); #7846=LINE('',#52613,#11699); #7847=LINE('',#52615,#11700); #7848=LINE('',#52616,#11701); #7849=LINE('',#52621,#11702); #7850=LINE('',#52625,#11703); #7851=LINE('',#52627,#11704); #7852=LINE('',#52628,#11705); #7853=LINE('',#52633,#11706); #7854=LINE('',#52637,#11707); #7855=LINE('',#52639,#11708); #7856=LINE('',#52640,#11709); #7857=LINE('',#52645,#11710); #7858=LINE('',#52649,#11711); #7859=LINE('',#52651,#11712); #7860=LINE('',#52652,#11713); #7861=LINE('',#52659,#11714); #7862=LINE('',#52661,#11715); #7863=LINE('',#52663,#11716); #7864=LINE('',#52664,#11717); #7865=LINE('',#52669,#11718); #7866=LINE('',#52673,#11719); #7867=LINE('',#52675,#11720); #7868=LINE('',#52676,#11721); #7869=LINE('',#52681,#11722); #7870=LINE('',#52685,#11723); #7871=LINE('',#52687,#11724); #7872=LINE('',#52688,#11725); #7873=LINE('',#52693,#11726); #7874=LINE('',#52697,#11727); #7875=LINE('',#52699,#11728); #7876=LINE('',#52700,#11729); #7877=LINE('',#52707,#11730); #7878=LINE('',#52709,#11731); #7879=LINE('',#52711,#11732); #7880=LINE('',#52712,#11733); #7881=LINE('',#52717,#11734); #7882=LINE('',#52721,#11735); #7883=LINE('',#52723,#11736); #7884=LINE('',#52724,#11737); #7885=LINE('',#52729,#11738); #7886=LINE('',#52733,#11739); #7887=LINE('',#52735,#11740); #7888=LINE('',#52736,#11741); #7889=LINE('',#52741,#11742); #7890=LINE('',#52745,#11743); #7891=LINE('',#52747,#11744); #7892=LINE('',#52748,#11745); #7893=LINE('',#52755,#11746); #7894=LINE('',#52757,#11747); #7895=LINE('',#52759,#11748); #7896=LINE('',#52760,#11749); #7897=LINE('',#52765,#11750); #7898=LINE('',#52769,#11751); #7899=LINE('',#52771,#11752); #7900=LINE('',#52772,#11753); #7901=LINE('',#52777,#11754); #7902=LINE('',#52781,#11755); #7903=LINE('',#52783,#11756); #7904=LINE('',#52784,#11757); #7905=LINE('',#52789,#11758); #7906=LINE('',#52793,#11759); #7907=LINE('',#52795,#11760); #7908=LINE('',#52796,#11761); #7909=LINE('',#52803,#11762); #7910=LINE('',#52805,#11763); #7911=LINE('',#52807,#11764); #7912=LINE('',#52808,#11765); #7913=LINE('',#52813,#11766); #7914=LINE('',#52817,#11767); #7915=LINE('',#52819,#11768); #7916=LINE('',#52820,#11769); #7917=LINE('',#52825,#11770); #7918=LINE('',#52829,#11771); #7919=LINE('',#52831,#11772); #7920=LINE('',#52832,#11773); #7921=LINE('',#52837,#11774); #7922=LINE('',#52841,#11775); #7923=LINE('',#52843,#11776); #7924=LINE('',#52844,#11777); #7925=LINE('',#52851,#11778); #7926=LINE('',#52853,#11779); #7927=LINE('',#52855,#11780); #7928=LINE('',#52856,#11781); #7929=LINE('',#52861,#11782); #7930=LINE('',#52865,#11783); #7931=LINE('',#52867,#11784); #7932=LINE('',#52868,#11785); #7933=LINE('',#52873,#11786); #7934=LINE('',#52877,#11787); #7935=LINE('',#52879,#11788); #7936=LINE('',#52880,#11789); #7937=LINE('',#52885,#11790); #7938=LINE('',#52889,#11791); #7939=LINE('',#52891,#11792); #7940=LINE('',#52892,#11793); #7941=LINE('',#52899,#11794); #7942=LINE('',#52901,#11795); #7943=LINE('',#52903,#11796); #7944=LINE('',#52904,#11797); #7945=LINE('',#52909,#11798); #7946=LINE('',#52913,#11799); #7947=LINE('',#52915,#11800); #7948=LINE('',#52916,#11801); #7949=LINE('',#52921,#11802); #7950=LINE('',#52925,#11803); #7951=LINE('',#52927,#11804); #7952=LINE('',#52928,#11805); #7953=LINE('',#52933,#11806); #7954=LINE('',#52937,#11807); #7955=LINE('',#52939,#11808); #7956=LINE('',#52940,#11809); #7957=LINE('',#52947,#11810); #7958=LINE('',#52949,#11811); #7959=LINE('',#52951,#11812); #7960=LINE('',#52952,#11813); #7961=LINE('',#52957,#11814); #7962=LINE('',#52961,#11815); #7963=LINE('',#52963,#11816); #7964=LINE('',#52964,#11817); #7965=LINE('',#52969,#11818); #7966=LINE('',#52973,#11819); #7967=LINE('',#52975,#11820); #7968=LINE('',#52976,#11821); #7969=LINE('',#52981,#11822); #7970=LINE('',#52985,#11823); #7971=LINE('',#52987,#11824); #7972=LINE('',#52988,#11825); #7973=LINE('',#52995,#11826); #7974=LINE('',#52997,#11827); #7975=LINE('',#52999,#11828); #7976=LINE('',#53000,#11829); #7977=LINE('',#53005,#11830); #7978=LINE('',#53009,#11831); #7979=LINE('',#53011,#11832); #7980=LINE('',#53012,#11833); #7981=LINE('',#53017,#11834); #7982=LINE('',#53021,#11835); #7983=LINE('',#53023,#11836); #7984=LINE('',#53024,#11837); #7985=LINE('',#53029,#11838); #7986=LINE('',#53033,#11839); #7987=LINE('',#53035,#11840); #7988=LINE('',#53036,#11841); #7989=LINE('',#53043,#11842); #7990=LINE('',#53045,#11843); #7991=LINE('',#53047,#11844); #7992=LINE('',#53048,#11845); #7993=LINE('',#53053,#11846); #7994=LINE('',#53057,#11847); #7995=LINE('',#53059,#11848); #7996=LINE('',#53060,#11849); #7997=LINE('',#53065,#11850); #7998=LINE('',#53069,#11851); #7999=LINE('',#53071,#11852); #8000=LINE('',#53072,#11853); #8001=LINE('',#53077,#11854); #8002=LINE('',#53081,#11855); #8003=LINE('',#53083,#11856); #8004=LINE('',#53084,#11857); #8005=LINE('',#53091,#11858); #8006=LINE('',#53093,#11859); #8007=LINE('',#53095,#11860); #8008=LINE('',#53096,#11861); #8009=LINE('',#53101,#11862); #8010=LINE('',#53105,#11863); #8011=LINE('',#53107,#11864); #8012=LINE('',#53108,#11865); #8013=LINE('',#53113,#11866); #8014=LINE('',#53117,#11867); #8015=LINE('',#53119,#11868); #8016=LINE('',#53120,#11869); #8017=LINE('',#53125,#11870); #8018=LINE('',#53129,#11871); #8019=LINE('',#53131,#11872); #8020=LINE('',#53132,#11873); #8021=LINE('',#53139,#11874); #8022=LINE('',#53141,#11875); #8023=LINE('',#53143,#11876); #8024=LINE('',#53144,#11877); #8025=LINE('',#53149,#11878); #8026=LINE('',#53153,#11879); #8027=LINE('',#53155,#11880); #8028=LINE('',#53156,#11881); #8029=LINE('',#53161,#11882); #8030=LINE('',#53165,#11883); #8031=LINE('',#53167,#11884); #8032=LINE('',#53168,#11885); #8033=LINE('',#53173,#11886); #8034=LINE('',#53177,#11887); #8035=LINE('',#53179,#11888); #8036=LINE('',#53180,#11889); #8037=LINE('',#53187,#11890); #8038=LINE('',#53189,#11891); #8039=LINE('',#53191,#11892); #8040=LINE('',#53192,#11893); #8041=LINE('',#53197,#11894); #8042=LINE('',#53201,#11895); #8043=LINE('',#53203,#11896); #8044=LINE('',#53204,#11897); #8045=LINE('',#53209,#11898); #8046=LINE('',#53213,#11899); #8047=LINE('',#53215,#11900); #8048=LINE('',#53216,#11901); #8049=LINE('',#53221,#11902); #8050=LINE('',#53225,#11903); #8051=LINE('',#53227,#11904); #8052=LINE('',#53228,#11905); #8053=LINE('',#53235,#11906); #8054=LINE('',#53237,#11907); #8055=LINE('',#53239,#11908); #8056=LINE('',#53240,#11909); #8057=LINE('',#53245,#11910); #8058=LINE('',#53249,#11911); #8059=LINE('',#53251,#11912); #8060=LINE('',#53252,#11913); #8061=LINE('',#53257,#11914); #8062=LINE('',#53261,#11915); #8063=LINE('',#53263,#11916); #8064=LINE('',#53264,#11917); #8065=LINE('',#53269,#11918); #8066=LINE('',#53273,#11919); #8067=LINE('',#53275,#11920); #8068=LINE('',#53276,#11921); #8069=LINE('',#53283,#11922); #8070=LINE('',#53285,#11923); #8071=LINE('',#53287,#11924); #8072=LINE('',#53288,#11925); #8073=LINE('',#53293,#11926); #8074=LINE('',#53297,#11927); #8075=LINE('',#53299,#11928); #8076=LINE('',#53300,#11929); #8077=LINE('',#53305,#11930); #8078=LINE('',#53309,#11931); #8079=LINE('',#53311,#11932); #8080=LINE('',#53312,#11933); #8081=LINE('',#53317,#11934); #8082=LINE('',#53321,#11935); #8083=LINE('',#53323,#11936); #8084=LINE('',#53324,#11937); #8085=LINE('',#53331,#11938); #8086=LINE('',#53333,#11939); #8087=LINE('',#53335,#11940); #8088=LINE('',#53336,#11941); #8089=LINE('',#53341,#11942); #8090=LINE('',#53345,#11943); #8091=LINE('',#53347,#11944); #8092=LINE('',#53348,#11945); #8093=LINE('',#53353,#11946); #8094=LINE('',#53357,#11947); #8095=LINE('',#53359,#11948); #8096=LINE('',#53360,#11949); #8097=LINE('',#53365,#11950); #8098=LINE('',#53369,#11951); #8099=LINE('',#53371,#11952); #8100=LINE('',#53372,#11953); #8101=LINE('',#53379,#11954); #8102=LINE('',#53381,#11955); #8103=LINE('',#53383,#11956); #8104=LINE('',#53384,#11957); #8105=LINE('',#53389,#11958); #8106=LINE('',#53393,#11959); #8107=LINE('',#53395,#11960); #8108=LINE('',#53396,#11961); #8109=LINE('',#53401,#11962); #8110=LINE('',#53405,#11963); #8111=LINE('',#53407,#11964); #8112=LINE('',#53408,#11965); #8113=LINE('',#53413,#11966); #8114=LINE('',#53417,#11967); #8115=LINE('',#53419,#11968); #8116=LINE('',#53420,#11969); #8117=LINE('',#53427,#11970); #8118=LINE('',#53429,#11971); #8119=LINE('',#53431,#11972); #8120=LINE('',#53432,#11973); #8121=LINE('',#53437,#11974); #8122=LINE('',#53441,#11975); #8123=LINE('',#53443,#11976); #8124=LINE('',#53444,#11977); #8125=LINE('',#53449,#11978); #8126=LINE('',#53453,#11979); #8127=LINE('',#53455,#11980); #8128=LINE('',#53456,#11981); #8129=LINE('',#53461,#11982); #8130=LINE('',#53465,#11983); #8131=LINE('',#53467,#11984); #8132=LINE('',#53468,#11985); #8133=LINE('',#53475,#11986); #8134=LINE('',#53477,#11987); #8135=LINE('',#53479,#11988); #8136=LINE('',#53480,#11989); #8137=LINE('',#53483,#11990); #8138=LINE('',#53485,#11991); #8139=LINE('',#53486,#11992); #8140=LINE('',#53489,#11993); #8141=LINE('',#53491,#11994); #8142=LINE('',#53492,#11995); #8143=LINE('',#53497,#11996); #8144=LINE('',#53501,#11997); #8145=LINE('',#53503,#11998); #8146=LINE('',#53504,#11999); #8147=LINE('',#53509,#12000); #8148=LINE('',#53513,#12001); #8149=LINE('',#53515,#12002); #8150=LINE('',#53516,#12003); #8151=LINE('',#53519,#12004); #8152=LINE('',#53521,#12005); #8153=LINE('',#53522,#12006); #8154=LINE('',#53525,#12007); #8155=LINE('',#53527,#12008); #8156=LINE('',#53528,#12009); #8157=LINE('',#53531,#12010); #8158=LINE('',#53533,#12011); #8159=LINE('',#53534,#12012); #8160=LINE('',#53539,#12013); #8161=LINE('',#53543,#12014); #8162=LINE('',#53545,#12015); #8163=LINE('',#53546,#12016); #8164=LINE('',#53549,#12017); #8165=LINE('',#53551,#12018); #8166=LINE('',#53552,#12019); #8167=LINE('',#53555,#12020); #8168=LINE('',#53557,#12021); #8169=LINE('',#53558,#12022); #8170=LINE('',#53561,#12023); #8171=LINE('',#53563,#12024); #8172=LINE('',#53564,#12025); #8173=LINE('',#53567,#12026); #8174=LINE('',#53569,#12027); #8175=LINE('',#53570,#12028); #8176=LINE('',#53575,#12029); #8177=LINE('',#53578,#12030); #8178=LINE('',#53579,#12031); #8179=LINE('',#53594,#12032); #8180=LINE('',#53597,#12033); #8181=LINE('',#53600,#12034); #8182=LINE('',#53602,#12035); #8183=LINE('',#53603,#12036); #8184=LINE('',#53609,#12037); #8185=LINE('',#53612,#12038); #8186=LINE('',#53614,#12039); #8187=LINE('',#53615,#12040); #8188=LINE('',#53621,#12041); #8189=LINE('',#53624,#12042); #8190=LINE('',#53626,#12043); #8191=LINE('',#53627,#12044); #8192=LINE('',#53633,#12045); #8193=LINE('',#53635,#12046); #8194=LINE('',#53636,#12047); #8195=LINE('',#53640,#12048); #8196=LINE('',#53642,#12049); #8197=LINE('',#53644,#12050); #8198=LINE('',#53645,#12051); #8199=LINE('',#53648,#12052); #8200=LINE('',#53650,#12053); #8201=LINE('',#53651,#12054); #8202=LINE('',#53654,#12055); #8203=LINE('',#53656,#12056); #8204=LINE('',#53657,#12057); #8205=LINE('',#53659,#12058); #8206=LINE('',#53660,#12059); #8207=LINE('',#53664,#12060); #8208=LINE('',#53666,#12061); #8209=LINE('',#53668,#12062); #8210=LINE('',#53669,#12063); #8211=LINE('',#53675,#12064); #8212=LINE('',#53678,#12065); #8213=LINE('',#53680,#12066); #8214=LINE('',#53681,#12067); #8215=LINE('',#53687,#12068); #8216=LINE('',#53690,#12069); #8217=LINE('',#53692,#12070); #8218=LINE('',#53693,#12071); #8219=LINE('',#53699,#12072); #8220=LINE('',#53702,#12073); #8221=LINE('',#53704,#12074); #8222=LINE('',#53705,#12075); #8223=LINE('',#53712,#12076); #8224=LINE('',#53714,#12077); #8225=LINE('',#53716,#12078); #8226=LINE('',#53717,#12079); #8227=LINE('',#53720,#12080); #8228=LINE('',#53722,#12081); #8229=LINE('',#53723,#12082); #8230=LINE('',#53726,#12083); #8231=LINE('',#53728,#12084); #8232=LINE('',#53729,#12085); #8233=LINE('',#53731,#12086); #8234=LINE('',#53732,#12087); #8235=LINE('',#53737,#12088); #8236=LINE('',#53743,#12089); #8237=LINE('',#53748,#12090); #8238=LINE('',#53750,#12091); #8239=LINE('',#53752,#12092); #8240=LINE('',#53753,#12093); #8241=LINE('',#53756,#12094); #8242=LINE('',#53758,#12095); #8243=LINE('',#53759,#12096); #8244=LINE('',#53762,#12097); #8245=LINE('',#53764,#12098); #8246=LINE('',#53765,#12099); #8247=LINE('',#53767,#12100); #8248=LINE('',#53768,#12101); #8249=LINE('',#53772,#12102); #8250=LINE('',#53774,#12103); #8251=LINE('',#53776,#12104); #8252=LINE('',#53777,#12105); #8253=LINE('',#53780,#12106); #8254=LINE('',#53782,#12107); #8255=LINE('',#53783,#12108); #8256=LINE('',#53786,#12109); #8257=LINE('',#53788,#12110); #8258=LINE('',#53789,#12111); #8259=LINE('',#53791,#12112); #8260=LINE('',#53792,#12113); #8261=LINE('',#53797,#12114); #8262=LINE('',#53804,#12115); #8263=LINE('',#53807,#12116); #8264=LINE('',#53810,#12117); #8265=LINE('',#53812,#12118); #8266=LINE('',#53813,#12119); #8267=LINE('',#53819,#12120); #8268=LINE('',#53822,#12121); #8269=LINE('',#53824,#12122); #8270=LINE('',#53825,#12123); #8271=LINE('',#53831,#12124); #8272=LINE('',#53834,#12125); #8273=LINE('',#53836,#12126); #8274=LINE('',#53837,#12127); #8275=LINE('',#53843,#12128); #8276=LINE('',#53845,#12129); #8277=LINE('',#53846,#12130); #8278=LINE('',#53851,#12131); #8279=LINE('',#53857,#12132); #8280=LINE('',#53863,#12133); #8281=LINE('',#53869,#12134); #8282=LINE('',#53875,#12135); #8283=LINE('',#53881,#12136); #8284=LINE('',#53886,#12137); #8285=LINE('',#53888,#12138); #8286=LINE('',#53890,#12139); #8287=LINE('',#53891,#12140); #8288=LINE('',#53894,#12141); #8289=LINE('',#53896,#12142); #8290=LINE('',#53897,#12143); #8291=LINE('',#53900,#12144); #8292=LINE('',#53902,#12145); #8293=LINE('',#53903,#12146); #8294=LINE('',#53905,#12147); #8295=LINE('',#53906,#12148); #8296=LINE('',#53911,#12149); #8297=LINE('',#53917,#12150); #8298=LINE('',#53922,#12151); #8299=LINE('',#53924,#12152); #8300=LINE('',#53926,#12153); #8301=LINE('',#53927,#12154); #8302=LINE('',#53930,#12155); #8303=LINE('',#53932,#12156); #8304=LINE('',#53933,#12157); #8305=LINE('',#53936,#12158); #8306=LINE('',#53938,#12159); #8307=LINE('',#53939,#12160); #8308=LINE('',#53941,#12161); #8309=LINE('',#53942,#12162); #8310=LINE('',#53946,#12163); #8311=LINE('',#53948,#12164); #8312=LINE('',#53950,#12165); #8313=LINE('',#53951,#12166); #8314=LINE('',#53954,#12167); #8315=LINE('',#53956,#12168); #8316=LINE('',#53957,#12169); #8317=LINE('',#53960,#12170); #8318=LINE('',#53962,#12171); #8319=LINE('',#53963,#12172); #8320=LINE('',#53965,#12173); #8321=LINE('',#53966,#12174); #8322=LINE('',#53970,#12175); #8323=LINE('',#53972,#12176); #8324=LINE('',#53974,#12177); #8325=LINE('',#53975,#12178); #8326=LINE('',#53981,#12179); #8327=LINE('',#53984,#12180); #8328=LINE('',#53986,#12181); #8329=LINE('',#53987,#12182); #8330=LINE('',#53993,#12183); #8331=LINE('',#53996,#12184); #8332=LINE('',#53998,#12185); #8333=LINE('',#53999,#12186); #8334=LINE('',#54005,#12187); #8335=LINE('',#54008,#12188); #8336=LINE('',#54010,#12189); #8337=LINE('',#54011,#12190); #8338=LINE('',#54018,#12191); #8339=LINE('',#54020,#12192); #8340=LINE('',#54022,#12193); #8341=LINE('',#54023,#12194); #8342=LINE('',#54029,#12195); #8343=LINE('',#54032,#12196); #8344=LINE('',#54034,#12197); #8345=LINE('',#54035,#12198); #8346=LINE('',#54041,#12199); #8347=LINE('',#54044,#12200); #8348=LINE('',#54046,#12201); #8349=LINE('',#54047,#12202); #8350=LINE('',#54053,#12203); #8351=LINE('',#54056,#12204); #8352=LINE('',#54058,#12205); #8353=LINE('',#54059,#12206); #8354=LINE('',#54066,#12207); #8355=LINE('',#54068,#12208); #8356=LINE('',#54070,#12209); #8357=LINE('',#54071,#12210); #8358=LINE('',#54077,#12211); #8359=LINE('',#54080,#12212); #8360=LINE('',#54082,#12213); #8361=LINE('',#54083,#12214); #8362=LINE('',#54089,#12215); #8363=LINE('',#54092,#12216); #8364=LINE('',#54094,#12217); #8365=LINE('',#54095,#12218); #8366=LINE('',#54101,#12219); #8367=LINE('',#54104,#12220); #8368=LINE('',#54106,#12221); #8369=LINE('',#54107,#12222); #8370=LINE('',#54114,#12223); #8371=LINE('',#54116,#12224); #8372=LINE('',#54118,#12225); #8373=LINE('',#54119,#12226); #8374=LINE('',#54125,#12227); #8375=LINE('',#54128,#12228); #8376=LINE('',#54130,#12229); #8377=LINE('',#54131,#12230); #8378=LINE('',#54137,#12231); #8379=LINE('',#54140,#12232); #8380=LINE('',#54142,#12233); #8381=LINE('',#54143,#12234); #8382=LINE('',#54149,#12235); #8383=LINE('',#54152,#12236); #8384=LINE('',#54154,#12237); #8385=LINE('',#54155,#12238); #8386=LINE('',#54162,#12239); #8387=LINE('',#54164,#12240); #8388=LINE('',#54166,#12241); #8389=LINE('',#54167,#12242); #8390=LINE('',#54173,#12243); #8391=LINE('',#54176,#12244); #8392=LINE('',#54178,#12245); #8393=LINE('',#54179,#12246); #8394=LINE('',#54185,#12247); #8395=LINE('',#54188,#12248); #8396=LINE('',#54190,#12249); #8397=LINE('',#54191,#12250); #8398=LINE('',#54197,#12251); #8399=LINE('',#54200,#12252); #8400=LINE('',#54202,#12253); #8401=LINE('',#54203,#12254); #8402=LINE('',#54212,#12255); #8403=LINE('',#54215,#12256); #8404=LINE('',#54218,#12257); #8405=LINE('',#54220,#12258); #8406=LINE('',#54221,#12259); #8407=LINE('',#54227,#12260); #8408=LINE('',#54230,#12261); #8409=LINE('',#54232,#12262); #8410=LINE('',#54233,#12263); #8411=LINE('',#54239,#12264); #8412=LINE('',#54242,#12265); #8413=LINE('',#54244,#12266); #8414=LINE('',#54245,#12267); #8415=LINE('',#54251,#12268); #8416=LINE('',#54253,#12269); #8417=LINE('',#54254,#12270); #8418=LINE('',#54260,#12271); #8419=LINE('',#54263,#12272); #8420=LINE('',#54266,#12273); #8421=LINE('',#54268,#12274); #8422=LINE('',#54269,#12275); #8423=LINE('',#54275,#12276); #8424=LINE('',#54278,#12277); #8425=LINE('',#54280,#12278); #8426=LINE('',#54281,#12279); #8427=LINE('',#54287,#12280); #8428=LINE('',#54290,#12281); #8429=LINE('',#54292,#12282); #8430=LINE('',#54293,#12283); #8431=LINE('',#54299,#12284); #8432=LINE('',#54301,#12285); #8433=LINE('',#54302,#12286); #8434=LINE('',#54308,#12287); #8435=LINE('',#54311,#12288); #8436=LINE('',#54314,#12289); #8437=LINE('',#54316,#12290); #8438=LINE('',#54317,#12291); #8439=LINE('',#54323,#12292); #8440=LINE('',#54326,#12293); #8441=LINE('',#54328,#12294); #8442=LINE('',#54329,#12295); #8443=LINE('',#54335,#12296); #8444=LINE('',#54338,#12297); #8445=LINE('',#54340,#12298); #8446=LINE('',#54341,#12299); #8447=LINE('',#54347,#12300); #8448=LINE('',#54349,#12301); #8449=LINE('',#54350,#12302); #8450=LINE('',#54356,#12303); #8451=LINE('',#54359,#12304); #8452=LINE('',#54362,#12305); #8453=LINE('',#54364,#12306); #8454=LINE('',#54365,#12307); #8455=LINE('',#54371,#12308); #8456=LINE('',#54374,#12309); #8457=LINE('',#54376,#12310); #8458=LINE('',#54377,#12311); #8459=LINE('',#54383,#12312); #8460=LINE('',#54386,#12313); #8461=LINE('',#54388,#12314); #8462=LINE('',#54389,#12315); #8463=LINE('',#54395,#12316); #8464=LINE('',#54397,#12317); #8465=LINE('',#54398,#12318); #8466=LINE('',#54402,#12319); #8467=LINE('',#54404,#12320); #8468=LINE('',#54406,#12321); #8469=LINE('',#54407,#12322); #8470=LINE('',#54413,#12323); #8471=LINE('',#54416,#12324); #8472=LINE('',#54418,#12325); #8473=LINE('',#54419,#12326); #8474=LINE('',#54425,#12327); #8475=LINE('',#54428,#12328); #8476=LINE('',#54430,#12329); #8477=LINE('',#54431,#12330); #8478=LINE('',#54437,#12331); #8479=LINE('',#54440,#12332); #8480=LINE('',#54442,#12333); #8481=LINE('',#54443,#12334); #8482=LINE('',#54450,#12335); #8483=LINE('',#54452,#12336); #8484=LINE('',#54454,#12337); #8485=LINE('',#54455,#12338); #8486=LINE('',#54461,#12339); #8487=LINE('',#54464,#12340); #8488=LINE('',#54466,#12341); #8489=LINE('',#54467,#12342); #8490=LINE('',#54473,#12343); #8491=LINE('',#54476,#12344); #8492=LINE('',#54478,#12345); #8493=LINE('',#54479,#12346); #8494=LINE('',#54485,#12347); #8495=LINE('',#54488,#12348); #8496=LINE('',#54490,#12349); #8497=LINE('',#54491,#12350); #8498=LINE('',#54498,#12351); #8499=LINE('',#54500,#12352); #8500=LINE('',#54502,#12353); #8501=LINE('',#54503,#12354); #8502=LINE('',#54509,#12355); #8503=LINE('',#54512,#12356); #8504=LINE('',#54514,#12357); #8505=LINE('',#54515,#12358); #8506=LINE('',#54521,#12359); #8507=LINE('',#54524,#12360); #8508=LINE('',#54526,#12361); #8509=LINE('',#54527,#12362); #8510=LINE('',#54533,#12363); #8511=LINE('',#54536,#12364); #8512=LINE('',#54538,#12365); #8513=LINE('',#54539,#12366); #8514=LINE('',#54546,#12367); #8515=LINE('',#54548,#12368); #8516=LINE('',#54550,#12369); #8517=LINE('',#54551,#12370); #8518=LINE('',#54554,#12371); #8519=LINE('',#54556,#12372); #8520=LINE('',#54557,#12373); #8521=LINE('',#54560,#12374); #8522=LINE('',#54562,#12375); #8523=LINE('',#54563,#12376); #8524=LINE('',#54565,#12377); #8525=LINE('',#54566,#12378); #8526=LINE('',#54570,#12379); #8527=LINE('',#54572,#12380); #8528=LINE('',#54574,#12381); #8529=LINE('',#54575,#12382); #8530=LINE('',#54581,#12383); #8531=LINE('',#54584,#12384); #8532=LINE('',#54586,#12385); #8533=LINE('',#54587,#12386); #8534=LINE('',#54593,#12387); #8535=LINE('',#54596,#12388); #8536=LINE('',#54598,#12389); #8537=LINE('',#54599,#12390); #8538=LINE('',#54605,#12391); #8539=LINE('',#54608,#12392); #8540=LINE('',#54610,#12393); #8541=LINE('',#54611,#12394); #8542=LINE('',#54618,#12395); #8543=LINE('',#54620,#12396); #8544=LINE('',#54622,#12397); #8545=LINE('',#54623,#12398); #8546=LINE('',#54629,#12399); #8547=LINE('',#54632,#12400); #8548=LINE('',#54634,#12401); #8549=LINE('',#54635,#12402); #8550=LINE('',#54641,#12403); #8551=LINE('',#54644,#12404); #8552=LINE('',#54646,#12405); #8553=LINE('',#54647,#12406); #8554=LINE('',#54653,#12407); #8555=LINE('',#54656,#12408); #8556=LINE('',#54658,#12409); #8557=LINE('',#54659,#12410); #8558=LINE('',#54666,#12411); #8559=LINE('',#54668,#12412); #8560=LINE('',#54670,#12413); #8561=LINE('',#54671,#12414); #8562=LINE('',#54677,#12415); #8563=LINE('',#54680,#12416); #8564=LINE('',#54682,#12417); #8565=LINE('',#54683,#12418); #8566=LINE('',#54689,#12419); #8567=LINE('',#54692,#12420); #8568=LINE('',#54694,#12421); #8569=LINE('',#54695,#12422); #8570=LINE('',#54701,#12423); #8571=LINE('',#54704,#12424); #8572=LINE('',#54706,#12425); #8573=LINE('',#54707,#12426); #8574=LINE('',#54714,#12427); #8575=LINE('',#54716,#12428); #8576=LINE('',#54718,#12429); #8577=LINE('',#54719,#12430); #8578=LINE('',#54722,#12431); #8579=LINE('',#54724,#12432); #8580=LINE('',#54725,#12433); #8581=LINE('',#54728,#12434); #8582=LINE('',#54730,#12435); #8583=LINE('',#54731,#12436); #8584=LINE('',#54733,#12437); #8585=LINE('',#54734,#12438); #8586=LINE('',#54738,#12439); #8587=LINE('',#54740,#12440); #8588=LINE('',#54742,#12441); #8589=LINE('',#54743,#12442); #8590=LINE('',#54746,#12443); #8591=LINE('',#54748,#12444); #8592=LINE('',#54749,#12445); #8593=LINE('',#54752,#12446); #8594=LINE('',#54754,#12447); #8595=LINE('',#54755,#12448); #8596=LINE('',#54757,#12449); #8597=LINE('',#54758,#12450); #8598=LINE('',#54762,#12451); #8599=LINE('',#54764,#12452); #8600=LINE('',#54766,#12453); #8601=LINE('',#54767,#12454); #8602=LINE('',#54770,#12455); #8603=LINE('',#54772,#12456); #8604=LINE('',#54773,#12457); #8605=LINE('',#54776,#12458); #8606=LINE('',#54778,#12459); #8607=LINE('',#54779,#12460); #8608=LINE('',#54781,#12461); #8609=LINE('',#54782,#12462); #8610=LINE('',#54786,#12463); #8611=LINE('',#54788,#12464); #8612=LINE('',#54790,#12465); #8613=LINE('',#54791,#12466); #8614=LINE('',#54794,#12467); #8615=LINE('',#54796,#12468); #8616=LINE('',#54797,#12469); #8617=LINE('',#54800,#12470); #8618=LINE('',#54802,#12471); #8619=LINE('',#54803,#12472); #8620=LINE('',#54805,#12473); #8621=LINE('',#54806,#12474); #8622=LINE('',#54812,#12475); #8623=LINE('',#54815,#12476); #8624=LINE('',#54818,#12477); #8625=LINE('',#54820,#12478); #8626=LINE('',#54821,#12479); #8627=LINE('',#54827,#12480); #8628=LINE('',#54830,#12481); #8629=LINE('',#54832,#12482); #8630=LINE('',#54833,#12483); #8631=LINE('',#54839,#12484); #8632=LINE('',#54842,#12485); #8633=LINE('',#54844,#12486); #8634=LINE('',#54845,#12487); #8635=LINE('',#54851,#12488); #8636=LINE('',#54853,#12489); #8637=LINE('',#54854,#12490); #8638=LINE('',#54859,#12491); #8639=LINE('',#54864,#12492); #8640=LINE('',#54866,#12493); #8641=LINE('',#54868,#12494); #8642=LINE('',#54869,#12495); #8643=LINE('',#54875,#12496); #8644=LINE('',#54878,#12497); #8645=LINE('',#54880,#12498); #8646=LINE('',#54881,#12499); #8647=LINE('',#54887,#12500); #8648=LINE('',#54890,#12501); #8649=LINE('',#54892,#12502); #8650=LINE('',#54893,#12503); #8651=LINE('',#54899,#12504); #8652=LINE('',#54902,#12505); #8653=LINE('',#54904,#12506); #8654=LINE('',#54905,#12507); #8655=LINE('',#54912,#12508); #8656=LINE('',#54914,#12509); #8657=LINE('',#54916,#12510); #8658=LINE('',#54917,#12511); #8659=LINE('',#54920,#12512); #8660=LINE('',#54922,#12513); #8661=LINE('',#54923,#12514); #8662=LINE('',#54926,#12515); #8663=LINE('',#54928,#12516); #8664=LINE('',#54929,#12517); #8665=LINE('',#54931,#12518); #8666=LINE('',#54932,#12519); #8667=LINE('',#54936,#12520); #8668=LINE('',#54938,#12521); #8669=LINE('',#54940,#12522); #8670=LINE('',#54941,#12523); #8671=LINE('',#54944,#12524); #8672=LINE('',#54946,#12525); #8673=LINE('',#54947,#12526); #8674=LINE('',#54950,#12527); #8675=LINE('',#54952,#12528); #8676=LINE('',#54953,#12529); #8677=LINE('',#54955,#12530); #8678=LINE('',#54956,#12531); #8679=LINE('',#54961,#12532); #8680=LINE('',#54966,#12533); #8681=LINE('',#54968,#12534); #8682=LINE('',#54970,#12535); #8683=LINE('',#54971,#12536); #8684=LINE('',#54974,#12537); #8685=LINE('',#54976,#12538); #8686=LINE('',#54977,#12539); #8687=LINE('',#54980,#12540); #8688=LINE('',#54982,#12541); #8689=LINE('',#54983,#12542); #8690=LINE('',#54985,#12543); #8691=LINE('',#54986,#12544); #8692=LINE('',#54991,#12545); #8693=LINE('',#54996,#12546); #8694=LINE('',#54998,#12547); #8695=LINE('',#55000,#12548); #8696=LINE('',#55001,#12549); #8697=LINE('',#55004,#12550); #8698=LINE('',#55006,#12551); #8699=LINE('',#55007,#12552); #8700=LINE('',#55010,#12553); #8701=LINE('',#55012,#12554); #8702=LINE('',#55013,#12555); #8703=LINE('',#55015,#12556); #8704=LINE('',#55016,#12557); #8705=LINE('',#55020,#12558); #8706=LINE('',#55022,#12559); #8707=LINE('',#55024,#12560); #8708=LINE('',#55025,#12561); #8709=LINE('',#55031,#12562); #8710=LINE('',#55034,#12563); #8711=LINE('',#55036,#12564); #8712=LINE('',#55037,#12565); #8713=LINE('',#55043,#12566); #8714=LINE('',#55046,#12567); #8715=LINE('',#55048,#12568); #8716=LINE('',#55049,#12569); #8717=LINE('',#55055,#12570); #8718=LINE('',#55058,#12571); #8719=LINE('',#55060,#12572); #8720=LINE('',#55061,#12573); #8721=LINE('',#55128,#12574); #8722=LINE('',#55132,#12575); #8723=LINE('',#55166,#12576); #8724=LINE('',#55167,#12577); #8725=LINE('',#55177,#12578); #8726=LINE('',#55180,#12579); #8727=LINE('',#55195,#12580); #8728=LINE('',#55197,#12581); #8729=LINE('',#55198,#12582); #8730=LINE('',#55201,#12583); #8731=LINE('',#55212,#12584); #8732=LINE('',#55218,#12585); #8733=LINE('',#55247,#12586); #8734=LINE('',#55248,#12587); #8735=LINE('',#55259,#12588); #8736=LINE('',#55261,#12589); #8737=LINE('',#55278,#12590); #8738=LINE('',#55280,#12591); #8739=LINE('',#55281,#12592); #8740=LINE('',#55291,#12593); #8741=LINE('',#55294,#12594); #8742=LINE('',#55303,#12595); #8743=LINE('',#55307,#12596); #8744=LINE('',#55309,#12597); #8745=LINE('',#55314,#12598); #8746=LINE('',#55320,#12599); #8747=LINE('',#55326,#12600); #8748=LINE('',#55332,#12601); #8749=LINE('',#55338,#12602); #8750=LINE('',#55344,#12603); #8751=LINE('',#55349,#12604); #8752=LINE('',#55351,#12605); #8753=LINE('',#55353,#12606); #8754=LINE('',#55354,#12607); #8755=LINE('',#55360,#12608); #8756=LINE('',#55363,#12609); #8757=LINE('',#55365,#12610); #8758=LINE('',#55366,#12611); #8759=LINE('',#55372,#12612); #8760=LINE('',#55375,#12613); #8761=LINE('',#55377,#12614); #8762=LINE('',#55378,#12615); #8763=LINE('',#55384,#12616); #8764=LINE('',#55387,#12617); #8765=LINE('',#55389,#12618); #8766=LINE('',#55390,#12619); #8767=LINE('',#55396,#12620); #8768=LINE('',#55399,#12621); #8769=LINE('',#55401,#12622); #8770=LINE('',#55402,#12623); #8771=LINE('',#55408,#12624); #8772=LINE('',#55411,#12625); #8773=LINE('',#55413,#12626); #8774=LINE('',#55414,#12627); #8775=LINE('',#55424,#12628); #8776=LINE('',#55426,#12629); #8777=LINE('',#55428,#12630); #8778=LINE('',#55430,#12631); #8779=LINE('',#55434,#12632); #8780=LINE('',#55438,#12633); #8781=LINE('',#55442,#12634); #8782=LINE('',#55446,#12635); #8783=LINE('',#55450,#12636); #8784=LINE('',#55454,#12637); #8785=LINE('',#55458,#12638); #8786=LINE('',#55462,#12639); #8787=LINE('',#55466,#12640); #8788=LINE('',#55470,#12641); #8789=LINE('',#55474,#12642); #8790=LINE('',#55478,#12643); #8791=LINE('',#55482,#12644); #8792=LINE('',#55486,#12645); #8793=LINE('',#55490,#12646); #8794=LINE('',#55494,#12647); #8795=LINE('',#55498,#12648); #8796=LINE('',#55502,#12649); #8797=LINE('',#55506,#12650); #8798=LINE('',#55510,#12651); #8799=LINE('',#55514,#12652); #8800=LINE('',#55518,#12653); #8801=LINE('',#55522,#12654); #8802=LINE('',#55526,#12655); #8803=LINE('',#55530,#12656); #8804=LINE('',#55534,#12657); #8805=LINE('',#55538,#12658); #8806=LINE('',#55542,#12659); #8807=LINE('',#55546,#12660); #8808=LINE('',#55550,#12661); #8809=LINE('',#55554,#12662); #8810=LINE('',#55558,#12663); #8811=LINE('',#55562,#12664); #8812=LINE('',#55566,#12665); #8813=LINE('',#55570,#12666); #8814=LINE('',#55574,#12667); #8815=LINE('',#55578,#12668); #8816=LINE('',#55582,#12669); #8817=LINE('',#55586,#12670); #8818=LINE('',#55590,#12671); #8819=LINE('',#55594,#12672); #8820=LINE('',#55598,#12673); #8821=LINE('',#55602,#12674); #8822=LINE('',#55606,#12675); #8823=LINE('',#55610,#12676); #8824=LINE('',#55614,#12677); #8825=LINE('',#55618,#12678); #8826=LINE('',#55622,#12679); #8827=LINE('',#55626,#12680); #8828=LINE('',#55630,#12681); #8829=LINE('',#55634,#12682); #8830=LINE('',#55638,#12683); #8831=LINE('',#55642,#12684); #8832=LINE('',#55646,#12685); #8833=LINE('',#55650,#12686); #8834=LINE('',#55654,#12687); #8835=LINE('',#55658,#12688); #8836=LINE('',#55662,#12689); #8837=LINE('',#55666,#12690); #8838=LINE('',#55670,#12691); #8839=LINE('',#55674,#12692); #8840=LINE('',#55677,#12693); #8841=LINE('',#55680,#12694); #8842=LINE('',#55682,#12695); #8843=LINE('',#55683,#12696); #8844=LINE('',#55687,#12697); #8845=LINE('',#55690,#12698); #8846=LINE('',#55691,#12699); #8847=LINE('',#55695,#12700); #8848=LINE('',#55698,#12701); #8849=LINE('',#55699,#12702); #8850=LINE('',#55703,#12703); #8851=LINE('',#55706,#12704); #8852=LINE('',#55707,#12705); #8853=LINE('',#55711,#12706); #8854=LINE('',#55714,#12707); #8855=LINE('',#55715,#12708); #8856=LINE('',#55719,#12709); #8857=LINE('',#55722,#12710); #8858=LINE('',#55723,#12711); #8859=LINE('',#55727,#12712); #8860=LINE('',#55730,#12713); #8861=LINE('',#55731,#12714); #8862=LINE('',#55735,#12715); #8863=LINE('',#55738,#12716); #8864=LINE('',#55739,#12717); #8865=LINE('',#55743,#12718); #8866=LINE('',#55746,#12719); #8867=LINE('',#55747,#12720); #8868=LINE('',#55751,#12721); #8869=LINE('',#55754,#12722); #8870=LINE('',#55755,#12723); #8871=LINE('',#55759,#12724); #8872=LINE('',#55762,#12725); #8873=LINE('',#55763,#12726); #8874=LINE('',#55767,#12727); #8875=LINE('',#55770,#12728); #8876=LINE('',#55771,#12729); #8877=LINE('',#55775,#12730); #8878=LINE('',#55778,#12731); #8879=LINE('',#55779,#12732); #8880=LINE('',#55783,#12733); #8881=LINE('',#55786,#12734); #8882=LINE('',#55787,#12735); #8883=LINE('',#55791,#12736); #8884=LINE('',#55794,#12737); #8885=LINE('',#55795,#12738); #8886=LINE('',#55799,#12739); #8887=LINE('',#55802,#12740); #8888=LINE('',#55803,#12741); #8889=LINE('',#55807,#12742); #8890=LINE('',#55810,#12743); #8891=LINE('',#55811,#12744); #8892=LINE('',#55815,#12745); #8893=LINE('',#55818,#12746); #8894=LINE('',#55819,#12747); #8895=LINE('',#55823,#12748); #8896=LINE('',#55826,#12749); #8897=LINE('',#55827,#12750); #8898=LINE('',#55831,#12751); #8899=LINE('',#55834,#12752); #8900=LINE('',#55835,#12753); #8901=LINE('',#55839,#12754); #8902=LINE('',#55842,#12755); #8903=LINE('',#55843,#12756); #8904=LINE('',#55847,#12757); #8905=LINE('',#55850,#12758); #8906=LINE('',#55851,#12759); #8907=LINE('',#55855,#12760); #8908=LINE('',#55858,#12761); #8909=LINE('',#55859,#12762); #8910=LINE('',#55863,#12763); #8911=LINE('',#55866,#12764); #8912=LINE('',#55867,#12765); #8913=LINE('',#55871,#12766); #8914=LINE('',#55874,#12767); #8915=LINE('',#55875,#12768); #8916=LINE('',#55879,#12769); #8917=LINE('',#55882,#12770); #8918=LINE('',#55883,#12771); #8919=LINE('',#55887,#12772); #8920=LINE('',#55890,#12773); #8921=LINE('',#55891,#12774); #8922=LINE('',#55895,#12775); #8923=LINE('',#55898,#12776); #8924=LINE('',#55899,#12777); #8925=LINE('',#55903,#12778); #8926=LINE('',#55906,#12779); #8927=LINE('',#55907,#12780); #8928=LINE('',#55911,#12781); #8929=LINE('',#55914,#12782); #8930=LINE('',#55915,#12783); #8931=LINE('',#55919,#12784); #8932=LINE('',#55922,#12785); #8933=LINE('',#55923,#12786); #8934=LINE('',#55927,#12787); #8935=LINE('',#55930,#12788); #8936=LINE('',#55931,#12789); #8937=LINE('',#55935,#12790); #8938=LINE('',#55938,#12791); #8939=LINE('',#55939,#12792); #8940=LINE('',#55943,#12793); #8941=LINE('',#55946,#12794); #8942=LINE('',#55947,#12795); #8943=LINE('',#55951,#12796); #8944=LINE('',#55954,#12797); #8945=LINE('',#55955,#12798); #8946=LINE('',#55959,#12799); #8947=LINE('',#55962,#12800); #8948=LINE('',#55963,#12801); #8949=LINE('',#55967,#12802); #8950=LINE('',#55970,#12803); #8951=LINE('',#55971,#12804); #8952=LINE('',#55975,#12805); #8953=LINE('',#55978,#12806); #8954=LINE('',#55979,#12807); #8955=LINE('',#55983,#12808); #8956=LINE('',#55986,#12809); #8957=LINE('',#55987,#12810); #8958=LINE('',#55991,#12811); #8959=LINE('',#55994,#12812); #8960=LINE('',#55995,#12813); #8961=LINE('',#55999,#12814); #8962=LINE('',#56002,#12815); #8963=LINE('',#56003,#12816); #8964=LINE('',#56007,#12817); #8965=LINE('',#56010,#12818); #8966=LINE('',#56011,#12819); #8967=LINE('',#56015,#12820); #8968=LINE('',#56018,#12821); #8969=LINE('',#56019,#12822); #8970=LINE('',#56023,#12823); #8971=LINE('',#56026,#12824); #8972=LINE('',#56027,#12825); #8973=LINE('',#56031,#12826); #8974=LINE('',#56034,#12827); #8975=LINE('',#56035,#12828); #8976=LINE('',#56039,#12829); #8977=LINE('',#56042,#12830); #8978=LINE('',#56043,#12831); #8979=LINE('',#56047,#12832); #8980=LINE('',#56050,#12833); #8981=LINE('',#56051,#12834); #8982=LINE('',#56055,#12835); #8983=LINE('',#56058,#12836); #8984=LINE('',#56059,#12837); #8985=LINE('',#56063,#12838); #8986=LINE('',#56066,#12839); #8987=LINE('',#56067,#12840); #8988=LINE('',#56071,#12841); #8989=LINE('',#56074,#12842); #8990=LINE('',#56075,#12843); #8991=LINE('',#56079,#12844); #8992=LINE('',#56082,#12845); #8993=LINE('',#56083,#12846); #8994=LINE('',#56087,#12847); #8995=LINE('',#56090,#12848); #8996=LINE('',#56091,#12849); #8997=LINE('',#56095,#12850); #8998=LINE('',#56098,#12851); #8999=LINE('',#56099,#12852); #9000=LINE('',#56103,#12853); #9001=LINE('',#56106,#12854); #9002=LINE('',#56107,#12855); #9003=LINE('',#56111,#12856); #9004=LINE('',#56114,#12857); #9005=LINE('',#56115,#12858); #9006=LINE('',#56119,#12859); #9007=LINE('',#56122,#12860); #9008=LINE('',#56123,#12861); #9009=LINE('',#56127,#12862); #9010=LINE('',#56130,#12863); #9011=LINE('',#56131,#12864); #9012=LINE('',#56135,#12865); #9013=LINE('',#56138,#12866); #9014=LINE('',#56139,#12867); #9015=LINE('',#56143,#12868); #9016=LINE('',#56146,#12869); #9017=LINE('',#56147,#12870); #9018=LINE('',#56151,#12871); #9019=LINE('',#56154,#12872); #9020=LINE('',#56155,#12873); #9021=LINE('',#56159,#12874); #9022=LINE('',#56162,#12875); #9023=LINE('',#56164,#12876); #9024=LINE('',#56165,#12877); #9025=LINE('',#56169,#12878); #9026=LINE('',#56172,#12879); #9027=LINE('',#56173,#12880); #9028=LINE('',#56179,#12881); #9029=LINE('',#56180,#12882); #9030=LINE('',#56182,#12883); #9031=LINE('',#56183,#12884); #9032=LINE('',#56186,#12885); #9033=LINE('',#56190,#12886); #9034=LINE('',#56194,#12887); #9035=LINE('',#56197,#12888); #9036=LINE('',#56208,#12889); #9037=LINE('',#56209,#12890); #9038=LINE('',#56212,#12891); #9039=LINE('',#56214,#12892); #9040=LINE('',#56215,#12893); #9041=LINE('',#56220,#12894); #9042=LINE('',#56226,#12895); #9043=LINE('',#56230,#12896); #9044=LINE('',#56236,#12897); #9045=LINE('',#56240,#12898); #9046=LINE('',#56246,#12899); #9047=LINE('',#56250,#12900); #9048=LINE('',#56254,#12901); #9049=LINE('',#56259,#12902); #9050=LINE('',#56260,#12903); #9051=LINE('',#56261,#12904); #9052=LINE('',#56265,#12905); #9053=LINE('',#56268,#12906); #9054=LINE('',#56270,#12907); #9055=LINE('',#56271,#12908); #9056=LINE('',#56277,#12909); #9057=LINE('',#56280,#12910); #9058=LINE('',#56282,#12911); #9059=LINE('',#56283,#12912); #9060=LINE('',#56289,#12913); #9061=LINE('',#56292,#12914); #9062=LINE('',#56294,#12915); #9063=LINE('',#56295,#12916); #9064=LINE('',#56302,#12917); #9065=LINE('',#56305,#12918); #9066=LINE('',#56306,#12919); #9067=LINE('',#56317,#12920); #9068=LINE('',#56322,#12921); #9069=LINE('',#56324,#12922); #9070=LINE('',#56326,#12923); #9071=LINE('',#56327,#12924); #9072=LINE('',#56330,#12925); #9073=LINE('',#56332,#12926); #9074=LINE('',#56333,#12927); #9075=LINE('',#56336,#12928); #9076=LINE('',#56338,#12929); #9077=LINE('',#56339,#12930); #9078=LINE('',#56342,#12931); #9079=LINE('',#56344,#12932); #9080=LINE('',#56345,#12933); #9081=LINE('',#56348,#12934); #9082=LINE('',#56350,#12935); #9083=LINE('',#56351,#12936); #9084=LINE('',#56354,#12937); #9085=LINE('',#56356,#12938); #9086=LINE('',#56357,#12939); #9087=LINE('',#56360,#12940); #9088=LINE('',#56362,#12941); #9089=LINE('',#56363,#12942); #9090=LINE('',#56366,#12943); #9091=LINE('',#56368,#12944); #9092=LINE('',#56369,#12945); #9093=LINE('',#56372,#12946); #9094=LINE('',#56374,#12947); #9095=LINE('',#56375,#12948); #9096=LINE('',#56378,#12949); #9097=LINE('',#56380,#12950); #9098=LINE('',#56381,#12951); #9099=LINE('',#56384,#12952); #9100=LINE('',#56386,#12953); #9101=LINE('',#56387,#12954); #9102=LINE('',#56389,#12955); #9103=LINE('',#56390,#12956); #9104=LINE('',#56396,#12957); #9105=LINE('',#56398,#12958); #9106=LINE('',#56400,#12959); #9107=LINE('',#56401,#12960); #9108=LINE('',#56404,#12961); #9109=LINE('',#56406,#12962); #9110=LINE('',#56407,#12963); #9111=LINE('',#56410,#12964); #9112=LINE('',#56412,#12965); #9113=LINE('',#56413,#12966); #9114=LINE('',#56415,#12967); #9115=LINE('',#56416,#12968); #9116=LINE('',#56421,#12969); #9117=LINE('',#56427,#12970); #9118=LINE('',#56432,#12971); #9119=LINE('',#56434,#12972); #9120=LINE('',#56436,#12973); #9121=LINE('',#56437,#12974); #9122=LINE('',#56440,#12975); #9123=LINE('',#56442,#12976); #9124=LINE('',#56443,#12977); #9125=LINE('',#56446,#12978); #9126=LINE('',#56448,#12979); #9127=LINE('',#56449,#12980); #9128=LINE('',#56452,#12981); #9129=LINE('',#56454,#12982); #9130=LINE('',#56455,#12983); #9131=LINE('',#56458,#12984); #9132=LINE('',#56460,#12985); #9133=LINE('',#56461,#12986); #9134=LINE('',#56464,#12987); #9135=LINE('',#56466,#12988); #9136=LINE('',#56467,#12989); #9137=LINE('',#56470,#12990); #9138=LINE('',#56472,#12991); #9139=LINE('',#56473,#12992); #9140=LINE('',#56476,#12993); #9141=LINE('',#56478,#12994); #9142=LINE('',#56479,#12995); #9143=LINE('',#56482,#12996); #9144=LINE('',#56484,#12997); #9145=LINE('',#56485,#12998); #9146=LINE('',#56488,#12999); #9147=LINE('',#56490,#13000); #9148=LINE('',#56491,#13001); #9149=LINE('',#56494,#13002); #9150=LINE('',#56496,#13003); #9151=LINE('',#56497,#13004); #9152=LINE('',#56499,#13005); #9153=LINE('',#56500,#13006); #9154=LINE('',#56507,#13007); #9155=LINE('',#56512,#13008); #9156=LINE('',#56514,#13009); #9157=LINE('',#56516,#13010); #9158=LINE('',#56517,#13011); #9159=LINE('',#56520,#13012); #9160=LINE('',#56522,#13013); #9161=LINE('',#56523,#13014); #9162=LINE('',#56526,#13015); #9163=LINE('',#56528,#13016); #9164=LINE('',#56529,#13017); #9165=LINE('',#56532,#13018); #9166=LINE('',#56534,#13019); #9167=LINE('',#56535,#13020); #9168=LINE('',#56538,#13021); #9169=LINE('',#56540,#13022); #9170=LINE('',#56541,#13023); #9171=LINE('',#56544,#13024); #9172=LINE('',#56546,#13025); #9173=LINE('',#56547,#13026); #9174=LINE('',#56550,#13027); #9175=LINE('',#56552,#13028); #9176=LINE('',#56553,#13029); #9177=LINE('',#56556,#13030); #9178=LINE('',#56558,#13031); #9179=LINE('',#56559,#13032); #9180=LINE('',#56562,#13033); #9181=LINE('',#56564,#13034); #9182=LINE('',#56565,#13035); #9183=LINE('',#56568,#13036); #9184=LINE('',#56570,#13037); #9185=LINE('',#56571,#13038); #9186=LINE('',#56574,#13039); #9187=LINE('',#56576,#13040); #9188=LINE('',#56577,#13041); #9189=LINE('',#56579,#13042); #9190=LINE('',#56580,#13043); #9191=LINE('',#56586,#13044); #9192=LINE('',#56588,#13045); #9193=LINE('',#56590,#13046); #9194=LINE('',#56591,#13047); #9195=LINE('',#56594,#13048); #9196=LINE('',#56596,#13049); #9197=LINE('',#56597,#13050); #9198=LINE('',#56600,#13051); #9199=LINE('',#56602,#13052); #9200=LINE('',#56603,#13053); #9201=LINE('',#56605,#13054); #9202=LINE('',#56606,#13055); #9203=LINE('',#56611,#13056); #9204=LINE('',#56617,#13057); #9205=LINE('',#56622,#13058); #9206=LINE('',#56624,#13059); #9207=LINE('',#56626,#13060); #9208=LINE('',#56627,#13061); #9209=LINE('',#56630,#13062); #9210=LINE('',#56632,#13063); #9211=LINE('',#56633,#13064); #9212=LINE('',#56636,#13065); #9213=LINE('',#56638,#13066); #9214=LINE('',#56639,#13067); #9215=LINE('',#56642,#13068); #9216=LINE('',#56644,#13069); #9217=LINE('',#56645,#13070); #9218=LINE('',#56648,#13071); #9219=LINE('',#56650,#13072); #9220=LINE('',#56651,#13073); #9221=LINE('',#56654,#13074); #9222=LINE('',#56656,#13075); #9223=LINE('',#56657,#13076); #9224=LINE('',#56660,#13077); #9225=LINE('',#56662,#13078); #9226=LINE('',#56663,#13079); #9227=LINE('',#56666,#13080); #9228=LINE('',#56668,#13081); #9229=LINE('',#56669,#13082); #9230=LINE('',#56672,#13083); #9231=LINE('',#56674,#13084); #9232=LINE('',#56675,#13085); #9233=LINE('',#56678,#13086); #9234=LINE('',#56680,#13087); #9235=LINE('',#56681,#13088); #9236=LINE('',#56684,#13089); #9237=LINE('',#56686,#13090); #9238=LINE('',#56687,#13091); #9239=LINE('',#56689,#13092); #9240=LINE('',#56690,#13093); #9241=LINE('',#56697,#13094); #9242=LINE('',#56702,#13095); #9243=LINE('',#56704,#13096); #9244=LINE('',#56706,#13097); #9245=LINE('',#56707,#13098); #9246=LINE('',#56710,#13099); #9247=LINE('',#56712,#13100); #9248=LINE('',#56713,#13101); #9249=LINE('',#56716,#13102); #9250=LINE('',#56718,#13103); #9251=LINE('',#56719,#13104); #9252=LINE('',#56721,#13105); #9253=LINE('',#56722,#13106); #9254=LINE('',#56727,#13107); #9255=LINE('',#56732,#13108); #9256=LINE('',#56734,#13109); #9257=LINE('',#56736,#13110); #9258=LINE('',#56737,#13111); #9259=LINE('',#56740,#13112); #9260=LINE('',#56742,#13113); #9261=LINE('',#56743,#13114); #9262=LINE('',#56746,#13115); #9263=LINE('',#56748,#13116); #9264=LINE('',#56749,#13117); #9265=LINE('',#56752,#13118); #9266=LINE('',#56754,#13119); #9267=LINE('',#56755,#13120); #9268=LINE('',#56758,#13121); #9269=LINE('',#56760,#13122); #9270=LINE('',#56761,#13123); #9271=LINE('',#56764,#13124); #9272=LINE('',#56766,#13125); #9273=LINE('',#56767,#13126); #9274=LINE('',#56770,#13127); #9275=LINE('',#56772,#13128); #9276=LINE('',#56773,#13129); #9277=LINE('',#56776,#13130); #9278=LINE('',#56778,#13131); #9279=LINE('',#56779,#13132); #9280=LINE('',#56782,#13133); #9281=LINE('',#56784,#13134); #9282=LINE('',#56785,#13135); #9283=LINE('',#56788,#13136); #9284=LINE('',#56790,#13137); #9285=LINE('',#56791,#13138); #9286=LINE('',#56794,#13139); #9287=LINE('',#56796,#13140); #9288=LINE('',#56797,#13141); #9289=LINE('',#56799,#13142); #9290=LINE('',#56800,#13143); #9291=LINE('',#56807,#13144); #9292=LINE('',#56813,#13145); #9293=LINE('',#56819,#13146); #9294=LINE('',#56825,#13147); #9295=LINE('',#56831,#13148); #9296=LINE('',#56837,#13149); #9297=LINE('',#56843,#13150); #9298=LINE('',#56849,#13151); #9299=LINE('',#56855,#13152); #9300=LINE('',#56861,#13153); #9301=LINE('',#56867,#13154); #9302=LINE('',#56873,#13155); #9303=LINE('',#56879,#13156); #9304=LINE('',#56884,#13157); #9305=LINE('',#56886,#13158); #9306=LINE('',#56888,#13159); #9307=LINE('',#56889,#13160); #9308=LINE('',#56892,#13161); #9309=LINE('',#56894,#13162); #9310=LINE('',#56895,#13163); #9311=LINE('',#56898,#13164); #9312=LINE('',#56900,#13165); #9313=LINE('',#56901,#13166); #9314=LINE('',#56904,#13167); #9315=LINE('',#56906,#13168); #9316=LINE('',#56907,#13169); #9317=LINE('',#56910,#13170); #9318=LINE('',#56912,#13171); #9319=LINE('',#56913,#13172); #9320=LINE('',#56916,#13173); #9321=LINE('',#56918,#13174); #9322=LINE('',#56919,#13175); #9323=LINE('',#56922,#13176); #9324=LINE('',#56924,#13177); #9325=LINE('',#56925,#13178); #9326=LINE('',#56928,#13179); #9327=LINE('',#56930,#13180); #9328=LINE('',#56931,#13181); #9329=LINE('',#56934,#13182); #9330=LINE('',#56936,#13183); #9331=LINE('',#56937,#13184); #9332=LINE('',#56940,#13185); #9333=LINE('',#56942,#13186); #9334=LINE('',#56943,#13187); #9335=LINE('',#56946,#13188); #9336=LINE('',#56948,#13189); #9337=LINE('',#56949,#13190); #9338=LINE('',#56952,#13191); #9339=LINE('',#56954,#13192); #9340=LINE('',#56955,#13193); #9341=LINE('',#56958,#13194); #9342=LINE('',#56960,#13195); #9343=LINE('',#56961,#13196); #9344=LINE('',#56964,#13197); #9345=LINE('',#56966,#13198); #9346=LINE('',#56967,#13199); #9347=LINE('',#56970,#13200); #9348=LINE('',#56972,#13201); #9349=LINE('',#56973,#13202); #9350=LINE('',#56975,#13203); #9351=LINE('',#56976,#13204); #9352=LINE('',#56983,#13205); #9353=LINE('',#56989,#13206); #9354=LINE('',#56995,#13207); #9355=LINE('',#57001,#13208); #9356=LINE('',#57007,#13209); #9357=LINE('',#57013,#13210); #9358=LINE('',#57019,#13211); #9359=LINE('',#57025,#13212); #9360=LINE('',#57031,#13213); #9361=LINE('',#57037,#13214); #9362=LINE('',#57043,#13215); #9363=LINE('',#57049,#13216); #9364=LINE('',#57055,#13217); #9365=LINE('',#57060,#13218); #9366=LINE('',#57062,#13219); #9367=LINE('',#57064,#13220); #9368=LINE('',#57065,#13221); #9369=LINE('',#57068,#13222); #9370=LINE('',#57070,#13223); #9371=LINE('',#57071,#13224); #9372=LINE('',#57074,#13225); #9373=LINE('',#57076,#13226); #9374=LINE('',#57077,#13227); #9375=LINE('',#57080,#13228); #9376=LINE('',#57082,#13229); #9377=LINE('',#57083,#13230); #9378=LINE('',#57086,#13231); #9379=LINE('',#57088,#13232); #9380=LINE('',#57089,#13233); #9381=LINE('',#57092,#13234); #9382=LINE('',#57094,#13235); #9383=LINE('',#57095,#13236); #9384=LINE('',#57098,#13237); #9385=LINE('',#57100,#13238); #9386=LINE('',#57101,#13239); #9387=LINE('',#57104,#13240); #9388=LINE('',#57106,#13241); #9389=LINE('',#57107,#13242); #9390=LINE('',#57110,#13243); #9391=LINE('',#57112,#13244); #9392=LINE('',#57113,#13245); #9393=LINE('',#57116,#13246); #9394=LINE('',#57118,#13247); #9395=LINE('',#57119,#13248); #9396=LINE('',#57122,#13249); #9397=LINE('',#57124,#13250); #9398=LINE('',#57125,#13251); #9399=LINE('',#57128,#13252); #9400=LINE('',#57130,#13253); #9401=LINE('',#57131,#13254); #9402=LINE('',#57134,#13255); #9403=LINE('',#57136,#13256); #9404=LINE('',#57137,#13257); #9405=LINE('',#57140,#13258); #9406=LINE('',#57142,#13259); #9407=LINE('',#57143,#13260); #9408=LINE('',#57146,#13261); #9409=LINE('',#57148,#13262); #9410=LINE('',#57149,#13263); #9411=LINE('',#57151,#13264); #9412=LINE('',#57152,#13265); #9413=LINE('',#57159,#13266); #9414=LINE('',#57165,#13267); #9415=LINE('',#57171,#13268); #9416=LINE('',#57177,#13269); #9417=LINE('',#57183,#13270); #9418=LINE('',#57189,#13271); #9419=LINE('',#57195,#13272); #9420=LINE('',#57201,#13273); #9421=LINE('',#57207,#13274); #9422=LINE('',#57213,#13275); #9423=LINE('',#57219,#13276); #9424=LINE('',#57224,#13277); #9425=LINE('',#57226,#13278); #9426=LINE('',#57228,#13279); #9427=LINE('',#57229,#13280); #9428=LINE('',#57232,#13281); #9429=LINE('',#57234,#13282); #9430=LINE('',#57235,#13283); #9431=LINE('',#57241,#13284); #9432=LINE('',#57244,#13285); #9433=LINE('',#57246,#13286); #9434=LINE('',#57247,#13287); #9435=LINE('',#57253,#13288); #9436=LINE('',#57255,#13289); #9437=LINE('',#57256,#13290); #9438=LINE('',#57262,#13291); #9439=LINE('',#57264,#13292); #9440=LINE('',#57266,#13293); #9441=LINE('',#57267,#13294); #9442=LINE('',#57270,#13295); #9443=LINE('',#57272,#13296); #9444=LINE('',#57273,#13297); #9445=LINE('',#57276,#13298); #9446=LINE('',#57278,#13299); #9447=LINE('',#57279,#13300); #9448=LINE('',#57281,#13301); #9449=LINE('',#57282,#13302); #9450=LINE('',#57288,#13303); #9451=LINE('',#57290,#13304); #9452=LINE('',#57292,#13305); #9453=LINE('',#57293,#13306); #9454=LINE('',#57296,#13307); #9455=LINE('',#57298,#13308); #9456=LINE('',#57299,#13309); #9457=LINE('',#57302,#13310); #9458=LINE('',#57304,#13311); #9459=LINE('',#57305,#13312); #9460=LINE('',#57307,#13313); #9461=LINE('',#57308,#13314); #9462=LINE('',#57313,#13315); #9463=LINE('',#57318,#13316); #9464=LINE('',#57320,#13317); #9465=LINE('',#57322,#13318); #9466=LINE('',#57323,#13319); #9467=LINE('',#57326,#13320); #9468=LINE('',#57328,#13321); #9469=LINE('',#57329,#13322); #9470=LINE('',#57332,#13323); #9471=LINE('',#57334,#13324); #9472=LINE('',#57335,#13325); #9473=LINE('',#57337,#13326); #9474=LINE('',#57338,#13327); #9475=LINE('',#57342,#13328); #9476=LINE('',#57344,#13329); #9477=LINE('',#57346,#13330); #9478=LINE('',#57347,#13331); #9479=LINE('',#57350,#13332); #9480=LINE('',#57352,#13333); #9481=LINE('',#57353,#13334); #9482=LINE('',#57356,#13335); #9483=LINE('',#57358,#13336); #9484=LINE('',#57359,#13337); #9485=LINE('',#57361,#13338); #9486=LINE('',#57362,#13339); #9487=LINE('',#57366,#13340); #9488=LINE('',#57368,#13341); #9489=LINE('',#57370,#13342); #9490=LINE('',#57371,#13343); #9491=LINE('',#57374,#13344); #9492=LINE('',#57376,#13345); #9493=LINE('',#57377,#13346); #9494=LINE('',#57380,#13347); #9495=LINE('',#57382,#13348); #9496=LINE('',#57383,#13349); #9497=LINE('',#57386,#13350); #9498=LINE('',#57388,#13351); #9499=LINE('',#57389,#13352); #9500=LINE('',#57392,#13353); #9501=LINE('',#57394,#13354); #9502=LINE('',#57395,#13355); #9503=LINE('',#57398,#13356); #9504=LINE('',#57400,#13357); #9505=LINE('',#57401,#13358); #9506=LINE('',#57404,#13359); #9507=LINE('',#57406,#13360); #9508=LINE('',#57407,#13361); #9509=LINE('',#57410,#13362); #9510=LINE('',#57412,#13363); #9511=LINE('',#57413,#13364); #9512=LINE('',#57416,#13365); #9513=LINE('',#57418,#13366); #9514=LINE('',#57419,#13367); #9515=LINE('',#57422,#13368); #9516=LINE('',#57424,#13369); #9517=LINE('',#57425,#13370); #9518=LINE('',#57428,#13371); #9519=LINE('',#57430,#13372); #9520=LINE('',#57431,#13373); #9521=LINE('',#57434,#13374); #9522=LINE('',#57436,#13375); #9523=LINE('',#57437,#13376); #9524=LINE('',#57440,#13377); #9525=LINE('',#57442,#13378); #9526=LINE('',#57443,#13379); #9527=LINE('',#57446,#13380); #9528=LINE('',#57448,#13381); #9529=LINE('',#57449,#13382); #9530=LINE('',#57452,#13383); #9531=LINE('',#57454,#13384); #9532=LINE('',#57455,#13385); #9533=LINE('',#57458,#13386); #9534=LINE('',#57460,#13387); #9535=LINE('',#57461,#13388); #9536=LINE('',#57464,#13389); #9537=LINE('',#57466,#13390); #9538=LINE('',#57467,#13391); #9539=LINE('',#57470,#13392); #9540=LINE('',#57472,#13393); #9541=LINE('',#57473,#13394); #9542=LINE('',#57476,#13395); #9543=LINE('',#57478,#13396); #9544=LINE('',#57479,#13397); #9545=LINE('',#57482,#13398); #9546=LINE('',#57484,#13399); #9547=LINE('',#57485,#13400); #9548=LINE('',#57488,#13401); #9549=LINE('',#57490,#13402); #9550=LINE('',#57491,#13403); #9551=LINE('',#57494,#13404); #9552=LINE('',#57496,#13405); #9553=LINE('',#57497,#13406); #9554=LINE('',#57500,#13407); #9555=LINE('',#57502,#13408); #9556=LINE('',#57503,#13409); #9557=LINE('',#57506,#13410); #9558=LINE('',#57508,#13411); #9559=LINE('',#57509,#13412); #9560=LINE('',#57512,#13413); #9561=LINE('',#57514,#13414); #9562=LINE('',#57515,#13415); #9563=LINE('',#57518,#13416); #9564=LINE('',#57520,#13417); #9565=LINE('',#57521,#13418); #9566=LINE('',#57524,#13419); #9567=LINE('',#57526,#13420); #9568=LINE('',#57527,#13421); #9569=LINE('',#57530,#13422); #9570=LINE('',#57532,#13423); #9571=LINE('',#57533,#13424); #9572=LINE('',#57536,#13425); #9573=LINE('',#57538,#13426); #9574=LINE('',#57539,#13427); #9575=LINE('',#57542,#13428); #9576=LINE('',#57544,#13429); #9577=LINE('',#57545,#13430); #9578=LINE('',#57548,#13431); #9579=LINE('',#57550,#13432); #9580=LINE('',#57551,#13433); #9581=LINE('',#57554,#13434); #9582=LINE('',#57556,#13435); #9583=LINE('',#57557,#13436); #9584=LINE('',#57560,#13437); #9585=LINE('',#57562,#13438); #9586=LINE('',#57563,#13439); #9587=LINE('',#57566,#13440); #9588=LINE('',#57568,#13441); #9589=LINE('',#57569,#13442); #9590=LINE('',#57572,#13443); #9591=LINE('',#57574,#13444); #9592=LINE('',#57575,#13445); #9593=LINE('',#57577,#13446); #9594=LINE('',#57578,#13447); #9595=LINE('',#57584,#13448); #9596=LINE('',#57586,#13449); #9597=LINE('',#57588,#13450); #9598=LINE('',#57589,#13451); #9599=LINE('',#57592,#13452); #9600=LINE('',#57594,#13453); #9601=LINE('',#57595,#13454); #9602=LINE('',#57598,#13455); #9603=LINE('',#57600,#13456); #9604=LINE('',#57601,#13457); #9605=LINE('',#57603,#13458); #9606=LINE('',#57604,#13459); #9607=LINE('',#57608,#13460); #9608=LINE('',#57610,#13461); #9609=LINE('',#57612,#13462); #9610=LINE('',#57613,#13463); #9611=LINE('',#57616,#13464); #9612=LINE('',#57618,#13465); #9613=LINE('',#57619,#13466); #9614=LINE('',#57622,#13467); #9615=LINE('',#57624,#13468); #9616=LINE('',#57625,#13469); #9617=LINE('',#57627,#13470); #9618=LINE('',#57628,#13471); #9619=LINE('',#57632,#13472); #9620=LINE('',#57634,#13473); #9621=LINE('',#57636,#13474); #9622=LINE('',#57637,#13475); #9623=LINE('',#57643,#13476); #9624=LINE('',#57646,#13477); #9625=LINE('',#57648,#13478); #9626=LINE('',#57649,#13479); #9627=LINE('',#57655,#13480); #9628=LINE('',#57658,#13481); #9629=LINE('',#57660,#13482); #9630=LINE('',#57661,#13483); #9631=LINE('',#57667,#13484); #9632=LINE('',#57670,#13485); #9633=LINE('',#57672,#13486); #9634=LINE('',#57673,#13487); #9635=LINE('',#57676,#13488); #9636=LINE('',#57678,#13489); #9637=LINE('',#57679,#13490); #9638=LINE('',#57682,#13491); #9639=LINE('',#57684,#13492); #9640=LINE('',#57685,#13493); #9641=LINE('',#57688,#13494); #9642=LINE('',#57690,#13495); #9643=LINE('',#57691,#13496); #9644=LINE('',#57697,#13497); #9645=LINE('',#57700,#13498); #9646=LINE('',#57702,#13499); #9647=LINE('',#57703,#13500); #9648=LINE('',#57709,#13501); #9649=LINE('',#57712,#13502); #9650=LINE('',#57714,#13503); #9651=LINE('',#57715,#13504); #9652=LINE('',#57718,#13505); #9653=LINE('',#57720,#13506); #9654=LINE('',#57721,#13507); #9655=LINE('',#57724,#13508); #9656=LINE('',#57726,#13509); #9657=LINE('',#57727,#13510); #9658=LINE('',#57730,#13511); #9659=LINE('',#57732,#13512); #9660=LINE('',#57733,#13513); #9661=LINE('',#57743,#13514); #9662=LINE('',#57748,#13515); #9663=LINE('',#57750,#13516); #9664=LINE('',#57752,#13517); #9665=LINE('',#57753,#13518); #9666=LINE('',#57756,#13519); #9667=LINE('',#57758,#13520); #9668=LINE('',#57759,#13521); #9669=LINE('',#57762,#13522); #9670=LINE('',#57764,#13523); #9671=LINE('',#57765,#13524); #9672=LINE('',#57768,#13525); #9673=LINE('',#57770,#13526); #9674=LINE('',#57771,#13527); #9675=LINE('',#57774,#13528); #9676=LINE('',#57776,#13529); #9677=LINE('',#57777,#13530); #9678=LINE('',#57780,#13531); #9679=LINE('',#57782,#13532); #9680=LINE('',#57783,#13533); #9681=LINE('',#57786,#13534); #9682=LINE('',#57788,#13535); #9683=LINE('',#57789,#13536); #9684=LINE('',#57792,#13537); #9685=LINE('',#57794,#13538); #9686=LINE('',#57795,#13539); #9687=LINE('',#57798,#13540); #9688=LINE('',#57800,#13541); #9689=LINE('',#57801,#13542); #9690=LINE('',#57804,#13543); #9691=LINE('',#57806,#13544); #9692=LINE('',#57807,#13545); #9693=LINE('',#57810,#13546); #9694=LINE('',#57812,#13547); #9695=LINE('',#57813,#13548); #9696=LINE('',#57815,#13549); #9697=LINE('',#57816,#13550); #9698=LINE('',#57822,#13551); #9699=LINE('',#57824,#13552); #9700=LINE('',#57826,#13553); #9701=LINE('',#57827,#13554); #9702=LINE('',#57830,#13555); #9703=LINE('',#57832,#13556); #9704=LINE('',#57833,#13557); #9705=LINE('',#57836,#13558); #9706=LINE('',#57838,#13559); #9707=LINE('',#57839,#13560); #9708=LINE('',#57841,#13561); #9709=LINE('',#57842,#13562); #9710=LINE('',#57846,#13563); #9711=LINE('',#57848,#13564); #9712=LINE('',#57850,#13565); #9713=LINE('',#57851,#13566); #9714=LINE('',#57854,#13567); #9715=LINE('',#57856,#13568); #9716=LINE('',#57857,#13569); #9717=LINE('',#57860,#13570); #9718=LINE('',#57862,#13571); #9719=LINE('',#57863,#13572); #9720=LINE('',#57865,#13573); #9721=LINE('',#57866,#13574); #9722=LINE('',#57870,#13575); #9723=LINE('',#57872,#13576); #9724=LINE('',#57874,#13577); #9725=LINE('',#57875,#13578); #9726=LINE('',#57881,#13579); #9727=LINE('',#57884,#13580); #9728=LINE('',#57886,#13581); #9729=LINE('',#57887,#13582); #9730=LINE('',#57893,#13583); #9731=LINE('',#57896,#13584); #9732=LINE('',#57898,#13585); #9733=LINE('',#57899,#13586); #9734=LINE('',#57905,#13587); #9735=LINE('',#57908,#13588); #9736=LINE('',#57910,#13589); #9737=LINE('',#57911,#13590); #9738=LINE('',#57914,#13591); #9739=LINE('',#57916,#13592); #9740=LINE('',#57917,#13593); #9741=LINE('',#57920,#13594); #9742=LINE('',#57922,#13595); #9743=LINE('',#57923,#13596); #9744=LINE('',#57926,#13597); #9745=LINE('',#57928,#13598); #9746=LINE('',#57929,#13599); #9747=LINE('',#57935,#13600); #9748=LINE('',#57938,#13601); #9749=LINE('',#57940,#13602); #9750=LINE('',#57941,#13603); #9751=LINE('',#57947,#13604); #9752=LINE('',#57950,#13605); #9753=LINE('',#57952,#13606); #9754=LINE('',#57953,#13607); #9755=LINE('',#57956,#13608); #9756=LINE('',#57958,#13609); #9757=LINE('',#57959,#13610); #9758=LINE('',#57962,#13611); #9759=LINE('',#57964,#13612); #9760=LINE('',#57965,#13613); #9761=LINE('',#57968,#13614); #9762=LINE('',#57970,#13615); #9763=LINE('',#57971,#13616); #9764=LINE('',#57981,#13617); #9765=LINE('',#57986,#13618); #9766=LINE('',#57988,#13619); #9767=LINE('',#57990,#13620); #9768=LINE('',#57991,#13621); #9769=LINE('',#57994,#13622); #9770=LINE('',#57996,#13623); #9771=LINE('',#57997,#13624); #9772=LINE('',#58000,#13625); #9773=LINE('',#58002,#13626); #9774=LINE('',#58003,#13627); #9775=LINE('',#58006,#13628); #9776=LINE('',#58008,#13629); #9777=LINE('',#58009,#13630); #9778=LINE('',#58012,#13631); #9779=LINE('',#58014,#13632); #9780=LINE('',#58015,#13633); #9781=LINE('',#58018,#13634); #9782=LINE('',#58020,#13635); #9783=LINE('',#58021,#13636); #9784=LINE('',#58024,#13637); #9785=LINE('',#58026,#13638); #9786=LINE('',#58027,#13639); #9787=LINE('',#58030,#13640); #9788=LINE('',#58032,#13641); #9789=LINE('',#58033,#13642); #9790=LINE('',#58036,#13643); #9791=LINE('',#58038,#13644); #9792=LINE('',#58039,#13645); #9793=LINE('',#58042,#13646); #9794=LINE('',#58044,#13647); #9795=LINE('',#58045,#13648); #9796=LINE('',#58048,#13649); #9797=LINE('',#58050,#13650); #9798=LINE('',#58051,#13651); #9799=LINE('',#58053,#13652); #9800=LINE('',#58054,#13653); #9801=VECTOR('',#38322,0.125); #9802=VECTOR('',#38327,0.393700787401575); #9803=VECTOR('',#38328,0.393700787401575); #9804=VECTOR('',#38329,0.393700787401575); #9805=VECTOR('',#38330,0.393700787401575); #9806=VECTOR('',#38333,0.393700787401575); #9807=VECTOR('',#38334,0.393700787401575); #9808=VECTOR('',#38335,0.393700787401575); #9809=VECTOR('',#38338,0.393700787401575); #9810=VECTOR('',#38339,0.393700787401575); #9811=VECTOR('',#38340,0.393700787401575); #9812=VECTOR('',#38343,0.393700787401575); #9813=VECTOR('',#38344,0.393700787401575); #9814=VECTOR('',#38345,0.393700787401575); #9815=VECTOR('',#38348,0.393700787401575); #9816=VECTOR('',#38349,0.393700787401575); #9817=VECTOR('',#38350,0.393700787401575); #9818=VECTOR('',#38353,0.393700787401575); #9819=VECTOR('',#38354,0.393700787401575); #9820=VECTOR('',#38355,0.393700787401575); #9821=VECTOR('',#38358,0.393700787401575); #9822=VECTOR('',#38359,0.393700787401575); #9823=VECTOR('',#38360,0.393700787401575); #9824=VECTOR('',#38375,0.125); #9825=VECTOR('',#38380,0.393700787401575); #9826=VECTOR('',#38381,0.393700787401575); #9827=VECTOR('',#38382,0.393700787401575); #9828=VECTOR('',#38383,0.393700787401575); #9829=VECTOR('',#38386,0.393700787401575); #9830=VECTOR('',#38387,0.393700787401575); #9831=VECTOR('',#38388,0.393700787401575); #9832=VECTOR('',#38391,0.393700787401575); #9833=VECTOR('',#38392,0.393700787401575); #9834=VECTOR('',#38393,0.393700787401575); #9835=VECTOR('',#38396,0.393700787401575); #9836=VECTOR('',#38397,0.393700787401575); #9837=VECTOR('',#38398,0.393700787401575); #9838=VECTOR('',#38401,0.393700787401575); #9839=VECTOR('',#38402,0.393700787401575); #9840=VECTOR('',#38403,0.393700787401575); #9841=VECTOR('',#38406,0.393700787401575); #9842=VECTOR('',#38407,0.393700787401575); #9843=VECTOR('',#38408,0.393700787401575); #9844=VECTOR('',#38411,0.393700787401575); #9845=VECTOR('',#38412,0.393700787401575); #9846=VECTOR('',#38413,0.393700787401575); #9847=VECTOR('',#38434,0.125); #9848=VECTOR('',#38439,1.475); #9849=VECTOR('',#38444,0.393700787401575); #9850=VECTOR('',#38445,0.393700787401575); #9851=VECTOR('',#38446,0.393700787401575); #9852=VECTOR('',#38447,0.393700787401575); #9853=VECTOR('',#38452,0.65); #9854=VECTOR('',#38459,0.393700787401575); #9855=VECTOR('',#38462,0.393700787401575); #9856=VECTOR('',#38465,0.393700787401575); #9857=VECTOR('',#38466,0.393700787401575); #9858=VECTOR('',#38467,0.393700787401575); #9859=VECTOR('',#38470,0.393700787401575); #9860=VECTOR('',#38471,0.393700787401575); #9861=VECTOR('',#38472,0.393700787401575); #9862=VECTOR('',#38475,0.393700787401575); #9863=VECTOR('',#38476,0.393700787401575); #9864=VECTOR('',#38477,0.393700787401575); #9865=VECTOR('',#38480,0.393700787401575); #9866=VECTOR('',#38481,0.393700787401575); #9867=VECTOR('',#38482,0.393700787401575); #9868=VECTOR('',#38485,0.393700787401575); #9869=VECTOR('',#38486,0.393700787401575); #9870=VECTOR('',#38487,0.393700787401575); #9871=VECTOR('',#38490,0.393700787401575); #9872=VECTOR('',#38491,0.393700787401575); #9873=VECTOR('',#38492,0.393700787401575); #9874=VECTOR('',#38495,0.393700787401575); #9875=VECTOR('',#38496,0.393700787401575); #9876=VECTOR('',#38497,0.393700787401575); #9877=VECTOR('',#38500,0.393700787401575); #9878=VECTOR('',#38501,0.393700787401575); #9879=VECTOR('',#38502,0.393700787401575); #9880=VECTOR('',#38505,0.393700787401575); #9881=VECTOR('',#38506,0.393700787401575); #9882=VECTOR('',#38507,0.393700787401575); #9883=VECTOR('',#38510,0.393700787401575); #9884=VECTOR('',#38511,0.393700787401575); #9885=VECTOR('',#38512,0.393700787401575); #9886=VECTOR('',#38515,0.393700787401575); #9887=VECTOR('',#38516,0.393700787401575); #9888=VECTOR('',#38517,0.393700787401575); #9889=VECTOR('',#38520,0.393700787401575); #9890=VECTOR('',#38521,0.393700787401575); #9891=VECTOR('',#38522,0.393700787401575); #9892=VECTOR('',#38525,0.393700787401575); #9893=VECTOR('',#38526,0.393700787401575); #9894=VECTOR('',#38527,0.393700787401575); #9895=VECTOR('',#38530,0.393700787401575); #9896=VECTOR('',#38531,0.393700787401575); #9897=VECTOR('',#38532,0.393700787401575); #9898=VECTOR('',#38535,0.393700787401575); #9899=VECTOR('',#38536,0.393700787401575); #9900=VECTOR('',#38539,0.393700787401575); #9901=VECTOR('',#38540,0.393700787401575); #9902=VECTOR('',#38541,0.393700787401575); #9903=VECTOR('',#38544,0.393700787401575); #9904=VECTOR('',#38545,0.393700787401575); #9905=VECTOR('',#38546,0.393700787401575); #9906=VECTOR('',#38549,0.393700787401575); #9907=VECTOR('',#38550,0.393700787401575); #9908=VECTOR('',#38551,0.393700787401575); #9909=VECTOR('',#38554,0.393700787401575); #9910=VECTOR('',#38555,0.393700787401575); #9911=VECTOR('',#38556,0.393700787401575); #9912=VECTOR('',#38559,0.393700787401575); #9913=VECTOR('',#38560,0.393700787401575); #9914=VECTOR('',#38561,0.393700787401575); #9915=VECTOR('',#38564,0.393700787401575); #9916=VECTOR('',#38565,0.393700787401575); #9917=VECTOR('',#38566,0.393700787401575); #9918=VECTOR('',#38569,0.393700787401575); #9919=VECTOR('',#38570,0.393700787401575); #9920=VECTOR('',#38571,0.393700787401575); #9921=VECTOR('',#38574,0.393700787401575); #9922=VECTOR('',#38575,0.393700787401575); #9923=VECTOR('',#38576,0.393700787401575); #9924=VECTOR('',#38579,0.393700787401575); #9925=VECTOR('',#38580,0.393700787401575); #9926=VECTOR('',#38581,0.393700787401575); #9927=VECTOR('',#38584,0.393700787401575); #9928=VECTOR('',#38585,0.393700787401575); #9929=VECTOR('',#38586,0.393700787401575); #9930=VECTOR('',#38589,0.393700787401575); #9931=VECTOR('',#38590,0.393700787401575); #9932=VECTOR('',#38591,0.393700787401575); #9933=VECTOR('',#38594,0.393700787401575); #9934=VECTOR('',#38595,0.393700787401575); #9935=VECTOR('',#38596,0.393700787401575); #9936=VECTOR('',#38599,0.393700787401575); #9937=VECTOR('',#38600,0.393700787401575); #9938=VECTOR('',#38601,0.393700787401575); #9939=VECTOR('',#38604,0.393700787401575); #9940=VECTOR('',#38605,0.393700787401575); #9941=VECTOR('',#38606,0.393700787401575); #9942=VECTOR('',#38609,0.393700787401575); #9943=VECTOR('',#38610,0.393700787401575); #9944=VECTOR('',#38611,0.393700787401575); #9945=VECTOR('',#38618,0.393700787401575); #9946=VECTOR('',#38621,0.393700787401575); #9947=VECTOR('',#38622,0.393700787401575); #9948=VECTOR('',#38629,0.393700787401575); #9949=VECTOR('',#38630,0.393700787401575); #9950=VECTOR('',#38631,0.393700787401575); #9951=VECTOR('',#38632,0.393700787401575); #9952=VECTOR('',#38635,0.393700787401575); #9953=VECTOR('',#38636,0.393700787401575); #9954=VECTOR('',#38637,0.393700787401575); #9955=VECTOR('',#38640,0.393700787401575); #9956=VECTOR('',#38641,0.393700787401575); #9957=VECTOR('',#38642,0.393700787401575); #9958=VECTOR('',#38649,0.393700787401575); #9959=VECTOR('',#38652,0.393700787401575); #9960=VECTOR('',#38653,0.393700787401575); #9961=VECTOR('',#38654,0.393700787401575); #9962=VECTOR('',#38661,0.393700787401575); #9963=VECTOR('',#38664,0.393700787401575); #9964=VECTOR('',#38665,0.393700787401575); #9965=VECTOR('',#38666,0.393700787401575); #9966=VECTOR('',#38669,0.393700787401575); #9967=VECTOR('',#38670,0.393700787401575); #9968=VECTOR('',#38671,0.393700787401575); #9969=VECTOR('',#38674,0.393700787401575); #9970=VECTOR('',#38675,0.393700787401575); #9971=VECTOR('',#38676,0.393700787401575); #9972=VECTOR('',#38679,0.393700787401575); #9973=VECTOR('',#38680,0.393700787401575); #9974=VECTOR('',#38681,0.393700787401575); #9975=VECTOR('',#38684,0.393700787401575); #9976=VECTOR('',#38685,0.393700787401575); #9977=VECTOR('',#38686,0.393700787401575); #9978=VECTOR('',#38689,0.393700787401575); #9979=VECTOR('',#38690,0.393700787401575); #9980=VECTOR('',#38691,0.393700787401575); #9981=VECTOR('',#38694,0.393700787401575); #9982=VECTOR('',#38695,0.393700787401575); #9983=VECTOR('',#38696,0.393700787401575); #9984=VECTOR('',#38699,0.393700787401575); #9985=VECTOR('',#38700,0.393700787401575); #9986=VECTOR('',#38701,0.393700787401575); #9987=VECTOR('',#38704,0.393700787401575); #9988=VECTOR('',#38705,0.393700787401575); #9989=VECTOR('',#38706,0.393700787401575); #9990=VECTOR('',#38709,0.393700787401575); #9991=VECTOR('',#38710,0.393700787401575); #9992=VECTOR('',#38711,0.393700787401575); #9993=VECTOR('',#38714,0.393700787401575); #9994=VECTOR('',#38715,0.393700787401575); #9995=VECTOR('',#38716,0.393700787401575); #9996=VECTOR('',#38719,0.393700787401575); #9997=VECTOR('',#38720,0.393700787401575); #9998=VECTOR('',#38727,0.393700787401575); #9999=VECTOR('',#38728,0.393700787401575); #10000=VECTOR('',#38729,0.393700787401575); #10001=VECTOR('',#38730,0.393700787401575); #10002=VECTOR('',#38733,0.393700787401575); #10003=VECTOR('',#38734,0.393700787401575); #10004=VECTOR('',#38735,0.393700787401575); #10005=VECTOR('',#38738,0.393700787401575); #10006=VECTOR('',#38739,0.393700787401575); #10007=VECTOR('',#38740,0.393700787401575); #10008=VECTOR('',#38743,0.393700787401575); #10009=VECTOR('',#38744,0.393700787401575); #10010=VECTOR('',#38745,0.393700787401575); #10011=VECTOR('',#38748,0.393700787401575); #10012=VECTOR('',#38749,0.393700787401575); #10013=VECTOR('',#38750,0.393700787401575); #10014=VECTOR('',#38753,0.393700787401575); #10015=VECTOR('',#38754,0.393700787401575); #10016=VECTOR('',#38755,0.393700787401575); #10017=VECTOR('',#38758,0.393700787401575); #10018=VECTOR('',#38759,0.393700787401575); #10019=VECTOR('',#38760,0.393700787401575); #10020=VECTOR('',#38763,0.393700787401575); #10021=VECTOR('',#38764,0.393700787401575); #10022=VECTOR('',#38765,0.393700787401575); #10023=VECTOR('',#38768,0.393700787401575); #10024=VECTOR('',#38769,0.393700787401575); #10025=VECTOR('',#38770,0.393700787401575); #10026=VECTOR('',#38773,0.393700787401575); #10027=VECTOR('',#38774,0.393700787401575); #10028=VECTOR('',#38775,0.393700787401575); #10029=VECTOR('',#38778,0.393700787401575); #10030=VECTOR('',#38779,0.393700787401575); #10031=VECTOR('',#38780,0.393700787401575); #10032=VECTOR('',#38783,0.393700787401575); #10033=VECTOR('',#38784,0.393700787401575); #10034=VECTOR('',#38785,0.393700787401575); #10035=VECTOR('',#38788,0.393700787401575); #10036=VECTOR('',#38789,0.393700787401575); #10037=VECTOR('',#38790,0.393700787401575); #10038=VECTOR('',#38793,0.393700787401575); #10039=VECTOR('',#38794,0.393700787401575); #10040=VECTOR('',#38795,0.393700787401575); #10041=VECTOR('',#38798,0.393700787401575); #10042=VECTOR('',#38799,0.393700787401575); #10043=VECTOR('',#38800,0.393700787401575); #10044=VECTOR('',#38803,0.393700787401575); #10045=VECTOR('',#38804,0.393700787401575); #10046=VECTOR('',#38805,0.393700787401575); #10047=VECTOR('',#38808,0.393700787401575); #10048=VECTOR('',#38809,0.393700787401575); #10049=VECTOR('',#38810,0.393700787401575); #10050=VECTOR('',#38817,0.393700787401575); #10051=VECTOR('',#38820,0.393700787401575); #10052=VECTOR('',#38821,0.393700787401575); #10053=VECTOR('',#38822,0.393700787401575); #10054=VECTOR('',#38825,0.393700787401575); #10055=VECTOR('',#38826,0.393700787401575); #10056=VECTOR('',#38827,0.393700787401575); #10057=VECTOR('',#38830,0.393700787401575); #10058=VECTOR('',#38831,0.393700787401575); #10059=VECTOR('',#38832,0.393700787401575); #10060=VECTOR('',#38835,0.393700787401575); #10061=VECTOR('',#38836,0.393700787401575); #10062=VECTOR('',#38837,0.393700787401575); #10063=VECTOR('',#38840,0.393700787401575); #10064=VECTOR('',#38841,0.393700787401575); #10065=VECTOR('',#38842,0.393700787401575); #10066=VECTOR('',#38845,0.393700787401575); #10067=VECTOR('',#38846,0.393700787401575); #10068=VECTOR('',#38847,0.393700787401575); #10069=VECTOR('',#38850,0.393700787401575); #10070=VECTOR('',#38851,0.393700787401575); #10071=VECTOR('',#38852,0.393700787401575); #10072=VECTOR('',#38855,0.393700787401575); #10073=VECTOR('',#38856,0.393700787401575); #10074=VECTOR('',#38857,0.393700787401575); #10075=VECTOR('',#38860,0.393700787401575); #10076=VECTOR('',#38861,0.393700787401575); #10077=VECTOR('',#38862,0.393700787401575); #10078=VECTOR('',#38865,0.393700787401575); #10079=VECTOR('',#38866,0.393700787401575); #10080=VECTOR('',#38867,0.393700787401575); #10081=VECTOR('',#38870,0.393700787401575); #10082=VECTOR('',#38871,0.393700787401575); #10083=VECTOR('',#38872,0.393700787401575); #10084=VECTOR('',#38875,0.393700787401575); #10085=VECTOR('',#38876,0.393700787401575); #10086=VECTOR('',#38877,0.393700787401575); #10087=VECTOR('',#38880,0.393700787401575); #10088=VECTOR('',#38881,0.393700787401575); #10089=VECTOR('',#38882,0.393700787401575); #10090=VECTOR('',#38885,0.393700787401575); #10091=VECTOR('',#38886,0.393700787401575); #10092=VECTOR('',#38887,0.393700787401575); #10093=VECTOR('',#38890,0.393700787401575); #10094=VECTOR('',#38891,0.393700787401575); #10095=VECTOR('',#38892,0.393700787401575); #10096=VECTOR('',#38895,0.393700787401575); #10097=VECTOR('',#38896,0.393700787401575); #10098=VECTOR('',#38897,0.393700787401575); #10099=VECTOR('',#38900,0.393700787401575); #10100=VECTOR('',#38901,0.393700787401575); #10101=VECTOR('',#38902,0.393700787401575); #10102=VECTOR('',#38905,0.393700787401575); #10103=VECTOR('',#38906,0.393700787401575); #10104=VECTOR('',#38915,0.393700787401575); #10105=VECTOR('',#38916,0.393700787401575); #10106=VECTOR('',#38917,0.393700787401575); #10107=VECTOR('',#38918,0.393700787401575); #10108=VECTOR('',#38921,0.393700787401575); #10109=VECTOR('',#38922,0.393700787401575); #10110=VECTOR('',#38923,0.393700787401575); #10111=VECTOR('',#38926,0.393700787401575); #10112=VECTOR('',#38927,0.393700787401575); #10113=VECTOR('',#38928,0.393700787401575); #10114=VECTOR('',#38931,0.393700787401575); #10115=VECTOR('',#38932,0.393700787401575); #10116=VECTOR('',#38933,0.393700787401575); #10117=VECTOR('',#38936,0.393700787401575); #10118=VECTOR('',#38937,0.393700787401575); #10119=VECTOR('',#38938,0.393700787401575); #10120=VECTOR('',#38941,0.393700787401575); #10121=VECTOR('',#38942,0.393700787401575); #10122=VECTOR('',#38943,0.393700787401575); #10123=VECTOR('',#38946,0.393700787401575); #10124=VECTOR('',#38947,0.393700787401575); #10125=VECTOR('',#38948,0.393700787401575); #10126=VECTOR('',#38951,0.393700787401575); #10127=VECTOR('',#38952,0.393700787401575); #10128=VECTOR('',#38953,0.393700787401575); #10129=VECTOR('',#38956,0.393700787401575); #10130=VECTOR('',#38957,0.393700787401575); #10131=VECTOR('',#38958,0.393700787401575); #10132=VECTOR('',#38961,0.393700787401575); #10133=VECTOR('',#38962,0.393700787401575); #10134=VECTOR('',#38963,0.393700787401575); #10135=VECTOR('',#38966,0.393700787401575); #10136=VECTOR('',#38967,0.393700787401575); #10137=VECTOR('',#38968,0.393700787401575); #10138=VECTOR('',#38971,0.393700787401575); #10139=VECTOR('',#38972,0.393700787401575); #10140=VECTOR('',#38973,0.393700787401575); #10141=VECTOR('',#38976,0.393700787401575); #10142=VECTOR('',#38977,0.393700787401575); #10143=VECTOR('',#38978,0.393700787401575); #10144=VECTOR('',#38981,0.393700787401575); #10145=VECTOR('',#38982,0.393700787401575); #10146=VECTOR('',#38983,0.393700787401575); #10147=VECTOR('',#38986,0.393700787401575); #10148=VECTOR('',#38987,0.393700787401575); #10149=VECTOR('',#38988,0.393700787401575); #10150=VECTOR('',#38991,0.393700787401575); #10151=VECTOR('',#38992,0.393700787401575); #10152=VECTOR('',#38993,0.393700787401575); #10153=VECTOR('',#38996,0.393700787401575); #10154=VECTOR('',#38997,0.393700787401575); #10155=VECTOR('',#38998,0.393700787401575); #10156=VECTOR('',#39001,0.393700787401575); #10157=VECTOR('',#39002,0.393700787401575); #10158=VECTOR('',#39003,0.393700787401575); #10159=VECTOR('',#39006,0.393700787401575); #10160=VECTOR('',#39007,0.393700787401575); #10161=VECTOR('',#39008,0.393700787401575); #10162=VECTOR('',#39011,0.393700787401575); #10163=VECTOR('',#39012,0.393700787401575); #10164=VECTOR('',#39013,0.393700787401575); #10165=VECTOR('',#39016,0.393700787401575); #10166=VECTOR('',#39017,0.393700787401575); #10167=VECTOR('',#39018,0.393700787401575); #10168=VECTOR('',#39021,0.393700787401575); #10169=VECTOR('',#39022,0.393700787401575); #10170=VECTOR('',#39023,0.393700787401575); #10171=VECTOR('',#39026,0.393700787401575); #10172=VECTOR('',#39027,0.393700787401575); #10173=VECTOR('',#39028,0.393700787401575); #10174=VECTOR('',#39031,0.393700787401575); #10175=VECTOR('',#39032,0.393700787401575); #10176=VECTOR('',#39033,0.393700787401575); #10177=VECTOR('',#39036,0.393700787401575); #10178=VECTOR('',#39037,0.393700787401575); #10179=VECTOR('',#39038,0.393700787401575); #10180=VECTOR('',#39041,0.393700787401575); #10181=VECTOR('',#39042,0.393700787401575); #10182=VECTOR('',#39043,0.393700787401575); #10183=VECTOR('',#39046,0.393700787401575); #10184=VECTOR('',#39047,0.393700787401575); #10185=VECTOR('',#39048,0.393700787401575); #10186=VECTOR('',#39051,0.393700787401575); #10187=VECTOR('',#39052,0.393700787401575); #10188=VECTOR('',#39053,0.393700787401575); #10189=VECTOR('',#39056,0.393700787401575); #10190=VECTOR('',#39057,0.393700787401575); #10191=VECTOR('',#39058,0.393700787401575); #10192=VECTOR('',#39061,0.393700787401575); #10193=VECTOR('',#39062,0.393700787401575); #10194=VECTOR('',#39063,0.393700787401575); #10195=VECTOR('',#39066,0.393700787401575); #10196=VECTOR('',#39067,0.393700787401575); #10197=VECTOR('',#39068,0.393700787401575); #10198=VECTOR('',#39075,0.393700787401575); #10199=VECTOR('',#39078,0.393700787401575); #10200=VECTOR('',#39079,0.393700787401575); #10201=VECTOR('',#39080,0.393700787401575); #10202=VECTOR('',#39097,0.125); #10203=VECTOR('',#39102,0.393700787401575); #10204=VECTOR('',#39103,0.393700787401575); #10205=VECTOR('',#39104,0.393700787401575); #10206=VECTOR('',#39105,0.393700787401575); #10207=VECTOR('',#39108,0.393700787401575); #10208=VECTOR('',#39109,0.393700787401575); #10209=VECTOR('',#39110,0.393700787401575); #10210=VECTOR('',#39113,0.393700787401575); #10211=VECTOR('',#39114,0.393700787401575); #10212=VECTOR('',#39115,0.393700787401575); #10213=VECTOR('',#39118,0.393700787401575); #10214=VECTOR('',#39119,0.393700787401575); #10215=VECTOR('',#39120,0.393700787401575); #10216=VECTOR('',#39123,0.393700787401575); #10217=VECTOR('',#39124,0.393700787401575); #10218=VECTOR('',#39125,0.393700787401575); #10219=VECTOR('',#39128,0.393700787401575); #10220=VECTOR('',#39129,0.393700787401575); #10221=VECTOR('',#39130,0.393700787401575); #10222=VECTOR('',#39133,0.393700787401575); #10223=VECTOR('',#39134,0.393700787401575); #10224=VECTOR('',#39135,0.393700787401575); #10225=VECTOR('',#39150,0.125); #10226=VECTOR('',#39155,0.393700787401575); #10227=VECTOR('',#39156,0.393700787401575); #10228=VECTOR('',#39157,0.393700787401575); #10229=VECTOR('',#39158,0.393700787401575); #10230=VECTOR('',#39161,0.393700787401575); #10231=VECTOR('',#39162,0.393700787401575); #10232=VECTOR('',#39163,0.393700787401575); #10233=VECTOR('',#39166,0.393700787401575); #10234=VECTOR('',#39167,0.393700787401575); #10235=VECTOR('',#39168,0.393700787401575); #10236=VECTOR('',#39171,0.393700787401575); #10237=VECTOR('',#39172,0.393700787401575); #10238=VECTOR('',#39173,0.393700787401575); #10239=VECTOR('',#39176,0.393700787401575); #10240=VECTOR('',#39177,0.393700787401575); #10241=VECTOR('',#39178,0.393700787401575); #10242=VECTOR('',#39181,0.393700787401575); #10243=VECTOR('',#39182,0.393700787401575); #10244=VECTOR('',#39183,0.393700787401575); #10245=VECTOR('',#39186,0.393700787401575); #10246=VECTOR('',#39187,0.393700787401575); #10247=VECTOR('',#39188,0.393700787401575); #10248=VECTOR('',#39209,0.125); #10249=VECTOR('',#39214,1.475); #10250=VECTOR('',#39219,0.393700787401575); #10251=VECTOR('',#39220,0.393700787401575); #10252=VECTOR('',#39221,0.393700787401575); #10253=VECTOR('',#39222,0.393700787401575); #10254=VECTOR('',#39227,0.65); #10255=VECTOR('',#39234,0.393700787401575); #10256=VECTOR('',#39237,0.393700787401575); #10257=VECTOR('',#39240,0.393700787401575); #10258=VECTOR('',#39241,0.393700787401575); #10259=VECTOR('',#39242,0.393700787401575); #10260=VECTOR('',#39245,0.393700787401575); #10261=VECTOR('',#39246,0.393700787401575); #10262=VECTOR('',#39247,0.393700787401575); #10263=VECTOR('',#39250,0.393700787401575); #10264=VECTOR('',#39251,0.393700787401575); #10265=VECTOR('',#39252,0.393700787401575); #10266=VECTOR('',#39255,0.393700787401575); #10267=VECTOR('',#39256,0.393700787401575); #10268=VECTOR('',#39257,0.393700787401575); #10269=VECTOR('',#39260,0.393700787401575); #10270=VECTOR('',#39261,0.393700787401575); #10271=VECTOR('',#39262,0.393700787401575); #10272=VECTOR('',#39265,0.393700787401575); #10273=VECTOR('',#39266,0.393700787401575); #10274=VECTOR('',#39267,0.393700787401575); #10275=VECTOR('',#39270,0.393700787401575); #10276=VECTOR('',#39271,0.393700787401575); #10277=VECTOR('',#39272,0.393700787401575); #10278=VECTOR('',#39275,0.393700787401575); #10279=VECTOR('',#39276,0.393700787401575); #10280=VECTOR('',#39277,0.393700787401575); #10281=VECTOR('',#39280,0.393700787401575); #10282=VECTOR('',#39281,0.393700787401575); #10283=VECTOR('',#39282,0.393700787401575); #10284=VECTOR('',#39285,0.393700787401575); #10285=VECTOR('',#39286,0.393700787401575); #10286=VECTOR('',#39287,0.393700787401575); #10287=VECTOR('',#39290,0.393700787401575); #10288=VECTOR('',#39291,0.393700787401575); #10289=VECTOR('',#39292,0.393700787401575); #10290=VECTOR('',#39295,0.393700787401575); #10291=VECTOR('',#39296,0.393700787401575); #10292=VECTOR('',#39297,0.393700787401575); #10293=VECTOR('',#39300,0.393700787401575); #10294=VECTOR('',#39301,0.393700787401575); #10295=VECTOR('',#39302,0.393700787401575); #10296=VECTOR('',#39305,0.393700787401575); #10297=VECTOR('',#39306,0.393700787401575); #10298=VECTOR('',#39307,0.393700787401575); #10299=VECTOR('',#39310,0.393700787401575); #10300=VECTOR('',#39311,0.393700787401575); #10301=VECTOR('',#39314,0.393700787401575); #10302=VECTOR('',#39315,0.393700787401575); #10303=VECTOR('',#39316,0.393700787401575); #10304=VECTOR('',#39319,0.393700787401575); #10305=VECTOR('',#39320,0.393700787401575); #10306=VECTOR('',#39321,0.393700787401575); #10307=VECTOR('',#39324,0.393700787401575); #10308=VECTOR('',#39325,0.393700787401575); #10309=VECTOR('',#39326,0.393700787401575); #10310=VECTOR('',#39329,0.393700787401575); #10311=VECTOR('',#39330,0.393700787401575); #10312=VECTOR('',#39331,0.393700787401575); #10313=VECTOR('',#39334,0.393700787401575); #10314=VECTOR('',#39335,0.393700787401575); #10315=VECTOR('',#39336,0.393700787401575); #10316=VECTOR('',#39339,0.393700787401575); #10317=VECTOR('',#39340,0.393700787401575); #10318=VECTOR('',#39341,0.393700787401575); #10319=VECTOR('',#39344,0.393700787401575); #10320=VECTOR('',#39345,0.393700787401575); #10321=VECTOR('',#39346,0.393700787401575); #10322=VECTOR('',#39349,0.393700787401575); #10323=VECTOR('',#39350,0.393700787401575); #10324=VECTOR('',#39351,0.393700787401575); #10325=VECTOR('',#39354,0.393700787401575); #10326=VECTOR('',#39355,0.393700787401575); #10327=VECTOR('',#39356,0.393700787401575); #10328=VECTOR('',#39359,0.393700787401575); #10329=VECTOR('',#39360,0.393700787401575); #10330=VECTOR('',#39361,0.393700787401575); #10331=VECTOR('',#39364,0.393700787401575); #10332=VECTOR('',#39365,0.393700787401575); #10333=VECTOR('',#39366,0.393700787401575); #10334=VECTOR('',#39369,0.393700787401575); #10335=VECTOR('',#39370,0.393700787401575); #10336=VECTOR('',#39371,0.393700787401575); #10337=VECTOR('',#39374,0.393700787401575); #10338=VECTOR('',#39375,0.393700787401575); #10339=VECTOR('',#39376,0.393700787401575); #10340=VECTOR('',#39379,0.393700787401575); #10341=VECTOR('',#39380,0.393700787401575); #10342=VECTOR('',#39381,0.393700787401575); #10343=VECTOR('',#39384,0.393700787401575); #10344=VECTOR('',#39385,0.393700787401575); #10345=VECTOR('',#39386,0.393700787401575); #10346=VECTOR('',#39391,0.393700787401575); #10347=VECTOR('',#39396,0.393700787401575); #10348=VECTOR('',#39397,0.393700787401575); #10349=VECTOR('',#39404,0.393700787401575); #10350=VECTOR('',#39405,0.393700787401575); #10351=VECTOR('',#39406,0.393700787401575); #10352=VECTOR('',#39407,0.393700787401575); #10353=VECTOR('',#39410,0.393700787401575); #10354=VECTOR('',#39411,0.393700787401575); #10355=VECTOR('',#39412,0.393700787401575); #10356=VECTOR('',#39415,0.393700787401575); #10357=VECTOR('',#39416,0.393700787401575); #10358=VECTOR('',#39417,0.393700787401575); #10359=VECTOR('',#39422,0.393700787401575); #10360=VECTOR('',#39427,0.393700787401575); #10361=VECTOR('',#39428,0.393700787401575); #10362=VECTOR('',#39429,0.393700787401575); #10363=VECTOR('',#39434,0.393700787401575); #10364=VECTOR('',#39439,0.393700787401575); #10365=VECTOR('',#39440,0.393700787401575); #10366=VECTOR('',#39441,0.393700787401575); #10367=VECTOR('',#39444,0.393700787401575); #10368=VECTOR('',#39445,0.393700787401575); #10369=VECTOR('',#39446,0.393700787401575); #10370=VECTOR('',#39449,0.393700787401575); #10371=VECTOR('',#39450,0.393700787401575); #10372=VECTOR('',#39451,0.393700787401575); #10373=VECTOR('',#39454,0.393700787401575); #10374=VECTOR('',#39455,0.393700787401575); #10375=VECTOR('',#39456,0.393700787401575); #10376=VECTOR('',#39459,0.393700787401575); #10377=VECTOR('',#39460,0.393700787401575); #10378=VECTOR('',#39461,0.393700787401575); #10379=VECTOR('',#39464,0.393700787401575); #10380=VECTOR('',#39465,0.393700787401575); #10381=VECTOR('',#39466,0.393700787401575); #10382=VECTOR('',#39469,0.393700787401575); #10383=VECTOR('',#39470,0.393700787401575); #10384=VECTOR('',#39471,0.393700787401575); #10385=VECTOR('',#39474,0.393700787401575); #10386=VECTOR('',#39475,0.393700787401575); #10387=VECTOR('',#39476,0.393700787401575); #10388=VECTOR('',#39479,0.393700787401575); #10389=VECTOR('',#39480,0.393700787401575); #10390=VECTOR('',#39481,0.393700787401575); #10391=VECTOR('',#39484,0.393700787401575); #10392=VECTOR('',#39485,0.393700787401575); #10393=VECTOR('',#39486,0.393700787401575); #10394=VECTOR('',#39489,0.393700787401575); #10395=VECTOR('',#39490,0.393700787401575); #10396=VECTOR('',#39491,0.393700787401575); #10397=VECTOR('',#39494,0.393700787401575); #10398=VECTOR('',#39495,0.393700787401575); #10399=VECTOR('',#39502,0.393700787401575); #10400=VECTOR('',#39503,0.393700787401575); #10401=VECTOR('',#39504,0.393700787401575); #10402=VECTOR('',#39505,0.393700787401575); #10403=VECTOR('',#39508,0.393700787401575); #10404=VECTOR('',#39509,0.393700787401575); #10405=VECTOR('',#39510,0.393700787401575); #10406=VECTOR('',#39513,0.393700787401575); #10407=VECTOR('',#39514,0.393700787401575); #10408=VECTOR('',#39515,0.393700787401575); #10409=VECTOR('',#39518,0.393700787401575); #10410=VECTOR('',#39519,0.393700787401575); #10411=VECTOR('',#39520,0.393700787401575); #10412=VECTOR('',#39523,0.393700787401575); #10413=VECTOR('',#39524,0.393700787401575); #10414=VECTOR('',#39525,0.393700787401575); #10415=VECTOR('',#39528,0.393700787401575); #10416=VECTOR('',#39529,0.393700787401575); #10417=VECTOR('',#39530,0.393700787401575); #10418=VECTOR('',#39533,0.393700787401575); #10419=VECTOR('',#39534,0.393700787401575); #10420=VECTOR('',#39535,0.393700787401575); #10421=VECTOR('',#39538,0.393700787401575); #10422=VECTOR('',#39539,0.393700787401575); #10423=VECTOR('',#39540,0.393700787401575); #10424=VECTOR('',#39543,0.393700787401575); #10425=VECTOR('',#39544,0.393700787401575); #10426=VECTOR('',#39545,0.393700787401575); #10427=VECTOR('',#39548,0.393700787401575); #10428=VECTOR('',#39549,0.393700787401575); #10429=VECTOR('',#39550,0.393700787401575); #10430=VECTOR('',#39553,0.393700787401575); #10431=VECTOR('',#39554,0.393700787401575); #10432=VECTOR('',#39555,0.393700787401575); #10433=VECTOR('',#39558,0.393700787401575); #10434=VECTOR('',#39559,0.393700787401575); #10435=VECTOR('',#39560,0.393700787401575); #10436=VECTOR('',#39563,0.393700787401575); #10437=VECTOR('',#39564,0.393700787401575); #10438=VECTOR('',#39565,0.393700787401575); #10439=VECTOR('',#39568,0.393700787401575); #10440=VECTOR('',#39569,0.393700787401575); #10441=VECTOR('',#39570,0.393700787401575); #10442=VECTOR('',#39573,0.393700787401575); #10443=VECTOR('',#39574,0.393700787401575); #10444=VECTOR('',#39575,0.393700787401575); #10445=VECTOR('',#39578,0.393700787401575); #10446=VECTOR('',#39579,0.393700787401575); #10447=VECTOR('',#39580,0.393700787401575); #10448=VECTOR('',#39583,0.393700787401575); #10449=VECTOR('',#39584,0.393700787401575); #10450=VECTOR('',#39585,0.393700787401575); #10451=VECTOR('',#39590,0.393700787401575); #10452=VECTOR('',#39595,0.393700787401575); #10453=VECTOR('',#39596,0.393700787401575); #10454=VECTOR('',#39597,0.393700787401575); #10455=VECTOR('',#39600,0.393700787401575); #10456=VECTOR('',#39601,0.393700787401575); #10457=VECTOR('',#39602,0.393700787401575); #10458=VECTOR('',#39605,0.393700787401575); #10459=VECTOR('',#39606,0.393700787401575); #10460=VECTOR('',#39607,0.393700787401575); #10461=VECTOR('',#39610,0.393700787401575); #10462=VECTOR('',#39611,0.393700787401575); #10463=VECTOR('',#39612,0.393700787401575); #10464=VECTOR('',#39615,0.393700787401575); #10465=VECTOR('',#39616,0.393700787401575); #10466=VECTOR('',#39617,0.393700787401575); #10467=VECTOR('',#39620,0.393700787401575); #10468=VECTOR('',#39621,0.393700787401575); #10469=VECTOR('',#39622,0.393700787401575); #10470=VECTOR('',#39625,0.393700787401575); #10471=VECTOR('',#39626,0.393700787401575); #10472=VECTOR('',#39627,0.393700787401575); #10473=VECTOR('',#39630,0.393700787401575); #10474=VECTOR('',#39631,0.393700787401575); #10475=VECTOR('',#39632,0.393700787401575); #10476=VECTOR('',#39635,0.393700787401575); #10477=VECTOR('',#39636,0.393700787401575); #10478=VECTOR('',#39637,0.393700787401575); #10479=VECTOR('',#39640,0.393700787401575); #10480=VECTOR('',#39641,0.393700787401575); #10481=VECTOR('',#39642,0.393700787401575); #10482=VECTOR('',#39645,0.393700787401575); #10483=VECTOR('',#39646,0.393700787401575); #10484=VECTOR('',#39647,0.393700787401575); #10485=VECTOR('',#39650,0.393700787401575); #10486=VECTOR('',#39651,0.393700787401575); #10487=VECTOR('',#39652,0.393700787401575); #10488=VECTOR('',#39655,0.393700787401575); #10489=VECTOR('',#39656,0.393700787401575); #10490=VECTOR('',#39657,0.393700787401575); #10491=VECTOR('',#39660,0.393700787401575); #10492=VECTOR('',#39661,0.393700787401575); #10493=VECTOR('',#39662,0.393700787401575); #10494=VECTOR('',#39665,0.393700787401575); #10495=VECTOR('',#39666,0.393700787401575); #10496=VECTOR('',#39667,0.393700787401575); #10497=VECTOR('',#39670,0.393700787401575); #10498=VECTOR('',#39671,0.393700787401575); #10499=VECTOR('',#39672,0.393700787401575); #10500=VECTOR('',#39675,0.393700787401575); #10501=VECTOR('',#39676,0.393700787401575); #10502=VECTOR('',#39677,0.393700787401575); #10503=VECTOR('',#39680,0.393700787401575); #10504=VECTOR('',#39681,0.393700787401575); #10505=VECTOR('',#39714,0.84375); #10506=VECTOR('',#39723,0.109375); #10507=VECTOR('',#39730,0.109375); #10508=VECTOR('',#39735,0.393700787401575); #10509=VECTOR('',#39736,0.393700787401575); #10510=VECTOR('',#39737,0.393700787401575); #10511=VECTOR('',#39738,0.393700787401575); #10512=VECTOR('',#39745,0.393700787401575); #10513=VECTOR('',#39748,0.393700787401575); #10514=VECTOR('',#39749,0.393700787401575); #10515=VECTOR('',#39750,0.393700787401575); #10516=VECTOR('',#39757,0.393700787401575); #10517=VECTOR('',#39760,0.393700787401575); #10518=VECTOR('',#39761,0.393700787401575); #10519=VECTOR('',#39762,0.393700787401575); #10520=VECTOR('',#39769,0.393700787401575); #10521=VECTOR('',#39772,0.393700787401575); #10522=VECTOR('',#39773,0.393700787401575); #10523=VECTOR('',#39774,0.393700787401575); #10524=VECTOR('',#39803,0.125); #10525=VECTOR('',#39808,0.393700787401575); #10526=VECTOR('',#39809,0.393700787401575); #10527=VECTOR('',#39810,0.393700787401575); #10528=VECTOR('',#39811,0.393700787401575); #10529=VECTOR('',#39818,0.393700787401575); #10530=VECTOR('',#39821,0.393700787401575); #10531=VECTOR('',#39822,0.393700787401575); #10532=VECTOR('',#39823,0.393700787401575); #10533=VECTOR('',#39826,0.393700787401575); #10534=VECTOR('',#39827,0.393700787401575); #10535=VECTOR('',#39828,0.393700787401575); #10536=VECTOR('',#39831,0.393700787401575); #10537=VECTOR('',#39832,0.393700787401575); #10538=VECTOR('',#39833,0.393700787401575); #10539=VECTOR('',#39836,0.393700787401575); #10540=VECTOR('',#39837,0.393700787401575); #10541=VECTOR('',#39838,0.393700787401575); #10542=VECTOR('',#39841,0.393700787401575); #10543=VECTOR('',#39842,0.393700787401575); #10544=VECTOR('',#39843,0.393700787401575); #10545=VECTOR('',#39846,0.393700787401575); #10546=VECTOR('',#39847,0.393700787401575); #10547=VECTOR('',#39848,0.393700787401575); #10548=VECTOR('',#39851,0.393700787401575); #10549=VECTOR('',#39852,0.393700787401575); #10550=VECTOR('',#39853,0.393700787401575); #10551=VECTOR('',#39868,0.125); #10552=VECTOR('',#39873,0.393700787401575); #10553=VECTOR('',#39874,0.393700787401575); #10554=VECTOR('',#39875,0.393700787401575); #10555=VECTOR('',#39876,0.393700787401575); #10556=VECTOR('',#39883,0.393700787401575); #10557=VECTOR('',#39886,0.393700787401575); #10558=VECTOR('',#39887,0.393700787401575); #10559=VECTOR('',#39888,0.393700787401575); #10560=VECTOR('',#39891,0.393700787401575); #10561=VECTOR('',#39892,0.393700787401575); #10562=VECTOR('',#39893,0.393700787401575); #10563=VECTOR('',#39896,0.393700787401575); #10564=VECTOR('',#39897,0.393700787401575); #10565=VECTOR('',#39898,0.393700787401575); #10566=VECTOR('',#39901,0.393700787401575); #10567=VECTOR('',#39902,0.393700787401575); #10568=VECTOR('',#39903,0.393700787401575); #10569=VECTOR('',#39906,0.393700787401575); #10570=VECTOR('',#39907,0.393700787401575); #10571=VECTOR('',#39908,0.393700787401575); #10572=VECTOR('',#39911,0.393700787401575); #10573=VECTOR('',#39912,0.393700787401575); #10574=VECTOR('',#39913,0.393700787401575); #10575=VECTOR('',#39916,0.393700787401575); #10576=VECTOR('',#39917,0.393700787401575); #10577=VECTOR('',#39918,0.393700787401575); #10578=VECTOR('',#39933,0.125); #10579=VECTOR('',#39940,0.125); #10580=VECTOR('',#39947,0.125); #10581=VECTOR('',#39954,0.393700787401575); #10582=VECTOR('',#39957,0.393700787401575); #10583=VECTOR('',#39960,0.393700787401575); #10584=VECTOR('',#39961,0.393700787401575); #10585=VECTOR('',#39962,0.393700787401575); #10586=VECTOR('',#39965,0.393700787401575); #10587=VECTOR('',#39966,0.393700787401575); #10588=VECTOR('',#39967,0.393700787401575); #10589=VECTOR('',#39970,0.393700787401575); #10590=VECTOR('',#39971,0.393700787401575); #10591=VECTOR('',#39972,0.393700787401575); #10592=VECTOR('',#39975,0.393700787401575); #10593=VECTOR('',#39976,0.393700787401575); #10594=VECTOR('',#39977,0.393700787401575); #10595=VECTOR('',#39980,0.393700787401575); #10596=VECTOR('',#39981,0.393700787401575); #10597=VECTOR('',#39982,0.393700787401575); #10598=VECTOR('',#39985,0.393700787401575); #10599=VECTOR('',#39986,0.393700787401575); #10600=VECTOR('',#39987,0.393700787401575); #10601=VECTOR('',#39990,0.393700787401575); #10602=VECTOR('',#39991,0.393700787401575); #10603=VECTOR('',#39992,0.393700787401575); #10604=VECTOR('',#39999,0.393700787401575); #10605=VECTOR('',#40002,0.393700787401575); #10606=VECTOR('',#40003,0.393700787401575); #10607=VECTOR('',#40012,0.125); #10608=VECTOR('',#40019,0.125); #10609=VECTOR('',#40026,0.125); #10610=VECTOR('',#40033,0.393700787401575); #10611=VECTOR('',#40036,0.393700787401575); #10612=VECTOR('',#40039,0.393700787401575); #10613=VECTOR('',#40040,0.393700787401575); #10614=VECTOR('',#40041,0.393700787401575); #10615=VECTOR('',#40044,0.393700787401575); #10616=VECTOR('',#40045,0.393700787401575); #10617=VECTOR('',#40046,0.393700787401575); #10618=VECTOR('',#40049,0.393700787401575); #10619=VECTOR('',#40050,0.393700787401575); #10620=VECTOR('',#40051,0.393700787401575); #10621=VECTOR('',#40054,0.393700787401575); #10622=VECTOR('',#40055,0.393700787401575); #10623=VECTOR('',#40056,0.393700787401575); #10624=VECTOR('',#40059,0.393700787401575); #10625=VECTOR('',#40060,0.393700787401575); #10626=VECTOR('',#40061,0.393700787401575); #10627=VECTOR('',#40064,0.393700787401575); #10628=VECTOR('',#40065,0.393700787401575); #10629=VECTOR('',#40066,0.393700787401575); #10630=VECTOR('',#40069,0.393700787401575); #10631=VECTOR('',#40070,0.393700787401575); #10632=VECTOR('',#40071,0.393700787401575); #10633=VECTOR('',#40078,0.393700787401575); #10634=VECTOR('',#40081,0.393700787401575); #10635=VECTOR('',#40082,0.393700787401575); #10636=VECTOR('',#40091,0.125); #10637=VECTOR('',#40096,0.393700787401575); #10638=VECTOR('',#40097,0.393700787401575); #10639=VECTOR('',#40098,0.393700787401575); #10640=VECTOR('',#40099,0.393700787401575); #10641=VECTOR('',#40104,0.393700787401575); #10642=VECTOR('',#40109,0.393700787401575); #10643=VECTOR('',#40110,0.393700787401575); #10644=VECTOR('',#40111,0.393700787401575); #10645=VECTOR('',#40114,0.393700787401575); #10646=VECTOR('',#40115,0.393700787401575); #10647=VECTOR('',#40116,0.393700787401575); #10648=VECTOR('',#40119,0.393700787401575); #10649=VECTOR('',#40120,0.393700787401575); #10650=VECTOR('',#40121,0.393700787401575); #10651=VECTOR('',#40124,0.393700787401575); #10652=VECTOR('',#40125,0.393700787401575); #10653=VECTOR('',#40126,0.393700787401575); #10654=VECTOR('',#40129,0.393700787401575); #10655=VECTOR('',#40130,0.393700787401575); #10656=VECTOR('',#40131,0.393700787401575); #10657=VECTOR('',#40134,0.393700787401575); #10658=VECTOR('',#40135,0.393700787401575); #10659=VECTOR('',#40136,0.393700787401575); #10660=VECTOR('',#40139,0.393700787401575); #10661=VECTOR('',#40140,0.393700787401575); #10662=VECTOR('',#40141,0.393700787401575); #10663=VECTOR('',#40156,0.125); #10664=VECTOR('',#40161,0.393700787401575); #10665=VECTOR('',#40162,0.393700787401575); #10666=VECTOR('',#40163,0.393700787401575); #10667=VECTOR('',#40164,0.393700787401575); #10668=VECTOR('',#40169,0.393700787401575); #10669=VECTOR('',#40174,0.393700787401575); #10670=VECTOR('',#40175,0.393700787401575); #10671=VECTOR('',#40176,0.393700787401575); #10672=VECTOR('',#40179,0.393700787401575); #10673=VECTOR('',#40180,0.393700787401575); #10674=VECTOR('',#40181,0.393700787401575); #10675=VECTOR('',#40184,0.393700787401575); #10676=VECTOR('',#40185,0.393700787401575); #10677=VECTOR('',#40186,0.393700787401575); #10678=VECTOR('',#40189,0.393700787401575); #10679=VECTOR('',#40190,0.393700787401575); #10680=VECTOR('',#40191,0.393700787401575); #10681=VECTOR('',#40194,0.393700787401575); #10682=VECTOR('',#40195,0.393700787401575); #10683=VECTOR('',#40196,0.393700787401575); #10684=VECTOR('',#40199,0.393700787401575); #10685=VECTOR('',#40200,0.393700787401575); #10686=VECTOR('',#40201,0.393700787401575); #10687=VECTOR('',#40204,0.393700787401575); #10688=VECTOR('',#40205,0.393700787401575); #10689=VECTOR('',#40206,0.393700787401575); #10690=VECTOR('',#40221,0.125); #10691=VECTOR('',#40228,0.125); #10692=VECTOR('',#40235,0.125); #10693=VECTOR('',#40242,0.393700787401575); #10694=VECTOR('',#40245,0.393700787401575); #10695=VECTOR('',#40248,0.393700787401575); #10696=VECTOR('',#40249,0.393700787401575); #10697=VECTOR('',#40250,0.393700787401575); #10698=VECTOR('',#40253,0.393700787401575); #10699=VECTOR('',#40254,0.393700787401575); #10700=VECTOR('',#40255,0.393700787401575); #10701=VECTOR('',#40258,0.393700787401575); #10702=VECTOR('',#40259,0.393700787401575); #10703=VECTOR('',#40260,0.393700787401575); #10704=VECTOR('',#40263,0.393700787401575); #10705=VECTOR('',#40264,0.393700787401575); #10706=VECTOR('',#40265,0.393700787401575); #10707=VECTOR('',#40268,0.393700787401575); #10708=VECTOR('',#40269,0.393700787401575); #10709=VECTOR('',#40270,0.393700787401575); #10710=VECTOR('',#40273,0.393700787401575); #10711=VECTOR('',#40274,0.393700787401575); #10712=VECTOR('',#40275,0.393700787401575); #10713=VECTOR('',#40278,0.393700787401575); #10714=VECTOR('',#40279,0.393700787401575); #10715=VECTOR('',#40280,0.393700787401575); #10716=VECTOR('',#40285,0.393700787401575); #10717=VECTOR('',#40290,0.393700787401575); #10718=VECTOR('',#40291,0.393700787401575); #10719=VECTOR('',#40300,0.125); #10720=VECTOR('',#40307,0.125); #10721=VECTOR('',#40314,0.125); #10722=VECTOR('',#40321,0.393700787401575); #10723=VECTOR('',#40324,0.393700787401575); #10724=VECTOR('',#40327,0.393700787401575); #10725=VECTOR('',#40328,0.393700787401575); #10726=VECTOR('',#40329,0.393700787401575); #10727=VECTOR('',#40332,0.393700787401575); #10728=VECTOR('',#40333,0.393700787401575); #10729=VECTOR('',#40334,0.393700787401575); #10730=VECTOR('',#40337,0.393700787401575); #10731=VECTOR('',#40338,0.393700787401575); #10732=VECTOR('',#40339,0.393700787401575); #10733=VECTOR('',#40342,0.393700787401575); #10734=VECTOR('',#40343,0.393700787401575); #10735=VECTOR('',#40344,0.393700787401575); #10736=VECTOR('',#40347,0.393700787401575); #10737=VECTOR('',#40348,0.393700787401575); #10738=VECTOR('',#40349,0.393700787401575); #10739=VECTOR('',#40352,0.393700787401575); #10740=VECTOR('',#40353,0.393700787401575); #10741=VECTOR('',#40354,0.393700787401575); #10742=VECTOR('',#40357,0.393700787401575); #10743=VECTOR('',#40358,0.393700787401575); #10744=VECTOR('',#40359,0.393700787401575); #10745=VECTOR('',#40364,0.393700787401575); #10746=VECTOR('',#40369,0.393700787401575); #10747=VECTOR('',#40370,0.393700787401575); #10748=VECTOR('',#40377,0.393700787401575); #10749=VECTOR('',#40378,0.393700787401575); #10750=VECTOR('',#40379,0.393700787401575); #10751=VECTOR('',#40380,0.393700787401575); #10752=VECTOR('',#40383,0.393700787401575); #10753=VECTOR('',#40384,0.393700787401575); #10754=VECTOR('',#40385,0.393700787401575); #10755=VECTOR('',#40388,0.393700787401575); #10756=VECTOR('',#40389,0.393700787401575); #10757=VECTOR('',#40390,0.393700787401575); #10758=VECTOR('',#40393,0.393700787401575); #10759=VECTOR('',#40394,0.393700787401575); #10760=VECTOR('',#40397,0.393700787401575); #10761=VECTOR('',#40398,0.393700787401575); #10762=VECTOR('',#40399,0.393700787401575); #10763=VECTOR('',#40400,0.393700787401575); #10764=VECTOR('',#40403,0.393700787401575); #10765=VECTOR('',#40404,0.393700787401575); #10766=VECTOR('',#40405,0.393700787401575); #10767=VECTOR('',#40408,0.393700787401575); #10768=VECTOR('',#40409,0.393700787401575); #10769=VECTOR('',#40410,0.393700787401575); #10770=VECTOR('',#40413,0.393700787401575); #10771=VECTOR('',#40414,0.393700787401575); #10772=VECTOR('',#40417,0.393700787401575); #10773=VECTOR('',#40418,0.393700787401575); #10774=VECTOR('',#40419,0.393700787401575); #10775=VECTOR('',#40420,0.393700787401575); #10776=VECTOR('',#40423,0.393700787401575); #10777=VECTOR('',#40424,0.393700787401575); #10778=VECTOR('',#40425,0.393700787401575); #10779=VECTOR('',#40428,0.393700787401575); #10780=VECTOR('',#40429,0.393700787401575); #10781=VECTOR('',#40430,0.393700787401575); #10782=VECTOR('',#40433,0.393700787401575); #10783=VECTOR('',#40434,0.393700787401575); #10784=VECTOR('',#40439,0.12); #10785=VECTOR('',#40446,0.12); #10786=VECTOR('',#40453,0.12); #10787=VECTOR('',#40460,0.12); #10788=VECTOR('',#40467,0.12); #10789=VECTOR('',#40474,0.0749999999999997); #10790=VECTOR('',#40479,0.393700787401575); #10791=VECTOR('',#40480,0.393700787401575); #10792=VECTOR('',#40481,0.393700787401575); #10793=VECTOR('',#40482,0.393700787401575); #10794=VECTOR('',#40485,0.393700787401575); #10795=VECTOR('',#40486,0.393700787401575); #10796=VECTOR('',#40487,0.393700787401575); #10797=VECTOR('',#40490,0.393700787401575); #10798=VECTOR('',#40491,0.393700787401575); #10799=VECTOR('',#40492,0.393700787401575); #10800=VECTOR('',#40495,0.393700787401575); #10801=VECTOR('',#40496,0.393700787401575); #10802=VECTOR('',#40499,0.393700787401575); #10803=VECTOR('',#40500,0.393700787401575); #10804=VECTOR('',#40501,0.393700787401575); #10805=VECTOR('',#40502,0.393700787401575); #10806=VECTOR('',#40505,0.393700787401575); #10807=VECTOR('',#40506,0.393700787401575); #10808=VECTOR('',#40507,0.393700787401575); #10809=VECTOR('',#40510,0.393700787401575); #10810=VECTOR('',#40511,0.393700787401575); #10811=VECTOR('',#40512,0.393700787401575); #10812=VECTOR('',#40515,0.393700787401575); #10813=VECTOR('',#40516,0.393700787401575); #10814=VECTOR('',#40519,0.393700787401575); #10815=VECTOR('',#40520,0.393700787401575); #10816=VECTOR('',#40521,0.393700787401575); #10817=VECTOR('',#40522,0.393700787401575); #10818=VECTOR('',#40525,0.393700787401575); #10819=VECTOR('',#40526,0.393700787401575); #10820=VECTOR('',#40527,0.393700787401575); #10821=VECTOR('',#40530,0.393700787401575); #10822=VECTOR('',#40531,0.393700787401575); #10823=VECTOR('',#40532,0.393700787401575); #10824=VECTOR('',#40535,0.393700787401575); #10825=VECTOR('',#40536,0.393700787401575); #10826=VECTOR('',#40539,0.393700787401575); #10827=VECTOR('',#40540,0.393700787401575); #10828=VECTOR('',#40541,0.393700787401575); #10829=VECTOR('',#40542,0.393700787401575); #10830=VECTOR('',#40545,0.393700787401575); #10831=VECTOR('',#40546,0.393700787401575); #10832=VECTOR('',#40547,0.393700787401575); #10833=VECTOR('',#40550,0.393700787401575); #10834=VECTOR('',#40551,0.393700787401575); #10835=VECTOR('',#40552,0.393700787401575); #10836=VECTOR('',#40555,0.393700787401575); #10837=VECTOR('',#40556,0.393700787401575); #10838=VECTOR('',#40559,0.393700787401575); #10839=VECTOR('',#40560,0.393700787401575); #10840=VECTOR('',#40561,0.393700787401575); #10841=VECTOR('',#40562,0.393700787401575); #10842=VECTOR('',#40565,0.393700787401575); #10843=VECTOR('',#40566,0.393700787401575); #10844=VECTOR('',#40567,0.393700787401575); #10845=VECTOR('',#40570,0.393700787401575); #10846=VECTOR('',#40571,0.393700787401575); #10847=VECTOR('',#40572,0.393700787401575); #10848=VECTOR('',#40575,0.393700787401575); #10849=VECTOR('',#40576,0.393700787401575); #10850=VECTOR('',#40579,0.393700787401575); #10851=VECTOR('',#40580,0.393700787401575); #10852=VECTOR('',#40581,0.393700787401575); #10853=VECTOR('',#40582,0.393700787401575); #10854=VECTOR('',#40585,0.393700787401575); #10855=VECTOR('',#40586,0.393700787401575); #10856=VECTOR('',#40587,0.393700787401575); #10857=VECTOR('',#40590,0.393700787401575); #10858=VECTOR('',#40591,0.393700787401575); #10859=VECTOR('',#40592,0.393700787401575); #10860=VECTOR('',#40595,0.393700787401575); #10861=VECTOR('',#40596,0.393700787401575); #10862=VECTOR('',#40601,0.84375); #10863=VECTOR('',#40606,0.393700787401575); #10864=VECTOR('',#40607,0.393700787401575); #10865=VECTOR('',#40608,0.393700787401575); #10866=VECTOR('',#40609,0.393700787401575); #10867=VECTOR('',#40612,0.393700787401575); #10868=VECTOR('',#40613,0.393700787401575); #10869=VECTOR('',#40614,0.393700787401575); #10870=VECTOR('',#40617,0.393700787401575); #10871=VECTOR('',#40618,0.393700787401575); #10872=VECTOR('',#40619,0.393700787401575); #10873=VECTOR('',#40622,0.393700787401575); #10874=VECTOR('',#40623,0.393700787401575); #10875=VECTOR('',#40626,0.393700787401575); #10876=VECTOR('',#40627,0.393700787401575); #10877=VECTOR('',#40628,0.393700787401575); #10878=VECTOR('',#40629,0.393700787401575); #10879=VECTOR('',#40632,0.393700787401575); #10880=VECTOR('',#40633,0.393700787401575); #10881=VECTOR('',#40634,0.393700787401575); #10882=VECTOR('',#40637,0.393700787401575); #10883=VECTOR('',#40638,0.393700787401575); #10884=VECTOR('',#40639,0.393700787401575); #10885=VECTOR('',#40642,0.393700787401575); #10886=VECTOR('',#40643,0.393700787401575); #10887=VECTOR('',#40646,0.393700787401575); #10888=VECTOR('',#40647,0.393700787401575); #10889=VECTOR('',#40648,0.393700787401575); #10890=VECTOR('',#40649,0.393700787401575); #10891=VECTOR('',#40652,0.393700787401575); #10892=VECTOR('',#40653,0.393700787401575); #10893=VECTOR('',#40654,0.393700787401575); #10894=VECTOR('',#40657,0.393700787401575); #10895=VECTOR('',#40658,0.393700787401575); #10896=VECTOR('',#40659,0.393700787401575); #10897=VECTOR('',#40662,0.393700787401575); #10898=VECTOR('',#40663,0.393700787401575); #10899=VECTOR('',#40666,0.393700787401575); #10900=VECTOR('',#40667,0.393700787401575); #10901=VECTOR('',#40668,0.393700787401575); #10902=VECTOR('',#40669,0.393700787401575); #10903=VECTOR('',#40672,0.393700787401575); #10904=VECTOR('',#40673,0.393700787401575); #10905=VECTOR('',#40674,0.393700787401575); #10906=VECTOR('',#40677,0.393700787401575); #10907=VECTOR('',#40678,0.393700787401575); #10908=VECTOR('',#40679,0.393700787401575); #10909=VECTOR('',#40682,0.393700787401575); #10910=VECTOR('',#40683,0.393700787401575); #10911=VECTOR('',#40686,0.393700787401575); #10912=VECTOR('',#40687,0.393700787401575); #10913=VECTOR('',#40688,0.393700787401575); #10914=VECTOR('',#40689,0.393700787401575); #10915=VECTOR('',#40692,0.393700787401575); #10916=VECTOR('',#40693,0.393700787401575); #10917=VECTOR('',#40694,0.393700787401575); #10918=VECTOR('',#40697,0.393700787401575); #10919=VECTOR('',#40698,0.393700787401575); #10920=VECTOR('',#40699,0.393700787401575); #10921=VECTOR('',#40702,0.393700787401575); #10922=VECTOR('',#40703,0.393700787401575); #10923=VECTOR('',#40708,0.0749999999999997); #10924=VECTOR('',#40715,0.125); #10925=VECTOR('',#40720,0.393700787401575); #10926=VECTOR('',#40721,0.393700787401575); #10927=VECTOR('',#40722,0.393700787401575); #10928=VECTOR('',#40723,0.393700787401575); #10929=VECTOR('',#40726,0.393700787401575); #10930=VECTOR('',#40727,0.393700787401575); #10931=VECTOR('',#40728,0.393700787401575); #10932=VECTOR('',#40731,0.393700787401575); #10933=VECTOR('',#40732,0.393700787401575); #10934=VECTOR('',#40733,0.393700787401575); #10935=VECTOR('',#40736,0.393700787401575); #10936=VECTOR('',#40737,0.393700787401575); #10937=VECTOR('',#40742,0.109375); #10938=VECTOR('',#40749,0.125); #10939=VECTOR('',#40756,0.125); #10940=VECTOR('',#40761,0.393700787401575); #10941=VECTOR('',#40762,0.393700787401575); #10942=VECTOR('',#40763,0.393700787401575); #10943=VECTOR('',#40764,0.393700787401575); #10944=VECTOR('',#40767,0.393700787401575); #10945=VECTOR('',#40768,0.393700787401575); #10946=VECTOR('',#40769,0.393700787401575); #10947=VECTOR('',#40772,0.393700787401575); #10948=VECTOR('',#40773,0.393700787401575); #10949=VECTOR('',#40774,0.393700787401575); #10950=VECTOR('',#40777,0.393700787401575); #10951=VECTOR('',#40778,0.393700787401575); #10952=VECTOR('',#40783,0.109375); #10953=VECTOR('',#40790,0.109375); #10954=VECTOR('',#40797,0.125); #10955=VECTOR('',#40802,0.393700787401575); #10956=VECTOR('',#40803,0.393700787401575); #10957=VECTOR('',#40804,0.393700787401575); #10958=VECTOR('',#40805,0.393700787401575); #10959=VECTOR('',#40808,0.393700787401575); #10960=VECTOR('',#40809,0.393700787401575); #10961=VECTOR('',#40810,0.393700787401575); #10962=VECTOR('',#40813,0.393700787401575); #10963=VECTOR('',#40814,0.393700787401575); #10964=VECTOR('',#40815,0.393700787401575); #10965=VECTOR('',#40818,0.393700787401575); #10966=VECTOR('',#40819,0.393700787401575); #10967=VECTOR('',#40824,0.393700787401575); #10968=VECTOR('',#40827,0.393700787401575); #10969=VECTOR('',#40834,0.393700787401575); #10970=VECTOR('',#40837,0.393700787401575); #10971=VECTOR('',#40838,0.393700787401575); #10972=VECTOR('',#40839,0.393700787401575); #10973=VECTOR('',#40846,0.393700787401575); #10974=VECTOR('',#40853,0.393700787401575); #10975=VECTOR('',#40860,0.393700787401575); #10976=VECTOR('',#40863,0.393700787401575); #10977=VECTOR('',#40864,0.393700787401575); #10978=VECTOR('',#40865,0.393700787401575); #10979=VECTOR('',#40874,0.393700787401575); #10980=VECTOR('',#40875,0.393700787401575); #10981=VECTOR('',#40876,0.393700787401575); #10982=VECTOR('',#40877,0.393700787401575); #10983=VECTOR('',#40880,0.393700787401575); #10984=VECTOR('',#40881,0.393700787401575); #10985=VECTOR('',#40882,0.393700787401575); #10986=VECTOR('',#40885,0.393700787401575); #10987=VECTOR('',#40886,0.393700787401575); #10988=VECTOR('',#40887,0.393700787401575); #10989=VECTOR('',#40890,0.393700787401575); #10990=VECTOR('',#40891,0.393700787401575); #10991=VECTOR('',#40896,0.109375); #10992=VECTOR('',#40901,0.393700787401575); #10993=VECTOR('',#40902,0.393700787401575); #10994=VECTOR('',#40903,0.393700787401575); #10995=VECTOR('',#40904,0.393700787401575); #10996=VECTOR('',#40907,0.393700787401575); #10997=VECTOR('',#40908,0.393700787401575); #10998=VECTOR('',#40909,0.393700787401575); #10999=VECTOR('',#40912,0.393700787401575); #11000=VECTOR('',#40913,0.393700787401575); #11001=VECTOR('',#40914,0.393700787401575); #11002=VECTOR('',#40917,0.393700787401575); #11003=VECTOR('',#40918,0.393700787401575); #11004=VECTOR('',#40921,0.393700787401575); #11005=VECTOR('',#40922,0.393700787401575); #11006=VECTOR('',#40923,0.393700787401575); #11007=VECTOR('',#40924,0.393700787401575); #11008=VECTOR('',#40927,0.393700787401575); #11009=VECTOR('',#40928,0.393700787401575); #11010=VECTOR('',#40929,0.393700787401575); #11011=VECTOR('',#40932,0.393700787401575); #11012=VECTOR('',#40933,0.393700787401575); #11013=VECTOR('',#40934,0.393700787401575); #11014=VECTOR('',#40937,0.393700787401575); #11015=VECTOR('',#40938,0.393700787401575); #11016=VECTOR('',#40941,0.393700787401575); #11017=VECTOR('',#40942,0.393700787401575); #11018=VECTOR('',#40943,0.393700787401575); #11019=VECTOR('',#40944,0.393700787401575); #11020=VECTOR('',#40947,0.393700787401575); #11021=VECTOR('',#40948,0.393700787401575); #11022=VECTOR('',#40949,0.393700787401575); #11023=VECTOR('',#40952,0.393700787401575); #11024=VECTOR('',#40953,0.393700787401575); #11025=VECTOR('',#40954,0.393700787401575); #11026=VECTOR('',#40957,0.393700787401575); #11027=VECTOR('',#40958,0.393700787401575); #11028=VECTOR('',#40963,0.12); #11029=VECTOR('',#40968,0.393700787401575); #11030=VECTOR('',#40969,0.393700787401575); #11031=VECTOR('',#40970,0.393700787401575); #11032=VECTOR('',#40971,0.393700787401575); #11033=VECTOR('',#40974,0.393700787401575); #11034=VECTOR('',#40975,0.393700787401575); #11035=VECTOR('',#40976,0.393700787401575); #11036=VECTOR('',#40979,0.393700787401575); #11037=VECTOR('',#40980,0.393700787401575); #11038=VECTOR('',#40981,0.393700787401575); #11039=VECTOR('',#40984,0.393700787401575); #11040=VECTOR('',#40985,0.393700787401575); #11041=VECTOR('',#40986,0.393700787401575); #11042=VECTOR('',#40989,0.393700787401575); #11043=VECTOR('',#40990,0.393700787401575); #11044=VECTOR('',#40991,0.393700787401575); #11045=VECTOR('',#40994,0.393700787401575); #11046=VECTOR('',#40995,0.393700787401575); #11047=VECTOR('',#40996,0.393700787401575); #11048=VECTOR('',#40999,0.393700787401575); #11049=VECTOR('',#41000,0.393700787401575); #11050=VECTOR('',#41001,0.393700787401575); #11051=VECTOR('',#41004,0.393700787401575); #11052=VECTOR('',#41005,0.393700787401575); #11053=VECTOR('',#41006,0.393700787401575); #11054=VECTOR('',#41013,0.393700787401575); #11055=VECTOR('',#41016,0.393700787401575); #11056=VECTOR('',#41017,0.393700787401575); #11057=VECTOR('',#41018,0.393700787401575); #11058=VECTOR('',#41025,0.393700787401575); #11059=VECTOR('',#41028,0.393700787401575); #11060=VECTOR('',#41029,0.393700787401575); #11061=VECTOR('',#41030,0.393700787401575); #11062=VECTOR('',#41033,0.393700787401575); #11063=VECTOR('',#41034,0.393700787401575); #11064=VECTOR('',#41035,0.393700787401575); #11065=VECTOR('',#41038,0.393700787401575); #11066=VECTOR('',#41039,0.393700787401575); #11067=VECTOR('',#41040,0.393700787401575); #11068=VECTOR('',#41043,0.393700787401575); #11069=VECTOR('',#41044,0.393700787401575); #11070=VECTOR('',#41045,0.393700787401575); #11071=VECTOR('',#41048,0.393700787401575); #11072=VECTOR('',#41049,0.393700787401575); #11073=VECTOR('',#41050,0.393700787401575); #11074=VECTOR('',#41053,0.393700787401575); #11075=VECTOR('',#41054,0.393700787401575); #11076=VECTOR('',#41055,0.393700787401575); #11077=VECTOR('',#41058,0.393700787401575); #11078=VECTOR('',#41059,0.393700787401575); #11079=VECTOR('',#41060,0.393700787401575); #11080=VECTOR('',#41063,0.393700787401575); #11081=VECTOR('',#41064,0.393700787401575); #11082=VECTOR('',#41065,0.393700787401575); #11083=VECTOR('',#41068,0.393700787401575); #11084=VECTOR('',#41069,0.393700787401575); #11085=VECTOR('',#41070,0.393700787401575); #11086=VECTOR('',#41073,0.393700787401575); #11087=VECTOR('',#41074,0.393700787401575); #11088=VECTOR('',#41075,0.393700787401575); #11089=VECTOR('',#41078,0.393700787401575); #11090=VECTOR('',#41079,0.393700787401575); #11091=VECTOR('',#41080,0.393700787401575); #11092=VECTOR('',#41083,0.393700787401575); #11093=VECTOR('',#41084,0.393700787401575); #11094=VECTOR('',#41085,0.393700787401575); #11095=VECTOR('',#41088,0.393700787401575); #11096=VECTOR('',#41089,0.393700787401575); #11097=VECTOR('',#41090,0.393700787401575); #11098=VECTOR('',#41097,0.393700787401575); #11099=VECTOR('',#41100,0.393700787401575); #11100=VECTOR('',#41101,0.393700787401575); #11101=VECTOR('',#41102,0.393700787401575); #11102=VECTOR('',#41109,0.393700787401575); #11103=VECTOR('',#41112,0.393700787401575); #11104=VECTOR('',#41113,0.393700787401575); #11105=VECTOR('',#41114,0.393700787401575); #11106=VECTOR('',#41117,0.393700787401575); #11107=VECTOR('',#41118,0.393700787401575); #11108=VECTOR('',#41119,0.393700787401575); #11109=VECTOR('',#41122,0.393700787401575); #11110=VECTOR('',#41123,0.393700787401575); #11111=VECTOR('',#41124,0.393700787401575); #11112=VECTOR('',#41127,0.393700787401575); #11113=VECTOR('',#41128,0.393700787401575); #11114=VECTOR('',#41129,0.393700787401575); #11115=VECTOR('',#41132,0.393700787401575); #11116=VECTOR('',#41133,0.393700787401575); #11117=VECTOR('',#41142,0.109375); #11118=VECTOR('',#41149,0.109375); #11119=VECTOR('',#41156,0.84375); #11120=VECTOR('',#41161,0.393700787401575); #11121=VECTOR('',#41162,0.393700787401575); #11122=VECTOR('',#41163,0.393700787401575); #11123=VECTOR('',#41164,0.393700787401575); #11124=VECTOR('',#41171,0.393700787401575); #11125=VECTOR('',#41174,0.393700787401575); #11126=VECTOR('',#41175,0.393700787401575); #11127=VECTOR('',#41176,0.393700787401575); #11128=VECTOR('',#41183,0.393700787401575); #11129=VECTOR('',#41186,0.393700787401575); #11130=VECTOR('',#41187,0.393700787401575); #11131=VECTOR('',#41188,0.393700787401575); #11132=VECTOR('',#41195,0.393700787401575); #11133=VECTOR('',#41198,0.393700787401575); #11134=VECTOR('',#41199,0.393700787401575); #11135=VECTOR('',#41200,0.393700787401575); #11136=VECTOR('',#41219,0.12); #11137=VECTOR('',#41226,0.12); #11138=VECTOR('',#41231,0.393700787401575); #11139=VECTOR('',#41232,0.393700787401575); #11140=VECTOR('',#41233,0.393700787401575); #11141=VECTOR('',#41234,0.393700787401575); #11142=VECTOR('',#41237,0.393700787401575); #11143=VECTOR('',#41238,0.393700787401575); #11144=VECTOR('',#41239,0.393700787401575); #11145=VECTOR('',#41242,0.393700787401575); #11146=VECTOR('',#41243,0.393700787401575); #11147=VECTOR('',#41244,0.393700787401575); #11148=VECTOR('',#41247,0.393700787401575); #11149=VECTOR('',#41248,0.393700787401575); #11150=VECTOR('',#41249,0.393700787401575); #11151=VECTOR('',#41252,0.393700787401575); #11152=VECTOR('',#41253,0.393700787401575); #11153=VECTOR('',#41254,0.393700787401575); #11154=VECTOR('',#41257,0.393700787401575); #11155=VECTOR('',#41258,0.393700787401575); #11156=VECTOR('',#41259,0.393700787401575); #11157=VECTOR('',#41262,0.393700787401575); #11158=VECTOR('',#41263,0.393700787401575); #11159=VECTOR('',#41264,0.393700787401575); #11160=VECTOR('',#41267,0.393700787401575); #11161=VECTOR('',#41268,0.393700787401575); #11162=VECTOR('',#41269,0.393700787401575); #11163=VECTOR('',#41272,0.393700787401575); #11164=VECTOR('',#41273,0.393700787401575); #11165=VECTOR('',#41274,0.393700787401575); #11166=VECTOR('',#41277,0.393700787401575); #11167=VECTOR('',#41278,0.393700787401575); #11168=VECTOR('',#41279,0.393700787401575); #11169=VECTOR('',#41282,0.393700787401575); #11170=VECTOR('',#41283,0.393700787401575); #11171=VECTOR('',#41284,0.393700787401575); #11172=VECTOR('',#41287,0.393700787401575); #11173=VECTOR('',#41288,0.393700787401575); #11174=VECTOR('',#41297,0.12); #11175=VECTOR('',#41304,0.12); #11176=VECTOR('',#41309,0.393700787401575); #11177=VECTOR('',#41310,0.393700787401575); #11178=VECTOR('',#41311,0.393700787401575); #11179=VECTOR('',#41312,0.393700787401575); #11180=VECTOR('',#41315,0.393700787401575); #11181=VECTOR('',#41316,0.393700787401575); #11182=VECTOR('',#41317,0.393700787401575); #11183=VECTOR('',#41320,0.393700787401575); #11184=VECTOR('',#41321,0.393700787401575); #11185=VECTOR('',#41322,0.393700787401575); #11186=VECTOR('',#41325,0.393700787401575); #11187=VECTOR('',#41326,0.393700787401575); #11188=VECTOR('',#41327,0.393700787401575); #11189=VECTOR('',#41330,0.393700787401575); #11190=VECTOR('',#41331,0.393700787401575); #11191=VECTOR('',#41332,0.393700787401575); #11192=VECTOR('',#41335,0.393700787401575); #11193=VECTOR('',#41336,0.393700787401575); #11194=VECTOR('',#41337,0.393700787401575); #11195=VECTOR('',#41340,0.393700787401575); #11196=VECTOR('',#41341,0.393700787401575); #11197=VECTOR('',#41342,0.393700787401575); #11198=VECTOR('',#41345,0.393700787401575); #11199=VECTOR('',#41346,0.393700787401575); #11200=VECTOR('',#41347,0.393700787401575); #11201=VECTOR('',#41350,0.393700787401575); #11202=VECTOR('',#41351,0.393700787401575); #11203=VECTOR('',#41352,0.393700787401575); #11204=VECTOR('',#41355,0.393700787401575); #11205=VECTOR('',#41356,0.393700787401575); #11206=VECTOR('',#41357,0.393700787401575); #11207=VECTOR('',#41360,0.393700787401575); #11208=VECTOR('',#41361,0.393700787401575); #11209=VECTOR('',#41362,0.393700787401575); #11210=VECTOR('',#41365,0.393700787401575); #11211=VECTOR('',#41366,0.393700787401575); #11212=VECTOR('',#41375,0.12); #11213=VECTOR('',#41382,0.12); #11214=VECTOR('',#41387,0.393700787401575); #11215=VECTOR('',#41388,0.393700787401575); #11216=VECTOR('',#41389,0.393700787401575); #11217=VECTOR('',#41390,0.393700787401575); #11218=VECTOR('',#41393,0.393700787401575); #11219=VECTOR('',#41394,0.393700787401575); #11220=VECTOR('',#41395,0.393700787401575); #11221=VECTOR('',#41398,0.393700787401575); #11222=VECTOR('',#41399,0.393700787401575); #11223=VECTOR('',#41400,0.393700787401575); #11224=VECTOR('',#41403,0.393700787401575); #11225=VECTOR('',#41404,0.393700787401575); #11226=VECTOR('',#41405,0.393700787401575); #11227=VECTOR('',#41408,0.393700787401575); #11228=VECTOR('',#41409,0.393700787401575); #11229=VECTOR('',#41410,0.393700787401575); #11230=VECTOR('',#41413,0.393700787401575); #11231=VECTOR('',#41414,0.393700787401575); #11232=VECTOR('',#41415,0.393700787401575); #11233=VECTOR('',#41418,0.393700787401575); #11234=VECTOR('',#41419,0.393700787401575); #11235=VECTOR('',#41420,0.393700787401575); #11236=VECTOR('',#41423,0.393700787401575); #11237=VECTOR('',#41424,0.393700787401575); #11238=VECTOR('',#41425,0.393700787401575); #11239=VECTOR('',#41428,0.393700787401575); #11240=VECTOR('',#41429,0.393700787401575); #11241=VECTOR('',#41430,0.393700787401575); #11242=VECTOR('',#41433,0.393700787401575); #11243=VECTOR('',#41434,0.393700787401575); #11244=VECTOR('',#41435,0.393700787401575); #11245=VECTOR('',#41438,0.393700787401575); #11246=VECTOR('',#41439,0.393700787401575); #11247=VECTOR('',#41440,0.393700787401575); #11248=VECTOR('',#41443,0.393700787401575); #11249=VECTOR('',#41444,0.393700787401575); #11250=VECTOR('',#41453,0.12); #11251=VECTOR('',#41460,0.12); #11252=VECTOR('',#41465,0.393700787401575); #11253=VECTOR('',#41466,0.393700787401575); #11254=VECTOR('',#41467,0.393700787401575); #11255=VECTOR('',#41468,0.393700787401575); #11256=VECTOR('',#41471,0.393700787401575); #11257=VECTOR('',#41472,0.393700787401575); #11258=VECTOR('',#41473,0.393700787401575); #11259=VECTOR('',#41476,0.393700787401575); #11260=VECTOR('',#41477,0.393700787401575); #11261=VECTOR('',#41478,0.393700787401575); #11262=VECTOR('',#41481,0.393700787401575); #11263=VECTOR('',#41482,0.393700787401575); #11264=VECTOR('',#41483,0.393700787401575); #11265=VECTOR('',#41486,0.393700787401575); #11266=VECTOR('',#41487,0.393700787401575); #11267=VECTOR('',#41488,0.393700787401575); #11268=VECTOR('',#41491,0.393700787401575); #11269=VECTOR('',#41492,0.393700787401575); #11270=VECTOR('',#41493,0.393700787401575); #11271=VECTOR('',#41496,0.393700787401575); #11272=VECTOR('',#41497,0.393700787401575); #11273=VECTOR('',#41498,0.393700787401575); #11274=VECTOR('',#41501,0.393700787401575); #11275=VECTOR('',#41502,0.393700787401575); #11276=VECTOR('',#41503,0.393700787401575); #11277=VECTOR('',#41506,0.393700787401575); #11278=VECTOR('',#41507,0.393700787401575); #11279=VECTOR('',#41508,0.393700787401575); #11280=VECTOR('',#41511,0.393700787401575); #11281=VECTOR('',#41512,0.393700787401575); #11282=VECTOR('',#41513,0.393700787401575); #11283=VECTOR('',#41516,0.393700787401575); #11284=VECTOR('',#41517,0.393700787401575); #11285=VECTOR('',#41518,0.393700787401575); #11286=VECTOR('',#41521,0.393700787401575); #11287=VECTOR('',#41522,0.393700787401575); #11288=VECTOR('',#41531,0.12); #11289=VECTOR('',#41538,0.12); #11290=VECTOR('',#41543,0.393700787401575); #11291=VECTOR('',#41544,0.393700787401575); #11292=VECTOR('',#41545,0.393700787401575); #11293=VECTOR('',#41546,0.393700787401575); #11294=VECTOR('',#41549,0.393700787401575); #11295=VECTOR('',#41550,0.393700787401575); #11296=VECTOR('',#41551,0.393700787401575); #11297=VECTOR('',#41554,0.393700787401575); #11298=VECTOR('',#41555,0.393700787401575); #11299=VECTOR('',#41556,0.393700787401575); #11300=VECTOR('',#41559,0.393700787401575); #11301=VECTOR('',#41560,0.393700787401575); #11302=VECTOR('',#41561,0.393700787401575); #11303=VECTOR('',#41564,0.393700787401575); #11304=VECTOR('',#41565,0.393700787401575); #11305=VECTOR('',#41566,0.393700787401575); #11306=VECTOR('',#41569,0.393700787401575); #11307=VECTOR('',#41570,0.393700787401575); #11308=VECTOR('',#41571,0.393700787401575); #11309=VECTOR('',#41574,0.393700787401575); #11310=VECTOR('',#41575,0.393700787401575); #11311=VECTOR('',#41576,0.393700787401575); #11312=VECTOR('',#41579,0.393700787401575); #11313=VECTOR('',#41580,0.393700787401575); #11314=VECTOR('',#41581,0.393700787401575); #11315=VECTOR('',#41584,0.393700787401575); #11316=VECTOR('',#41585,0.393700787401575); #11317=VECTOR('',#41586,0.393700787401575); #11318=VECTOR('',#41589,0.393700787401575); #11319=VECTOR('',#41590,0.393700787401575); #11320=VECTOR('',#41591,0.393700787401575); #11321=VECTOR('',#41594,0.393700787401575); #11322=VECTOR('',#41595,0.393700787401575); #11323=VECTOR('',#41596,0.393700787401575); #11324=VECTOR('',#41599,0.393700787401575); #11325=VECTOR('',#41600,0.393700787401575); #11326=VECTOR('',#41609,0.12); #11327=VECTOR('',#41616,0.12); #11328=VECTOR('',#41621,0.393700787401575); #11329=VECTOR('',#41622,0.393700787401575); #11330=VECTOR('',#41623,0.393700787401575); #11331=VECTOR('',#41624,0.393700787401575); #11332=VECTOR('',#41627,0.393700787401575); #11333=VECTOR('',#41628,0.393700787401575); #11334=VECTOR('',#41629,0.393700787401575); #11335=VECTOR('',#41632,0.393700787401575); #11336=VECTOR('',#41633,0.393700787401575); #11337=VECTOR('',#41634,0.393700787401575); #11338=VECTOR('',#41637,0.393700787401575); #11339=VECTOR('',#41638,0.393700787401575); #11340=VECTOR('',#41639,0.393700787401575); #11341=VECTOR('',#41642,0.393700787401575); #11342=VECTOR('',#41643,0.393700787401575); #11343=VECTOR('',#41644,0.393700787401575); #11344=VECTOR('',#41647,0.393700787401575); #11345=VECTOR('',#41648,0.393700787401575); #11346=VECTOR('',#41649,0.393700787401575); #11347=VECTOR('',#41652,0.393700787401575); #11348=VECTOR('',#41653,0.393700787401575); #11349=VECTOR('',#41654,0.393700787401575); #11350=VECTOR('',#41657,0.393700787401575); #11351=VECTOR('',#41658,0.393700787401575); #11352=VECTOR('',#41659,0.393700787401575); #11353=VECTOR('',#41662,0.393700787401575); #11354=VECTOR('',#41663,0.393700787401575); #11355=VECTOR('',#41664,0.393700787401575); #11356=VECTOR('',#41667,0.393700787401575); #11357=VECTOR('',#41668,0.393700787401575); #11358=VECTOR('',#41669,0.393700787401575); #11359=VECTOR('',#41672,0.393700787401575); #11360=VECTOR('',#41673,0.393700787401575); #11361=VECTOR('',#41674,0.393700787401575); #11362=VECTOR('',#41677,0.393700787401575); #11363=VECTOR('',#41678,0.393700787401575); #11364=VECTOR('',#41687,0.393700787401575); #11365=VECTOR('',#41688,0.393700787401575); #11366=VECTOR('',#41689,0.393700787401575); #11367=VECTOR('',#41690,0.393700787401575); #11368=VECTOR('',#41697,0.393700787401575); #11369=VECTOR('',#41700,0.393700787401575); #11370=VECTOR('',#41701,0.393700787401575); #11371=VECTOR('',#41702,0.393700787401575); #11372=VECTOR('',#41709,0.393700787401575); #11373=VECTOR('',#41712,0.393700787401575); #11374=VECTOR('',#41713,0.393700787401575); #11375=VECTOR('',#41714,0.393700787401575); #11376=VECTOR('',#41721,0.393700787401575); #11377=VECTOR('',#41724,0.393700787401575); #11378=VECTOR('',#41725,0.393700787401575); #11379=VECTOR('',#41726,0.393700787401575); #11380=VECTOR('',#41735,0.393700787401575); #11381=VECTOR('',#41736,0.393700787401575); #11382=VECTOR('',#41737,0.393700787401575); #11383=VECTOR('',#41738,0.393700787401575); #11384=VECTOR('',#41745,0.393700787401575); #11385=VECTOR('',#41748,0.393700787401575); #11386=VECTOR('',#41749,0.393700787401575); #11387=VECTOR('',#41750,0.393700787401575); #11388=VECTOR('',#41757,0.393700787401575); #11389=VECTOR('',#41760,0.393700787401575); #11390=VECTOR('',#41761,0.393700787401575); #11391=VECTOR('',#41762,0.393700787401575); #11392=VECTOR('',#41769,0.393700787401575); #11393=VECTOR('',#41772,0.393700787401575); #11394=VECTOR('',#41773,0.393700787401575); #11395=VECTOR('',#41774,0.393700787401575); #11396=VECTOR('',#41783,0.393700787401575); #11397=VECTOR('',#41784,0.393700787401575); #11398=VECTOR('',#41785,0.393700787401575); #11399=VECTOR('',#41786,0.393700787401575); #11400=VECTOR('',#41793,0.393700787401575); #11401=VECTOR('',#41796,0.393700787401575); #11402=VECTOR('',#41797,0.393700787401575); #11403=VECTOR('',#41798,0.393700787401575); #11404=VECTOR('',#41805,0.393700787401575); #11405=VECTOR('',#41808,0.393700787401575); #11406=VECTOR('',#41809,0.393700787401575); #11407=VECTOR('',#41810,0.393700787401575); #11408=VECTOR('',#41817,0.393700787401575); #11409=VECTOR('',#41820,0.393700787401575); #11410=VECTOR('',#41821,0.393700787401575); #11411=VECTOR('',#41822,0.393700787401575); #11412=VECTOR('',#41831,0.393700787401575); #11413=VECTOR('',#41832,0.393700787401575); #11414=VECTOR('',#41833,0.393700787401575); #11415=VECTOR('',#41834,0.393700787401575); #11416=VECTOR('',#41841,0.393700787401575); #11417=VECTOR('',#41844,0.393700787401575); #11418=VECTOR('',#41845,0.393700787401575); #11419=VECTOR('',#41846,0.393700787401575); #11420=VECTOR('',#41853,0.393700787401575); #11421=VECTOR('',#41856,0.393700787401575); #11422=VECTOR('',#41857,0.393700787401575); #11423=VECTOR('',#41858,0.393700787401575); #11424=VECTOR('',#41865,0.393700787401575); #11425=VECTOR('',#41868,0.393700787401575); #11426=VECTOR('',#41869,0.393700787401575); #11427=VECTOR('',#41870,0.393700787401575); #11428=VECTOR('',#41879,0.393700787401575); #11429=VECTOR('',#41880,0.393700787401575); #11430=VECTOR('',#41881,0.393700787401575); #11431=VECTOR('',#41882,0.393700787401575); #11432=VECTOR('',#41889,0.393700787401575); #11433=VECTOR('',#41892,0.393700787401575); #11434=VECTOR('',#41893,0.393700787401575); #11435=VECTOR('',#41894,0.393700787401575); #11436=VECTOR('',#41901,0.393700787401575); #11437=VECTOR('',#41904,0.393700787401575); #11438=VECTOR('',#41905,0.393700787401575); #11439=VECTOR('',#41906,0.393700787401575); #11440=VECTOR('',#41913,0.393700787401575); #11441=VECTOR('',#41916,0.393700787401575); #11442=VECTOR('',#41917,0.393700787401575); #11443=VECTOR('',#41918,0.393700787401575); #11444=VECTOR('',#41927,0.393700787401575); #11445=VECTOR('',#41928,0.393700787401575); #11446=VECTOR('',#41929,0.393700787401575); #11447=VECTOR('',#41930,0.393700787401575); #11448=VECTOR('',#41937,0.393700787401575); #11449=VECTOR('',#41940,0.393700787401575); #11450=VECTOR('',#41941,0.393700787401575); #11451=VECTOR('',#41942,0.393700787401575); #11452=VECTOR('',#41949,0.393700787401575); #11453=VECTOR('',#41952,0.393700787401575); #11454=VECTOR('',#41953,0.393700787401575); #11455=VECTOR('',#41954,0.393700787401575); #11456=VECTOR('',#41961,0.393700787401575); #11457=VECTOR('',#41964,0.393700787401575); #11458=VECTOR('',#41965,0.393700787401575); #11459=VECTOR('',#41966,0.393700787401575); #11460=VECTOR('',#41975,0.393700787401575); #11461=VECTOR('',#41976,0.393700787401575); #11462=VECTOR('',#41977,0.393700787401575); #11463=VECTOR('',#41978,0.393700787401575); #11464=VECTOR('',#41985,0.393700787401575); #11465=VECTOR('',#41988,0.393700787401575); #11466=VECTOR('',#41989,0.393700787401575); #11467=VECTOR('',#41990,0.393700787401575); #11468=VECTOR('',#41997,0.393700787401575); #11469=VECTOR('',#42000,0.393700787401575); #11470=VECTOR('',#42001,0.393700787401575); #11471=VECTOR('',#42002,0.393700787401575); #11472=VECTOR('',#42009,0.393700787401575); #11473=VECTOR('',#42012,0.393700787401575); #11474=VECTOR('',#42013,0.393700787401575); #11475=VECTOR('',#42014,0.393700787401575); #11476=VECTOR('',#42023,0.393700787401575); #11477=VECTOR('',#42024,0.393700787401575); #11478=VECTOR('',#42025,0.393700787401575); #11479=VECTOR('',#42026,0.393700787401575); #11480=VECTOR('',#42033,0.393700787401575); #11481=VECTOR('',#42036,0.393700787401575); #11482=VECTOR('',#42037,0.393700787401575); #11483=VECTOR('',#42038,0.393700787401575); #11484=VECTOR('',#42045,0.393700787401575); #11485=VECTOR('',#42048,0.393700787401575); #11486=VECTOR('',#42049,0.393700787401575); #11487=VECTOR('',#42050,0.393700787401575); #11488=VECTOR('',#42057,0.393700787401575); #11489=VECTOR('',#42060,0.393700787401575); #11490=VECTOR('',#42061,0.393700787401575); #11491=VECTOR('',#42062,0.393700787401575); #11492=VECTOR('',#42071,0.393700787401575); #11493=VECTOR('',#42072,0.393700787401575); #11494=VECTOR('',#42073,0.393700787401575); #11495=VECTOR('',#42074,0.393700787401575); #11496=VECTOR('',#42081,0.393700787401575); #11497=VECTOR('',#42084,0.393700787401575); #11498=VECTOR('',#42085,0.393700787401575); #11499=VECTOR('',#42086,0.393700787401575); #11500=VECTOR('',#42093,0.393700787401575); #11501=VECTOR('',#42096,0.393700787401575); #11502=VECTOR('',#42097,0.393700787401575); #11503=VECTOR('',#42098,0.393700787401575); #11504=VECTOR('',#42105,0.393700787401575); #11505=VECTOR('',#42108,0.393700787401575); #11506=VECTOR('',#42109,0.393700787401575); #11507=VECTOR('',#42110,0.393700787401575); #11508=VECTOR('',#42119,0.393700787401575); #11509=VECTOR('',#42120,0.393700787401575); #11510=VECTOR('',#42121,0.393700787401575); #11511=VECTOR('',#42122,0.393700787401575); #11512=VECTOR('',#42129,0.393700787401575); #11513=VECTOR('',#42132,0.393700787401575); #11514=VECTOR('',#42133,0.393700787401575); #11515=VECTOR('',#42134,0.393700787401575); #11516=VECTOR('',#42141,0.393700787401575); #11517=VECTOR('',#42144,0.393700787401575); #11518=VECTOR('',#42145,0.393700787401575); #11519=VECTOR('',#42146,0.393700787401575); #11520=VECTOR('',#42153,0.393700787401575); #11521=VECTOR('',#42156,0.393700787401575); #11522=VECTOR('',#42157,0.393700787401575); #11523=VECTOR('',#42158,0.393700787401575); #11524=VECTOR('',#42167,0.393700787401575); #11525=VECTOR('',#42168,0.393700787401575); #11526=VECTOR('',#42169,0.393700787401575); #11527=VECTOR('',#42170,0.393700787401575); #11528=VECTOR('',#42177,0.393700787401575); #11529=VECTOR('',#42180,0.393700787401575); #11530=VECTOR('',#42181,0.393700787401575); #11531=VECTOR('',#42182,0.393700787401575); #11532=VECTOR('',#42189,0.393700787401575); #11533=VECTOR('',#42192,0.393700787401575); #11534=VECTOR('',#42193,0.393700787401575); #11535=VECTOR('',#42194,0.393700787401575); #11536=VECTOR('',#42201,0.393700787401575); #11537=VECTOR('',#42204,0.393700787401575); #11538=VECTOR('',#42205,0.393700787401575); #11539=VECTOR('',#42206,0.393700787401575); #11540=VECTOR('',#42215,0.393700787401575); #11541=VECTOR('',#42216,0.393700787401575); #11542=VECTOR('',#42217,0.393700787401575); #11543=VECTOR('',#42218,0.393700787401575); #11544=VECTOR('',#42225,0.393700787401575); #11545=VECTOR('',#42228,0.393700787401575); #11546=VECTOR('',#42229,0.393700787401575); #11547=VECTOR('',#42230,0.393700787401575); #11548=VECTOR('',#42237,0.393700787401575); #11549=VECTOR('',#42240,0.393700787401575); #11550=VECTOR('',#42241,0.393700787401575); #11551=VECTOR('',#42242,0.393700787401575); #11552=VECTOR('',#42249,0.393700787401575); #11553=VECTOR('',#42252,0.393700787401575); #11554=VECTOR('',#42253,0.393700787401575); #11555=VECTOR('',#42254,0.393700787401575); #11556=VECTOR('',#42263,0.393700787401575); #11557=VECTOR('',#42264,0.393700787401575); #11558=VECTOR('',#42265,0.393700787401575); #11559=VECTOR('',#42266,0.393700787401575); #11560=VECTOR('',#42273,0.393700787401575); #11561=VECTOR('',#42276,0.393700787401575); #11562=VECTOR('',#42277,0.393700787401575); #11563=VECTOR('',#42278,0.393700787401575); #11564=VECTOR('',#42285,0.393700787401575); #11565=VECTOR('',#42288,0.393700787401575); #11566=VECTOR('',#42289,0.393700787401575); #11567=VECTOR('',#42290,0.393700787401575); #11568=VECTOR('',#42297,0.393700787401575); #11569=VECTOR('',#42300,0.393700787401575); #11570=VECTOR('',#42301,0.393700787401575); #11571=VECTOR('',#42302,0.393700787401575); #11572=VECTOR('',#42311,0.393700787401575); #11573=VECTOR('',#42312,0.393700787401575); #11574=VECTOR('',#42313,0.393700787401575); #11575=VECTOR('',#42314,0.393700787401575); #11576=VECTOR('',#42321,0.393700787401575); #11577=VECTOR('',#42324,0.393700787401575); #11578=VECTOR('',#42325,0.393700787401575); #11579=VECTOR('',#42326,0.393700787401575); #11580=VECTOR('',#42333,0.393700787401575); #11581=VECTOR('',#42336,0.393700787401575); #11582=VECTOR('',#42337,0.393700787401575); #11583=VECTOR('',#42338,0.393700787401575); #11584=VECTOR('',#42345,0.393700787401575); #11585=VECTOR('',#42348,0.393700787401575); #11586=VECTOR('',#42349,0.393700787401575); #11587=VECTOR('',#42350,0.393700787401575); #11588=VECTOR('',#42359,0.393700787401575); #11589=VECTOR('',#42360,0.393700787401575); #11590=VECTOR('',#42361,0.393700787401575); #11591=VECTOR('',#42362,0.393700787401575); #11592=VECTOR('',#42369,0.393700787401575); #11593=VECTOR('',#42372,0.393700787401575); #11594=VECTOR('',#42373,0.393700787401575); #11595=VECTOR('',#42374,0.393700787401575); #11596=VECTOR('',#42381,0.393700787401575); #11597=VECTOR('',#42384,0.393700787401575); #11598=VECTOR('',#42385,0.393700787401575); #11599=VECTOR('',#42386,0.393700787401575); #11600=VECTOR('',#42393,0.393700787401575); #11601=VECTOR('',#42396,0.393700787401575); #11602=VECTOR('',#42397,0.393700787401575); #11603=VECTOR('',#42398,0.393700787401575); #11604=VECTOR('',#42407,0.393700787401575); #11605=VECTOR('',#42408,0.393700787401575); #11606=VECTOR('',#42409,0.393700787401575); #11607=VECTOR('',#42410,0.393700787401575); #11608=VECTOR('',#42417,0.393700787401575); #11609=VECTOR('',#42420,0.393700787401575); #11610=VECTOR('',#42421,0.393700787401575); #11611=VECTOR('',#42422,0.393700787401575); #11612=VECTOR('',#42429,0.393700787401575); #11613=VECTOR('',#42432,0.393700787401575); #11614=VECTOR('',#42433,0.393700787401575); #11615=VECTOR('',#42434,0.393700787401575); #11616=VECTOR('',#42441,0.393700787401575); #11617=VECTOR('',#42444,0.393700787401575); #11618=VECTOR('',#42445,0.393700787401575); #11619=VECTOR('',#42446,0.393700787401575); #11620=VECTOR('',#42455,0.393700787401575); #11621=VECTOR('',#42456,0.393700787401575); #11622=VECTOR('',#42457,0.393700787401575); #11623=VECTOR('',#42458,0.393700787401575); #11624=VECTOR('',#42465,0.393700787401575); #11625=VECTOR('',#42468,0.393700787401575); #11626=VECTOR('',#42469,0.393700787401575); #11627=VECTOR('',#42470,0.393700787401575); #11628=VECTOR('',#42477,0.393700787401575); #11629=VECTOR('',#42480,0.393700787401575); #11630=VECTOR('',#42481,0.393700787401575); #11631=VECTOR('',#42482,0.393700787401575); #11632=VECTOR('',#42489,0.393700787401575); #11633=VECTOR('',#42492,0.393700787401575); #11634=VECTOR('',#42493,0.393700787401575); #11635=VECTOR('',#42494,0.393700787401575); #11636=VECTOR('',#42503,0.393700787401575); #11637=VECTOR('',#42504,0.393700787401575); #11638=VECTOR('',#42505,0.393700787401575); #11639=VECTOR('',#42506,0.393700787401575); #11640=VECTOR('',#42513,0.393700787401575); #11641=VECTOR('',#42516,0.393700787401575); #11642=VECTOR('',#42517,0.393700787401575); #11643=VECTOR('',#42518,0.393700787401575); #11644=VECTOR('',#42525,0.393700787401575); #11645=VECTOR('',#42528,0.393700787401575); #11646=VECTOR('',#42529,0.393700787401575); #11647=VECTOR('',#42530,0.393700787401575); #11648=VECTOR('',#42537,0.393700787401575); #11649=VECTOR('',#42540,0.393700787401575); #11650=VECTOR('',#42541,0.393700787401575); #11651=VECTOR('',#42542,0.393700787401575); #11652=VECTOR('',#42551,0.393700787401575); #11653=VECTOR('',#42552,0.393700787401575); #11654=VECTOR('',#42553,0.393700787401575); #11655=VECTOR('',#42554,0.393700787401575); #11656=VECTOR('',#42557,0.393700787401575); #11657=VECTOR('',#42558,0.393700787401575); #11658=VECTOR('',#42559,0.393700787401575); #11659=VECTOR('',#42562,0.393700787401575); #11660=VECTOR('',#42563,0.393700787401575); #11661=VECTOR('',#42564,0.393700787401575); #11662=VECTOR('',#42571,0.393700787401575); #11663=VECTOR('',#42574,0.393700787401575); #11664=VECTOR('',#42575,0.393700787401575); #11665=VECTOR('',#42576,0.393700787401575); #11666=VECTOR('',#42583,0.393700787401575); #11667=VECTOR('',#42586,0.393700787401575); #11668=VECTOR('',#42587,0.393700787401575); #11669=VECTOR('',#42588,0.393700787401575); #11670=VECTOR('',#42591,0.393700787401575); #11671=VECTOR('',#42592,0.393700787401575); #11672=VECTOR('',#42593,0.393700787401575); #11673=VECTOR('',#42596,0.393700787401575); #11674=VECTOR('',#42597,0.393700787401575); #11675=VECTOR('',#42598,0.393700787401575); #11676=VECTOR('',#42601,0.393700787401575); #11677=VECTOR('',#42602,0.393700787401575); #11678=VECTOR('',#42603,0.393700787401575); #11679=VECTOR('',#42610,0.393700787401575); #11680=VECTOR('',#42613,0.393700787401575); #11681=VECTOR('',#42614,0.393700787401575); #11682=VECTOR('',#42615,0.393700787401575); #11683=VECTOR('',#42618,0.393700787401575); #11684=VECTOR('',#42619,0.393700787401575); #11685=VECTOR('',#42620,0.393700787401575); #11686=VECTOR('',#42623,0.393700787401575); #11687=VECTOR('',#42624,0.393700787401575); #11688=VECTOR('',#42625,0.393700787401575); #11689=VECTOR('',#42628,0.393700787401575); #11690=VECTOR('',#42629,0.393700787401575); #11691=VECTOR('',#42630,0.393700787401575); #11692=VECTOR('',#42633,0.393700787401575); #11693=VECTOR('',#42634,0.393700787401575); #11694=VECTOR('',#42635,0.393700787401575); #11695=VECTOR('',#42642,0.393700787401575); #11696=VECTOR('',#42645,0.393700787401575); #11697=VECTOR('',#42646,0.393700787401575); #11698=VECTOR('',#42653,0.393700787401575); #11699=VECTOR('',#42654,0.393700787401575); #11700=VECTOR('',#42655,0.393700787401575); #11701=VECTOR('',#42656,0.393700787401575); #11702=VECTOR('',#42661,0.393700787401575); #11703=VECTOR('',#42666,0.393700787401575); #11704=VECTOR('',#42667,0.393700787401575); #11705=VECTOR('',#42668,0.393700787401575); #11706=VECTOR('',#42673,0.393700787401575); #11707=VECTOR('',#42678,0.393700787401575); #11708=VECTOR('',#42679,0.393700787401575); #11709=VECTOR('',#42680,0.393700787401575); #11710=VECTOR('',#42685,0.393700787401575); #11711=VECTOR('',#42690,0.393700787401575); #11712=VECTOR('',#42691,0.393700787401575); #11713=VECTOR('',#42692,0.393700787401575); #11714=VECTOR('',#42701,0.393700787401575); #11715=VECTOR('',#42702,0.393700787401575); #11716=VECTOR('',#42703,0.393700787401575); #11717=VECTOR('',#42704,0.393700787401575); #11718=VECTOR('',#42709,0.393700787401575); #11719=VECTOR('',#42714,0.393700787401575); #11720=VECTOR('',#42715,0.393700787401575); #11721=VECTOR('',#42716,0.393700787401575); #11722=VECTOR('',#42721,0.393700787401575); #11723=VECTOR('',#42726,0.393700787401575); #11724=VECTOR('',#42727,0.393700787401575); #11725=VECTOR('',#42728,0.393700787401575); #11726=VECTOR('',#42733,0.393700787401575); #11727=VECTOR('',#42738,0.393700787401575); #11728=VECTOR('',#42739,0.393700787401575); #11729=VECTOR('',#42740,0.393700787401575); #11730=VECTOR('',#42749,0.393700787401575); #11731=VECTOR('',#42750,0.393700787401575); #11732=VECTOR('',#42751,0.393700787401575); #11733=VECTOR('',#42752,0.393700787401575); #11734=VECTOR('',#42757,0.393700787401575); #11735=VECTOR('',#42762,0.393700787401575); #11736=VECTOR('',#42763,0.393700787401575); #11737=VECTOR('',#42764,0.393700787401575); #11738=VECTOR('',#42769,0.393700787401575); #11739=VECTOR('',#42774,0.393700787401575); #11740=VECTOR('',#42775,0.393700787401575); #11741=VECTOR('',#42776,0.393700787401575); #11742=VECTOR('',#42781,0.393700787401575); #11743=VECTOR('',#42786,0.393700787401575); #11744=VECTOR('',#42787,0.393700787401575); #11745=VECTOR('',#42788,0.393700787401575); #11746=VECTOR('',#42797,0.393700787401575); #11747=VECTOR('',#42798,0.393700787401575); #11748=VECTOR('',#42799,0.393700787401575); #11749=VECTOR('',#42800,0.393700787401575); #11750=VECTOR('',#42805,0.393700787401575); #11751=VECTOR('',#42810,0.393700787401575); #11752=VECTOR('',#42811,0.393700787401575); #11753=VECTOR('',#42812,0.393700787401575); #11754=VECTOR('',#42817,0.393700787401575); #11755=VECTOR('',#42822,0.393700787401575); #11756=VECTOR('',#42823,0.393700787401575); #11757=VECTOR('',#42824,0.393700787401575); #11758=VECTOR('',#42829,0.393700787401575); #11759=VECTOR('',#42834,0.393700787401575); #11760=VECTOR('',#42835,0.393700787401575); #11761=VECTOR('',#42836,0.393700787401575); #11762=VECTOR('',#42845,0.393700787401575); #11763=VECTOR('',#42846,0.393700787401575); #11764=VECTOR('',#42847,0.393700787401575); #11765=VECTOR('',#42848,0.393700787401575); #11766=VECTOR('',#42853,0.393700787401575); #11767=VECTOR('',#42858,0.393700787401575); #11768=VECTOR('',#42859,0.393700787401575); #11769=VECTOR('',#42860,0.393700787401575); #11770=VECTOR('',#42865,0.393700787401575); #11771=VECTOR('',#42870,0.393700787401575); #11772=VECTOR('',#42871,0.393700787401575); #11773=VECTOR('',#42872,0.393700787401575); #11774=VECTOR('',#42877,0.393700787401575); #11775=VECTOR('',#42882,0.393700787401575); #11776=VECTOR('',#42883,0.393700787401575); #11777=VECTOR('',#42884,0.393700787401575); #11778=VECTOR('',#42893,0.393700787401575); #11779=VECTOR('',#42894,0.393700787401575); #11780=VECTOR('',#42895,0.393700787401575); #11781=VECTOR('',#42896,0.393700787401575); #11782=VECTOR('',#42901,0.393700787401575); #11783=VECTOR('',#42906,0.393700787401575); #11784=VECTOR('',#42907,0.393700787401575); #11785=VECTOR('',#42908,0.393700787401575); #11786=VECTOR('',#42913,0.393700787401575); #11787=VECTOR('',#42918,0.393700787401575); #11788=VECTOR('',#42919,0.393700787401575); #11789=VECTOR('',#42920,0.393700787401575); #11790=VECTOR('',#42925,0.393700787401575); #11791=VECTOR('',#42930,0.393700787401575); #11792=VECTOR('',#42931,0.393700787401575); #11793=VECTOR('',#42932,0.393700787401575); #11794=VECTOR('',#42941,0.393700787401575); #11795=VECTOR('',#42942,0.393700787401575); #11796=VECTOR('',#42943,0.393700787401575); #11797=VECTOR('',#42944,0.393700787401575); #11798=VECTOR('',#42949,0.393700787401575); #11799=VECTOR('',#42954,0.393700787401575); #11800=VECTOR('',#42955,0.393700787401575); #11801=VECTOR('',#42956,0.393700787401575); #11802=VECTOR('',#42961,0.393700787401575); #11803=VECTOR('',#42966,0.393700787401575); #11804=VECTOR('',#42967,0.393700787401575); #11805=VECTOR('',#42968,0.393700787401575); #11806=VECTOR('',#42973,0.393700787401575); #11807=VECTOR('',#42978,0.393700787401575); #11808=VECTOR('',#42979,0.393700787401575); #11809=VECTOR('',#42980,0.393700787401575); #11810=VECTOR('',#42989,0.393700787401575); #11811=VECTOR('',#42990,0.393700787401575); #11812=VECTOR('',#42991,0.393700787401575); #11813=VECTOR('',#42992,0.393700787401575); #11814=VECTOR('',#42997,0.393700787401575); #11815=VECTOR('',#43002,0.393700787401575); #11816=VECTOR('',#43003,0.393700787401575); #11817=VECTOR('',#43004,0.393700787401575); #11818=VECTOR('',#43009,0.393700787401575); #11819=VECTOR('',#43014,0.393700787401575); #11820=VECTOR('',#43015,0.393700787401575); #11821=VECTOR('',#43016,0.393700787401575); #11822=VECTOR('',#43021,0.393700787401575); #11823=VECTOR('',#43026,0.393700787401575); #11824=VECTOR('',#43027,0.393700787401575); #11825=VECTOR('',#43028,0.393700787401575); #11826=VECTOR('',#43037,0.393700787401575); #11827=VECTOR('',#43038,0.393700787401575); #11828=VECTOR('',#43039,0.393700787401575); #11829=VECTOR('',#43040,0.393700787401575); #11830=VECTOR('',#43045,0.393700787401575); #11831=VECTOR('',#43050,0.393700787401575); #11832=VECTOR('',#43051,0.393700787401575); #11833=VECTOR('',#43052,0.393700787401575); #11834=VECTOR('',#43057,0.393700787401575); #11835=VECTOR('',#43062,0.393700787401575); #11836=VECTOR('',#43063,0.393700787401575); #11837=VECTOR('',#43064,0.393700787401575); #11838=VECTOR('',#43069,0.393700787401575); #11839=VECTOR('',#43074,0.393700787401575); #11840=VECTOR('',#43075,0.393700787401575); #11841=VECTOR('',#43076,0.393700787401575); #11842=VECTOR('',#43085,0.393700787401575); #11843=VECTOR('',#43086,0.393700787401575); #11844=VECTOR('',#43087,0.393700787401575); #11845=VECTOR('',#43088,0.393700787401575); #11846=VECTOR('',#43093,0.393700787401575); #11847=VECTOR('',#43098,0.393700787401575); #11848=VECTOR('',#43099,0.393700787401575); #11849=VECTOR('',#43100,0.393700787401575); #11850=VECTOR('',#43105,0.393700787401575); #11851=VECTOR('',#43110,0.393700787401575); #11852=VECTOR('',#43111,0.393700787401575); #11853=VECTOR('',#43112,0.393700787401575); #11854=VECTOR('',#43117,0.393700787401575); #11855=VECTOR('',#43122,0.393700787401575); #11856=VECTOR('',#43123,0.393700787401575); #11857=VECTOR('',#43124,0.393700787401575); #11858=VECTOR('',#43133,0.393700787401575); #11859=VECTOR('',#43134,0.393700787401575); #11860=VECTOR('',#43135,0.393700787401575); #11861=VECTOR('',#43136,0.393700787401575); #11862=VECTOR('',#43141,0.393700787401575); #11863=VECTOR('',#43146,0.393700787401575); #11864=VECTOR('',#43147,0.393700787401575); #11865=VECTOR('',#43148,0.393700787401575); #11866=VECTOR('',#43153,0.393700787401575); #11867=VECTOR('',#43158,0.393700787401575); #11868=VECTOR('',#43159,0.393700787401575); #11869=VECTOR('',#43160,0.393700787401575); #11870=VECTOR('',#43165,0.393700787401575); #11871=VECTOR('',#43170,0.393700787401575); #11872=VECTOR('',#43171,0.393700787401575); #11873=VECTOR('',#43172,0.393700787401575); #11874=VECTOR('',#43181,0.393700787401575); #11875=VECTOR('',#43182,0.393700787401575); #11876=VECTOR('',#43183,0.393700787401575); #11877=VECTOR('',#43184,0.393700787401575); #11878=VECTOR('',#43189,0.393700787401575); #11879=VECTOR('',#43194,0.393700787401575); #11880=VECTOR('',#43195,0.393700787401575); #11881=VECTOR('',#43196,0.393700787401575); #11882=VECTOR('',#43201,0.393700787401575); #11883=VECTOR('',#43206,0.393700787401575); #11884=VECTOR('',#43207,0.393700787401575); #11885=VECTOR('',#43208,0.393700787401575); #11886=VECTOR('',#43213,0.393700787401575); #11887=VECTOR('',#43218,0.393700787401575); #11888=VECTOR('',#43219,0.393700787401575); #11889=VECTOR('',#43220,0.393700787401575); #11890=VECTOR('',#43229,0.393700787401575); #11891=VECTOR('',#43230,0.393700787401575); #11892=VECTOR('',#43231,0.393700787401575); #11893=VECTOR('',#43232,0.393700787401575); #11894=VECTOR('',#43237,0.393700787401575); #11895=VECTOR('',#43242,0.393700787401575); #11896=VECTOR('',#43243,0.393700787401575); #11897=VECTOR('',#43244,0.393700787401575); #11898=VECTOR('',#43249,0.393700787401575); #11899=VECTOR('',#43254,0.393700787401575); #11900=VECTOR('',#43255,0.393700787401575); #11901=VECTOR('',#43256,0.393700787401575); #11902=VECTOR('',#43261,0.393700787401575); #11903=VECTOR('',#43266,0.393700787401575); #11904=VECTOR('',#43267,0.393700787401575); #11905=VECTOR('',#43268,0.393700787401575); #11906=VECTOR('',#43277,0.393700787401575); #11907=VECTOR('',#43278,0.393700787401575); #11908=VECTOR('',#43279,0.393700787401575); #11909=VECTOR('',#43280,0.393700787401575); #11910=VECTOR('',#43285,0.393700787401575); #11911=VECTOR('',#43290,0.393700787401575); #11912=VECTOR('',#43291,0.393700787401575); #11913=VECTOR('',#43292,0.393700787401575); #11914=VECTOR('',#43297,0.393700787401575); #11915=VECTOR('',#43302,0.393700787401575); #11916=VECTOR('',#43303,0.393700787401575); #11917=VECTOR('',#43304,0.393700787401575); #11918=VECTOR('',#43309,0.393700787401575); #11919=VECTOR('',#43314,0.393700787401575); #11920=VECTOR('',#43315,0.393700787401575); #11921=VECTOR('',#43316,0.393700787401575); #11922=VECTOR('',#43325,0.393700787401575); #11923=VECTOR('',#43326,0.393700787401575); #11924=VECTOR('',#43327,0.393700787401575); #11925=VECTOR('',#43328,0.393700787401575); #11926=VECTOR('',#43333,0.393700787401575); #11927=VECTOR('',#43338,0.393700787401575); #11928=VECTOR('',#43339,0.393700787401575); #11929=VECTOR('',#43340,0.393700787401575); #11930=VECTOR('',#43345,0.393700787401575); #11931=VECTOR('',#43350,0.393700787401575); #11932=VECTOR('',#43351,0.393700787401575); #11933=VECTOR('',#43352,0.393700787401575); #11934=VECTOR('',#43357,0.393700787401575); #11935=VECTOR('',#43362,0.393700787401575); #11936=VECTOR('',#43363,0.393700787401575); #11937=VECTOR('',#43364,0.393700787401575); #11938=VECTOR('',#43373,0.393700787401575); #11939=VECTOR('',#43374,0.393700787401575); #11940=VECTOR('',#43375,0.393700787401575); #11941=VECTOR('',#43376,0.393700787401575); #11942=VECTOR('',#43381,0.393700787401575); #11943=VECTOR('',#43386,0.393700787401575); #11944=VECTOR('',#43387,0.393700787401575); #11945=VECTOR('',#43388,0.393700787401575); #11946=VECTOR('',#43393,0.393700787401575); #11947=VECTOR('',#43398,0.393700787401575); #11948=VECTOR('',#43399,0.393700787401575); #11949=VECTOR('',#43400,0.393700787401575); #11950=VECTOR('',#43405,0.393700787401575); #11951=VECTOR('',#43410,0.393700787401575); #11952=VECTOR('',#43411,0.393700787401575); #11953=VECTOR('',#43412,0.393700787401575); #11954=VECTOR('',#43421,0.393700787401575); #11955=VECTOR('',#43422,0.393700787401575); #11956=VECTOR('',#43423,0.393700787401575); #11957=VECTOR('',#43424,0.393700787401575); #11958=VECTOR('',#43429,0.393700787401575); #11959=VECTOR('',#43434,0.393700787401575); #11960=VECTOR('',#43435,0.393700787401575); #11961=VECTOR('',#43436,0.393700787401575); #11962=VECTOR('',#43441,0.393700787401575); #11963=VECTOR('',#43446,0.393700787401575); #11964=VECTOR('',#43447,0.393700787401575); #11965=VECTOR('',#43448,0.393700787401575); #11966=VECTOR('',#43453,0.393700787401575); #11967=VECTOR('',#43458,0.393700787401575); #11968=VECTOR('',#43459,0.393700787401575); #11969=VECTOR('',#43460,0.393700787401575); #11970=VECTOR('',#43469,0.393700787401575); #11971=VECTOR('',#43470,0.393700787401575); #11972=VECTOR('',#43471,0.393700787401575); #11973=VECTOR('',#43472,0.393700787401575); #11974=VECTOR('',#43477,0.393700787401575); #11975=VECTOR('',#43482,0.393700787401575); #11976=VECTOR('',#43483,0.393700787401575); #11977=VECTOR('',#43484,0.393700787401575); #11978=VECTOR('',#43489,0.393700787401575); #11979=VECTOR('',#43494,0.393700787401575); #11980=VECTOR('',#43495,0.393700787401575); #11981=VECTOR('',#43496,0.393700787401575); #11982=VECTOR('',#43501,0.393700787401575); #11983=VECTOR('',#43506,0.393700787401575); #11984=VECTOR('',#43507,0.393700787401575); #11985=VECTOR('',#43508,0.393700787401575); #11986=VECTOR('',#43517,0.393700787401575); #11987=VECTOR('',#43518,0.393700787401575); #11988=VECTOR('',#43519,0.393700787401575); #11989=VECTOR('',#43520,0.393700787401575); #11990=VECTOR('',#43523,0.393700787401575); #11991=VECTOR('',#43524,0.393700787401575); #11992=VECTOR('',#43525,0.393700787401575); #11993=VECTOR('',#43528,0.393700787401575); #11994=VECTOR('',#43529,0.393700787401575); #11995=VECTOR('',#43530,0.393700787401575); #11996=VECTOR('',#43535,0.393700787401575); #11997=VECTOR('',#43540,0.393700787401575); #11998=VECTOR('',#43541,0.393700787401575); #11999=VECTOR('',#43542,0.393700787401575); #12000=VECTOR('',#43547,0.393700787401575); #12001=VECTOR('',#43552,0.393700787401575); #12002=VECTOR('',#43553,0.393700787401575); #12003=VECTOR('',#43554,0.393700787401575); #12004=VECTOR('',#43557,0.393700787401575); #12005=VECTOR('',#43558,0.393700787401575); #12006=VECTOR('',#43559,0.393700787401575); #12007=VECTOR('',#43562,0.393700787401575); #12008=VECTOR('',#43563,0.393700787401575); #12009=VECTOR('',#43564,0.393700787401575); #12010=VECTOR('',#43567,0.393700787401575); #12011=VECTOR('',#43568,0.393700787401575); #12012=VECTOR('',#43569,0.393700787401575); #12013=VECTOR('',#43574,0.393700787401575); #12014=VECTOR('',#43579,0.393700787401575); #12015=VECTOR('',#43580,0.393700787401575); #12016=VECTOR('',#43581,0.393700787401575); #12017=VECTOR('',#43584,0.393700787401575); #12018=VECTOR('',#43585,0.393700787401575); #12019=VECTOR('',#43586,0.393700787401575); #12020=VECTOR('',#43589,0.393700787401575); #12021=VECTOR('',#43590,0.393700787401575); #12022=VECTOR('',#43591,0.393700787401575); #12023=VECTOR('',#43594,0.393700787401575); #12024=VECTOR('',#43595,0.393700787401575); #12025=VECTOR('',#43596,0.393700787401575); #12026=VECTOR('',#43599,0.393700787401575); #12027=VECTOR('',#43600,0.393700787401575); #12028=VECTOR('',#43601,0.393700787401575); #12029=VECTOR('',#43606,0.393700787401575); #12030=VECTOR('',#43611,0.393700787401575); #12031=VECTOR('',#43612,0.393700787401575); #12032=VECTOR('',#43635,0.393700787401575); #12033=VECTOR('',#43638,0.393700787401575); #12034=VECTOR('',#43641,0.393700787401575); #12035=VECTOR('',#43642,0.393700787401575); #12036=VECTOR('',#43643,0.393700787401575); #12037=VECTOR('',#43650,0.393700787401575); #12038=VECTOR('',#43653,0.393700787401575); #12039=VECTOR('',#43654,0.393700787401575); #12040=VECTOR('',#43655,0.393700787401575); #12041=VECTOR('',#43662,0.393700787401575); #12042=VECTOR('',#43665,0.393700787401575); #12043=VECTOR('',#43666,0.393700787401575); #12044=VECTOR('',#43667,0.393700787401575); #12045=VECTOR('',#43674,0.393700787401575); #12046=VECTOR('',#43677,0.393700787401575); #12047=VECTOR('',#43678,0.393700787401575); #12048=VECTOR('',#43681,0.393700787401575); #12049=VECTOR('',#43682,0.393700787401575); #12050=VECTOR('',#43683,0.393700787401575); #12051=VECTOR('',#43684,0.393700787401575); #12052=VECTOR('',#43687,0.393700787401575); #12053=VECTOR('',#43688,0.393700787401575); #12054=VECTOR('',#43689,0.393700787401575); #12055=VECTOR('',#43692,0.393700787401575); #12056=VECTOR('',#43693,0.393700787401575); #12057=VECTOR('',#43694,0.393700787401575); #12058=VECTOR('',#43697,0.393700787401575); #12059=VECTOR('',#43698,0.393700787401575); #12060=VECTOR('',#43701,0.393700787401575); #12061=VECTOR('',#43702,0.393700787401575); #12062=VECTOR('',#43703,0.393700787401575); #12063=VECTOR('',#43704,0.393700787401575); #12064=VECTOR('',#43711,0.393700787401575); #12065=VECTOR('',#43714,0.393700787401575); #12066=VECTOR('',#43715,0.393700787401575); #12067=VECTOR('',#43716,0.393700787401575); #12068=VECTOR('',#43723,0.393700787401575); #12069=VECTOR('',#43726,0.393700787401575); #12070=VECTOR('',#43727,0.393700787401575); #12071=VECTOR('',#43728,0.393700787401575); #12072=VECTOR('',#43735,0.393700787401575); #12073=VECTOR('',#43738,0.393700787401575); #12074=VECTOR('',#43739,0.393700787401575); #12075=VECTOR('',#43740,0.393700787401575); #12076=VECTOR('',#43749,0.393700787401575); #12077=VECTOR('',#43750,0.393700787401575); #12078=VECTOR('',#43751,0.393700787401575); #12079=VECTOR('',#43752,0.393700787401575); #12080=VECTOR('',#43755,0.393700787401575); #12081=VECTOR('',#43756,0.393700787401575); #12082=VECTOR('',#43757,0.393700787401575); #12083=VECTOR('',#43760,0.393700787401575); #12084=VECTOR('',#43761,0.393700787401575); #12085=VECTOR('',#43762,0.393700787401575); #12086=VECTOR('',#43765,0.393700787401575); #12087=VECTOR('',#43766,0.393700787401575); #12088=VECTOR('',#43771,0.12); #12089=VECTOR('',#43778,0.12); #12090=VECTOR('',#43783,0.393700787401575); #12091=VECTOR('',#43784,0.393700787401575); #12092=VECTOR('',#43785,0.393700787401575); #12093=VECTOR('',#43786,0.393700787401575); #12094=VECTOR('',#43789,0.393700787401575); #12095=VECTOR('',#43790,0.393700787401575); #12096=VECTOR('',#43791,0.393700787401575); #12097=VECTOR('',#43794,0.393700787401575); #12098=VECTOR('',#43795,0.393700787401575); #12099=VECTOR('',#43796,0.393700787401575); #12100=VECTOR('',#43799,0.393700787401575); #12101=VECTOR('',#43800,0.393700787401575); #12102=VECTOR('',#43803,0.393700787401575); #12103=VECTOR('',#43804,0.393700787401575); #12104=VECTOR('',#43805,0.393700787401575); #12105=VECTOR('',#43806,0.393700787401575); #12106=VECTOR('',#43809,0.393700787401575); #12107=VECTOR('',#43810,0.393700787401575); #12108=VECTOR('',#43811,0.393700787401575); #12109=VECTOR('',#43814,0.393700787401575); #12110=VECTOR('',#43815,0.393700787401575); #12111=VECTOR('',#43816,0.393700787401575); #12112=VECTOR('',#43819,0.393700787401575); #12113=VECTOR('',#43820,0.393700787401575); #12114=VECTOR('',#43825,0.12); #12115=VECTOR('',#43832,0.393700787401575); #12116=VECTOR('',#43835,0.393700787401575); #12117=VECTOR('',#43838,0.393700787401575); #12118=VECTOR('',#43839,0.393700787401575); #12119=VECTOR('',#43840,0.393700787401575); #12120=VECTOR('',#43847,0.393700787401575); #12121=VECTOR('',#43850,0.393700787401575); #12122=VECTOR('',#43851,0.393700787401575); #12123=VECTOR('',#43852,0.393700787401575); #12124=VECTOR('',#43859,0.393700787401575); #12125=VECTOR('',#43862,0.393700787401575); #12126=VECTOR('',#43863,0.393700787401575); #12127=VECTOR('',#43864,0.393700787401575); #12128=VECTOR('',#43871,0.393700787401575); #12129=VECTOR('',#43874,0.393700787401575); #12130=VECTOR('',#43875,0.393700787401575); #12131=VECTOR('',#43880,0.12); #12132=VECTOR('',#43887,0.12); #12133=VECTOR('',#43894,0.12); #12134=VECTOR('',#43901,0.12); #12135=VECTOR('',#43908,0.12); #12136=VECTOR('',#43915,0.12); #12137=VECTOR('',#43920,0.393700787401575); #12138=VECTOR('',#43921,0.393700787401575); #12139=VECTOR('',#43922,0.393700787401575); #12140=VECTOR('',#43923,0.393700787401575); #12141=VECTOR('',#43926,0.393700787401575); #12142=VECTOR('',#43927,0.393700787401575); #12143=VECTOR('',#43928,0.393700787401575); #12144=VECTOR('',#43931,0.393700787401575); #12145=VECTOR('',#43932,0.393700787401575); #12146=VECTOR('',#43933,0.393700787401575); #12147=VECTOR('',#43936,0.393700787401575); #12148=VECTOR('',#43937,0.393700787401575); #12149=VECTOR('',#43942,0.12); #12150=VECTOR('',#43949,0.12); #12151=VECTOR('',#43954,0.393700787401575); #12152=VECTOR('',#43955,0.393700787401575); #12153=VECTOR('',#43956,0.393700787401575); #12154=VECTOR('',#43957,0.393700787401575); #12155=VECTOR('',#43960,0.393700787401575); #12156=VECTOR('',#43961,0.393700787401575); #12157=VECTOR('',#43962,0.393700787401575); #12158=VECTOR('',#43965,0.393700787401575); #12159=VECTOR('',#43966,0.393700787401575); #12160=VECTOR('',#43967,0.393700787401575); #12161=VECTOR('',#43970,0.393700787401575); #12162=VECTOR('',#43971,0.393700787401575); #12163=VECTOR('',#43974,0.393700787401575); #12164=VECTOR('',#43975,0.393700787401575); #12165=VECTOR('',#43976,0.393700787401575); #12166=VECTOR('',#43977,0.393700787401575); #12167=VECTOR('',#43980,0.393700787401575); #12168=VECTOR('',#43981,0.393700787401575); #12169=VECTOR('',#43982,0.393700787401575); #12170=VECTOR('',#43985,0.393700787401575); #12171=VECTOR('',#43986,0.393700787401575); #12172=VECTOR('',#43987,0.393700787401575); #12173=VECTOR('',#43990,0.393700787401575); #12174=VECTOR('',#43991,0.393700787401575); #12175=VECTOR('',#43994,0.393700787401575); #12176=VECTOR('',#43995,0.393700787401575); #12177=VECTOR('',#43996,0.393700787401575); #12178=VECTOR('',#43997,0.393700787401575); #12179=VECTOR('',#44004,0.393700787401575); #12180=VECTOR('',#44007,0.393700787401575); #12181=VECTOR('',#44008,0.393700787401575); #12182=VECTOR('',#44009,0.393700787401575); #12183=VECTOR('',#44016,0.393700787401575); #12184=VECTOR('',#44019,0.393700787401575); #12185=VECTOR('',#44020,0.393700787401575); #12186=VECTOR('',#44021,0.393700787401575); #12187=VECTOR('',#44028,0.393700787401575); #12188=VECTOR('',#44031,0.393700787401575); #12189=VECTOR('',#44032,0.393700787401575); #12190=VECTOR('',#44033,0.393700787401575); #12191=VECTOR('',#44042,0.393700787401575); #12192=VECTOR('',#44043,0.393700787401575); #12193=VECTOR('',#44044,0.393700787401575); #12194=VECTOR('',#44045,0.393700787401575); #12195=VECTOR('',#44052,0.393700787401575); #12196=VECTOR('',#44055,0.393700787401575); #12197=VECTOR('',#44056,0.393700787401575); #12198=VECTOR('',#44057,0.393700787401575); #12199=VECTOR('',#44064,0.393700787401575); #12200=VECTOR('',#44067,0.393700787401575); #12201=VECTOR('',#44068,0.393700787401575); #12202=VECTOR('',#44069,0.393700787401575); #12203=VECTOR('',#44076,0.393700787401575); #12204=VECTOR('',#44079,0.393700787401575); #12205=VECTOR('',#44080,0.393700787401575); #12206=VECTOR('',#44081,0.393700787401575); #12207=VECTOR('',#44090,0.393700787401575); #12208=VECTOR('',#44091,0.393700787401575); #12209=VECTOR('',#44092,0.393700787401575); #12210=VECTOR('',#44093,0.393700787401575); #12211=VECTOR('',#44100,0.393700787401575); #12212=VECTOR('',#44103,0.393700787401575); #12213=VECTOR('',#44104,0.393700787401575); #12214=VECTOR('',#44105,0.393700787401575); #12215=VECTOR('',#44112,0.393700787401575); #12216=VECTOR('',#44115,0.393700787401575); #12217=VECTOR('',#44116,0.393700787401575); #12218=VECTOR('',#44117,0.393700787401575); #12219=VECTOR('',#44124,0.393700787401575); #12220=VECTOR('',#44127,0.393700787401575); #12221=VECTOR('',#44128,0.393700787401575); #12222=VECTOR('',#44129,0.393700787401575); #12223=VECTOR('',#44138,0.393700787401575); #12224=VECTOR('',#44139,0.393700787401575); #12225=VECTOR('',#44140,0.393700787401575); #12226=VECTOR('',#44141,0.393700787401575); #12227=VECTOR('',#44148,0.393700787401575); #12228=VECTOR('',#44151,0.393700787401575); #12229=VECTOR('',#44152,0.393700787401575); #12230=VECTOR('',#44153,0.393700787401575); #12231=VECTOR('',#44160,0.393700787401575); #12232=VECTOR('',#44163,0.393700787401575); #12233=VECTOR('',#44164,0.393700787401575); #12234=VECTOR('',#44165,0.393700787401575); #12235=VECTOR('',#44172,0.393700787401575); #12236=VECTOR('',#44175,0.393700787401575); #12237=VECTOR('',#44176,0.393700787401575); #12238=VECTOR('',#44177,0.393700787401575); #12239=VECTOR('',#44186,0.393700787401575); #12240=VECTOR('',#44187,0.393700787401575); #12241=VECTOR('',#44188,0.393700787401575); #12242=VECTOR('',#44189,0.393700787401575); #12243=VECTOR('',#44196,0.393700787401575); #12244=VECTOR('',#44199,0.393700787401575); #12245=VECTOR('',#44200,0.393700787401575); #12246=VECTOR('',#44201,0.393700787401575); #12247=VECTOR('',#44208,0.393700787401575); #12248=VECTOR('',#44211,0.393700787401575); #12249=VECTOR('',#44212,0.393700787401575); #12250=VECTOR('',#44213,0.393700787401575); #12251=VECTOR('',#44220,0.393700787401575); #12252=VECTOR('',#44223,0.393700787401575); #12253=VECTOR('',#44224,0.393700787401575); #12254=VECTOR('',#44225,0.393700787401575); #12255=VECTOR('',#44236,0.393700787401575); #12256=VECTOR('',#44239,0.393700787401575); #12257=VECTOR('',#44242,0.393700787401575); #12258=VECTOR('',#44243,0.393700787401575); #12259=VECTOR('',#44244,0.393700787401575); #12260=VECTOR('',#44251,0.393700787401575); #12261=VECTOR('',#44254,0.393700787401575); #12262=VECTOR('',#44255,0.393700787401575); #12263=VECTOR('',#44256,0.393700787401575); #12264=VECTOR('',#44263,0.393700787401575); #12265=VECTOR('',#44266,0.393700787401575); #12266=VECTOR('',#44267,0.393700787401575); #12267=VECTOR('',#44268,0.393700787401575); #12268=VECTOR('',#44275,0.393700787401575); #12269=VECTOR('',#44278,0.393700787401575); #12270=VECTOR('',#44279,0.393700787401575); #12271=VECTOR('',#44284,0.393700787401575); #12272=VECTOR('',#44287,0.393700787401575); #12273=VECTOR('',#44290,0.393700787401575); #12274=VECTOR('',#44291,0.393700787401575); #12275=VECTOR('',#44292,0.393700787401575); #12276=VECTOR('',#44299,0.393700787401575); #12277=VECTOR('',#44302,0.393700787401575); #12278=VECTOR('',#44303,0.393700787401575); #12279=VECTOR('',#44304,0.393700787401575); #12280=VECTOR('',#44311,0.393700787401575); #12281=VECTOR('',#44314,0.393700787401575); #12282=VECTOR('',#44315,0.393700787401575); #12283=VECTOR('',#44316,0.393700787401575); #12284=VECTOR('',#44323,0.393700787401575); #12285=VECTOR('',#44326,0.393700787401575); #12286=VECTOR('',#44327,0.393700787401575); #12287=VECTOR('',#44332,0.393700787401575); #12288=VECTOR('',#44335,0.393700787401575); #12289=VECTOR('',#44338,0.393700787401575); #12290=VECTOR('',#44339,0.393700787401575); #12291=VECTOR('',#44340,0.393700787401575); #12292=VECTOR('',#44347,0.393700787401575); #12293=VECTOR('',#44350,0.393700787401575); #12294=VECTOR('',#44351,0.393700787401575); #12295=VECTOR('',#44352,0.393700787401575); #12296=VECTOR('',#44359,0.393700787401575); #12297=VECTOR('',#44362,0.393700787401575); #12298=VECTOR('',#44363,0.393700787401575); #12299=VECTOR('',#44364,0.393700787401575); #12300=VECTOR('',#44371,0.393700787401575); #12301=VECTOR('',#44374,0.393700787401575); #12302=VECTOR('',#44375,0.393700787401575); #12303=VECTOR('',#44380,0.393700787401575); #12304=VECTOR('',#44383,0.393700787401575); #12305=VECTOR('',#44386,0.393700787401575); #12306=VECTOR('',#44387,0.393700787401575); #12307=VECTOR('',#44388,0.393700787401575); #12308=VECTOR('',#44395,0.393700787401575); #12309=VECTOR('',#44398,0.393700787401575); #12310=VECTOR('',#44399,0.393700787401575); #12311=VECTOR('',#44400,0.393700787401575); #12312=VECTOR('',#44407,0.393700787401575); #12313=VECTOR('',#44410,0.393700787401575); #12314=VECTOR('',#44411,0.393700787401575); #12315=VECTOR('',#44412,0.393700787401575); #12316=VECTOR('',#44419,0.393700787401575); #12317=VECTOR('',#44422,0.393700787401575); #12318=VECTOR('',#44423,0.393700787401575); #12319=VECTOR('',#44426,0.393700787401575); #12320=VECTOR('',#44427,0.393700787401575); #12321=VECTOR('',#44428,0.393700787401575); #12322=VECTOR('',#44429,0.393700787401575); #12323=VECTOR('',#44436,0.393700787401575); #12324=VECTOR('',#44439,0.393700787401575); #12325=VECTOR('',#44440,0.393700787401575); #12326=VECTOR('',#44441,0.393700787401575); #12327=VECTOR('',#44448,0.393700787401575); #12328=VECTOR('',#44451,0.393700787401575); #12329=VECTOR('',#44452,0.393700787401575); #12330=VECTOR('',#44453,0.393700787401575); #12331=VECTOR('',#44460,0.393700787401575); #12332=VECTOR('',#44463,0.393700787401575); #12333=VECTOR('',#44464,0.393700787401575); #12334=VECTOR('',#44465,0.393700787401575); #12335=VECTOR('',#44474,0.393700787401575); #12336=VECTOR('',#44475,0.393700787401575); #12337=VECTOR('',#44476,0.393700787401575); #12338=VECTOR('',#44477,0.393700787401575); #12339=VECTOR('',#44484,0.393700787401575); #12340=VECTOR('',#44487,0.393700787401575); #12341=VECTOR('',#44488,0.393700787401575); #12342=VECTOR('',#44489,0.393700787401575); #12343=VECTOR('',#44496,0.393700787401575); #12344=VECTOR('',#44499,0.393700787401575); #12345=VECTOR('',#44500,0.393700787401575); #12346=VECTOR('',#44501,0.393700787401575); #12347=VECTOR('',#44508,0.393700787401575); #12348=VECTOR('',#44511,0.393700787401575); #12349=VECTOR('',#44512,0.393700787401575); #12350=VECTOR('',#44513,0.393700787401575); #12351=VECTOR('',#44522,0.393700787401575); #12352=VECTOR('',#44523,0.393700787401575); #12353=VECTOR('',#44524,0.393700787401575); #12354=VECTOR('',#44525,0.393700787401575); #12355=VECTOR('',#44532,0.393700787401575); #12356=VECTOR('',#44535,0.393700787401575); #12357=VECTOR('',#44536,0.393700787401575); #12358=VECTOR('',#44537,0.393700787401575); #12359=VECTOR('',#44544,0.393700787401575); #12360=VECTOR('',#44547,0.393700787401575); #12361=VECTOR('',#44548,0.393700787401575); #12362=VECTOR('',#44549,0.393700787401575); #12363=VECTOR('',#44556,0.393700787401575); #12364=VECTOR('',#44559,0.393700787401575); #12365=VECTOR('',#44560,0.393700787401575); #12366=VECTOR('',#44561,0.393700787401575); #12367=VECTOR('',#44570,0.393700787401575); #12368=VECTOR('',#44571,0.393700787401575); #12369=VECTOR('',#44572,0.393700787401575); #12370=VECTOR('',#44573,0.393700787401575); #12371=VECTOR('',#44576,0.393700787401575); #12372=VECTOR('',#44577,0.393700787401575); #12373=VECTOR('',#44578,0.393700787401575); #12374=VECTOR('',#44581,0.393700787401575); #12375=VECTOR('',#44582,0.393700787401575); #12376=VECTOR('',#44583,0.393700787401575); #12377=VECTOR('',#44586,0.393700787401575); #12378=VECTOR('',#44587,0.393700787401575); #12379=VECTOR('',#44590,0.393700787401575); #12380=VECTOR('',#44591,0.393700787401575); #12381=VECTOR('',#44592,0.393700787401575); #12382=VECTOR('',#44593,0.393700787401575); #12383=VECTOR('',#44600,0.393700787401575); #12384=VECTOR('',#44603,0.393700787401575); #12385=VECTOR('',#44604,0.393700787401575); #12386=VECTOR('',#44605,0.393700787401575); #12387=VECTOR('',#44612,0.393700787401575); #12388=VECTOR('',#44615,0.393700787401575); #12389=VECTOR('',#44616,0.393700787401575); #12390=VECTOR('',#44617,0.393700787401575); #12391=VECTOR('',#44624,0.393700787401575); #12392=VECTOR('',#44627,0.393700787401575); #12393=VECTOR('',#44628,0.393700787401575); #12394=VECTOR('',#44629,0.393700787401575); #12395=VECTOR('',#44638,0.393700787401575); #12396=VECTOR('',#44639,0.393700787401575); #12397=VECTOR('',#44640,0.393700787401575); #12398=VECTOR('',#44641,0.393700787401575); #12399=VECTOR('',#44648,0.393700787401575); #12400=VECTOR('',#44651,0.393700787401575); #12401=VECTOR('',#44652,0.393700787401575); #12402=VECTOR('',#44653,0.393700787401575); #12403=VECTOR('',#44660,0.393700787401575); #12404=VECTOR('',#44663,0.393700787401575); #12405=VECTOR('',#44664,0.393700787401575); #12406=VECTOR('',#44665,0.393700787401575); #12407=VECTOR('',#44672,0.393700787401575); #12408=VECTOR('',#44675,0.393700787401575); #12409=VECTOR('',#44676,0.393700787401575); #12410=VECTOR('',#44677,0.393700787401575); #12411=VECTOR('',#44686,0.393700787401575); #12412=VECTOR('',#44687,0.393700787401575); #12413=VECTOR('',#44688,0.393700787401575); #12414=VECTOR('',#44689,0.393700787401575); #12415=VECTOR('',#44696,0.393700787401575); #12416=VECTOR('',#44699,0.393700787401575); #12417=VECTOR('',#44700,0.393700787401575); #12418=VECTOR('',#44701,0.393700787401575); #12419=VECTOR('',#44708,0.393700787401575); #12420=VECTOR('',#44711,0.393700787401575); #12421=VECTOR('',#44712,0.393700787401575); #12422=VECTOR('',#44713,0.393700787401575); #12423=VECTOR('',#44720,0.393700787401575); #12424=VECTOR('',#44723,0.393700787401575); #12425=VECTOR('',#44724,0.393700787401575); #12426=VECTOR('',#44725,0.393700787401575); #12427=VECTOR('',#44734,0.393700787401575); #12428=VECTOR('',#44735,0.393700787401575); #12429=VECTOR('',#44736,0.393700787401575); #12430=VECTOR('',#44737,0.393700787401575); #12431=VECTOR('',#44740,0.393700787401575); #12432=VECTOR('',#44741,0.393700787401575); #12433=VECTOR('',#44742,0.393700787401575); #12434=VECTOR('',#44745,0.393700787401575); #12435=VECTOR('',#44746,0.393700787401575); #12436=VECTOR('',#44747,0.393700787401575); #12437=VECTOR('',#44750,0.393700787401575); #12438=VECTOR('',#44751,0.393700787401575); #12439=VECTOR('',#44754,0.393700787401575); #12440=VECTOR('',#44755,0.393700787401575); #12441=VECTOR('',#44756,0.393700787401575); #12442=VECTOR('',#44757,0.393700787401575); #12443=VECTOR('',#44760,0.393700787401575); #12444=VECTOR('',#44761,0.393700787401575); #12445=VECTOR('',#44762,0.393700787401575); #12446=VECTOR('',#44765,0.393700787401575); #12447=VECTOR('',#44766,0.393700787401575); #12448=VECTOR('',#44767,0.393700787401575); #12449=VECTOR('',#44770,0.393700787401575); #12450=VECTOR('',#44771,0.393700787401575); #12451=VECTOR('',#44774,0.393700787401575); #12452=VECTOR('',#44775,0.393700787401575); #12453=VECTOR('',#44776,0.393700787401575); #12454=VECTOR('',#44777,0.393700787401575); #12455=VECTOR('',#44780,0.393700787401575); #12456=VECTOR('',#44781,0.393700787401575); #12457=VECTOR('',#44782,0.393700787401575); #12458=VECTOR('',#44785,0.393700787401575); #12459=VECTOR('',#44786,0.393700787401575); #12460=VECTOR('',#44787,0.393700787401575); #12461=VECTOR('',#44790,0.393700787401575); #12462=VECTOR('',#44791,0.393700787401575); #12463=VECTOR('',#44794,0.393700787401575); #12464=VECTOR('',#44795,0.393700787401575); #12465=VECTOR('',#44796,0.393700787401575); #12466=VECTOR('',#44797,0.393700787401575); #12467=VECTOR('',#44800,0.393700787401575); #12468=VECTOR('',#44801,0.393700787401575); #12469=VECTOR('',#44802,0.393700787401575); #12470=VECTOR('',#44805,0.393700787401575); #12471=VECTOR('',#44806,0.393700787401575); #12472=VECTOR('',#44807,0.393700787401575); #12473=VECTOR('',#44810,0.393700787401575); #12474=VECTOR('',#44811,0.393700787401575); #12475=VECTOR('',#44816,0.393700787401575); #12476=VECTOR('',#44819,0.393700787401575); #12477=VECTOR('',#44822,0.393700787401575); #12478=VECTOR('',#44823,0.393700787401575); #12479=VECTOR('',#44824,0.393700787401575); #12480=VECTOR('',#44831,0.393700787401575); #12481=VECTOR('',#44834,0.393700787401575); #12482=VECTOR('',#44835,0.393700787401575); #12483=VECTOR('',#44836,0.393700787401575); #12484=VECTOR('',#44843,0.393700787401575); #12485=VECTOR('',#44846,0.393700787401575); #12486=VECTOR('',#44847,0.393700787401575); #12487=VECTOR('',#44848,0.393700787401575); #12488=VECTOR('',#44855,0.393700787401575); #12489=VECTOR('',#44858,0.393700787401575); #12490=VECTOR('',#44859,0.393700787401575); #12491=VECTOR('',#44864,0.12); #12492=VECTOR('',#44869,0.393700787401575); #12493=VECTOR('',#44870,0.393700787401575); #12494=VECTOR('',#44871,0.393700787401575); #12495=VECTOR('',#44872,0.393700787401575); #12496=VECTOR('',#44879,0.393700787401575); #12497=VECTOR('',#44882,0.393700787401575); #12498=VECTOR('',#44883,0.393700787401575); #12499=VECTOR('',#44884,0.393700787401575); #12500=VECTOR('',#44891,0.393700787401575); #12501=VECTOR('',#44894,0.393700787401575); #12502=VECTOR('',#44895,0.393700787401575); #12503=VECTOR('',#44896,0.393700787401575); #12504=VECTOR('',#44903,0.393700787401575); #12505=VECTOR('',#44906,0.393700787401575); #12506=VECTOR('',#44907,0.393700787401575); #12507=VECTOR('',#44908,0.393700787401575); #12508=VECTOR('',#44917,0.393700787401575); #12509=VECTOR('',#44918,0.393700787401575); #12510=VECTOR('',#44919,0.393700787401575); #12511=VECTOR('',#44920,0.393700787401575); #12512=VECTOR('',#44923,0.393700787401575); #12513=VECTOR('',#44924,0.393700787401575); #12514=VECTOR('',#44925,0.393700787401575); #12515=VECTOR('',#44928,0.393700787401575); #12516=VECTOR('',#44929,0.393700787401575); #12517=VECTOR('',#44930,0.393700787401575); #12518=VECTOR('',#44933,0.393700787401575); #12519=VECTOR('',#44934,0.393700787401575); #12520=VECTOR('',#44937,0.393700787401575); #12521=VECTOR('',#44938,0.393700787401575); #12522=VECTOR('',#44939,0.393700787401575); #12523=VECTOR('',#44940,0.393700787401575); #12524=VECTOR('',#44943,0.393700787401575); #12525=VECTOR('',#44944,0.393700787401575); #12526=VECTOR('',#44945,0.393700787401575); #12527=VECTOR('',#44948,0.393700787401575); #12528=VECTOR('',#44949,0.393700787401575); #12529=VECTOR('',#44950,0.393700787401575); #12530=VECTOR('',#44953,0.393700787401575); #12531=VECTOR('',#44954,0.393700787401575); #12532=VECTOR('',#44959,0.12); #12533=VECTOR('',#44964,0.393700787401575); #12534=VECTOR('',#44965,0.393700787401575); #12535=VECTOR('',#44966,0.393700787401575); #12536=VECTOR('',#44967,0.393700787401575); #12537=VECTOR('',#44970,0.393700787401575); #12538=VECTOR('',#44971,0.393700787401575); #12539=VECTOR('',#44972,0.393700787401575); #12540=VECTOR('',#44975,0.393700787401575); #12541=VECTOR('',#44976,0.393700787401575); #12542=VECTOR('',#44977,0.393700787401575); #12543=VECTOR('',#44980,0.393700787401575); #12544=VECTOR('',#44981,0.393700787401575); #12545=VECTOR('',#44986,0.12); #12546=VECTOR('',#44991,0.393700787401575); #12547=VECTOR('',#44992,0.393700787401575); #12548=VECTOR('',#44993,0.393700787401575); #12549=VECTOR('',#44994,0.393700787401575); #12550=VECTOR('',#44997,0.393700787401575); #12551=VECTOR('',#44998,0.393700787401575); #12552=VECTOR('',#44999,0.393700787401575); #12553=VECTOR('',#45002,0.393700787401575); #12554=VECTOR('',#45003,0.393700787401575); #12555=VECTOR('',#45004,0.393700787401575); #12556=VECTOR('',#45007,0.393700787401575); #12557=VECTOR('',#45008,0.393700787401575); #12558=VECTOR('',#45011,0.393700787401575); #12559=VECTOR('',#45012,0.393700787401575); #12560=VECTOR('',#45013,0.393700787401575); #12561=VECTOR('',#45014,0.393700787401575); #12562=VECTOR('',#45021,0.393700787401575); #12563=VECTOR('',#45024,0.393700787401575); #12564=VECTOR('',#45025,0.393700787401575); #12565=VECTOR('',#45026,0.393700787401575); #12566=VECTOR('',#45033,0.393700787401575); #12567=VECTOR('',#45036,0.393700787401575); #12568=VECTOR('',#45037,0.393700787401575); #12569=VECTOR('',#45038,0.393700787401575); #12570=VECTOR('',#45045,0.393700787401575); #12571=VECTOR('',#45048,0.393700787401575); #12572=VECTOR('',#45049,0.393700787401575); #12573=VECTOR('',#45050,0.393700787401575); #12574=VECTOR('',#45075,0.393700787401575); #12575=VECTOR('',#45078,0.393700787401575); #12576=VECTOR('',#45123,0.393700787401575); #12577=VECTOR('',#45124,0.393700787401575); #12578=VECTOR('',#45137,0.393700787401575); #12579=VECTOR('',#45140,0.393700787401575); #12580=VECTOR('',#45163,0.393700787401575); #12581=VECTOR('',#45164,0.393700787401575); #12582=VECTOR('',#45165,0.393700787401575); #12583=VECTOR('',#45170,0.393700787401575); #12584=VECTOR('',#45185,0.393700787401575); #12585=VECTOR('',#45190,0.393700787401575); #12586=VECTOR('',#45231,0.393700787401575); #12587=VECTOR('',#45232,0.393700787401575); #12588=VECTOR('',#45245,0.393700787401575); #12589=VECTOR('',#45248,0.393700787401575); #12590=VECTOR('',#45273,0.393700787401575); #12591=VECTOR('',#45274,0.393700787401575); #12592=VECTOR('',#45275,0.393700787401575); #12593=VECTOR('',#45292,0.393700787401575); #12594=VECTOR('',#45295,0.393700787401575); #12595=VECTOR('',#45310,0.393700787401575); #12596=VECTOR('',#45315,0.393700787401575); #12597=VECTOR('',#45318,0.393700787401575); #12598=VECTOR('',#45323,0.075); #12599=VECTOR('',#45330,0.075); #12600=VECTOR('',#45337,0.075); #12601=VECTOR('',#45344,0.075); #12602=VECTOR('',#45351,0.075); #12603=VECTOR('',#45358,0.075); #12604=VECTOR('',#45363,0.393700787401575); #12605=VECTOR('',#45364,0.393700787401575); #12606=VECTOR('',#45365,0.393700787401575); #12607=VECTOR('',#45366,0.393700787401575); #12608=VECTOR('',#45373,0.393700787401575); #12609=VECTOR('',#45376,0.393700787401575); #12610=VECTOR('',#45377,0.393700787401575); #12611=VECTOR('',#45378,0.393700787401575); #12612=VECTOR('',#45385,0.393700787401575); #12613=VECTOR('',#45388,0.393700787401575); #12614=VECTOR('',#45389,0.393700787401575); #12615=VECTOR('',#45390,0.393700787401575); #12616=VECTOR('',#45397,0.393700787401575); #12617=VECTOR('',#45400,0.393700787401575); #12618=VECTOR('',#45401,0.393700787401575); #12619=VECTOR('',#45402,0.393700787401575); #12620=VECTOR('',#45409,0.393700787401575); #12621=VECTOR('',#45412,0.393700787401575); #12622=VECTOR('',#45413,0.393700787401575); #12623=VECTOR('',#45414,0.393700787401575); #12624=VECTOR('',#45421,0.393700787401575); #12625=VECTOR('',#45424,0.393700787401575); #12626=VECTOR('',#45425,0.393700787401575); #12627=VECTOR('',#45426,0.393700787401575); #12628=VECTOR('',#45441,0.393700787401575); #12629=VECTOR('',#45442,0.393700787401575); #12630=VECTOR('',#45443,0.393700787401575); #12631=VECTOR('',#45444,0.393700787401575); #12632=VECTOR('',#45447,0.393700787401575); #12633=VECTOR('',#45450,0.393700787401575); #12634=VECTOR('',#45453,0.393700787401575); #12635=VECTOR('',#45456,0.393700787401575); #12636=VECTOR('',#45459,0.393700787401575); #12637=VECTOR('',#45462,0.393700787401575); #12638=VECTOR('',#45465,0.393700787401575); #12639=VECTOR('',#45468,0.393700787401575); #12640=VECTOR('',#45471,0.393700787401575); #12641=VECTOR('',#45474,0.393700787401575); #12642=VECTOR('',#45477,0.393700787401575); #12643=VECTOR('',#45480,0.393700787401575); #12644=VECTOR('',#45483,0.393700787401575); #12645=VECTOR('',#45486,0.393700787401575); #12646=VECTOR('',#45489,0.393700787401575); #12647=VECTOR('',#45492,0.393700787401575); #12648=VECTOR('',#45495,0.393700787401575); #12649=VECTOR('',#45498,0.393700787401575); #12650=VECTOR('',#45501,0.393700787401575); #12651=VECTOR('',#45504,0.393700787401575); #12652=VECTOR('',#45507,0.393700787401575); #12653=VECTOR('',#45510,0.393700787401575); #12654=VECTOR('',#45513,0.393700787401575); #12655=VECTOR('',#45516,0.393700787401575); #12656=VECTOR('',#45519,0.393700787401575); #12657=VECTOR('',#45522,0.393700787401575); #12658=VECTOR('',#45525,0.393700787401575); #12659=VECTOR('',#45528,0.393700787401575); #12660=VECTOR('',#45531,0.393700787401575); #12661=VECTOR('',#45534,0.393700787401575); #12662=VECTOR('',#45537,0.393700787401575); #12663=VECTOR('',#45540,0.393700787401575); #12664=VECTOR('',#45543,0.393700787401575); #12665=VECTOR('',#45546,0.393700787401575); #12666=VECTOR('',#45549,0.393700787401575); #12667=VECTOR('',#45552,0.393700787401575); #12668=VECTOR('',#45555,0.393700787401575); #12669=VECTOR('',#45558,0.393700787401575); #12670=VECTOR('',#45561,0.393700787401575); #12671=VECTOR('',#45564,0.393700787401575); #12672=VECTOR('',#45567,0.393700787401575); #12673=VECTOR('',#45570,0.393700787401575); #12674=VECTOR('',#45573,0.393700787401575); #12675=VECTOR('',#45576,0.393700787401575); #12676=VECTOR('',#45579,0.393700787401575); #12677=VECTOR('',#45582,0.393700787401575); #12678=VECTOR('',#45585,0.393700787401575); #12679=VECTOR('',#45588,0.393700787401575); #12680=VECTOR('',#45591,0.393700787401575); #12681=VECTOR('',#45594,0.393700787401575); #12682=VECTOR('',#45597,0.393700787401575); #12683=VECTOR('',#45600,0.393700787401575); #12684=VECTOR('',#45603,0.393700787401575); #12685=VECTOR('',#45606,0.393700787401575); #12686=VECTOR('',#45609,0.393700787401575); #12687=VECTOR('',#45612,0.393700787401575); #12688=VECTOR('',#45615,0.393700787401575); #12689=VECTOR('',#45618,0.393700787401575); #12690=VECTOR('',#45621,0.393700787401575); #12691=VECTOR('',#45624,0.393700787401575); #12692=VECTOR('',#45627,0.393700787401575); #12693=VECTOR('',#45630,0.393700787401575); #12694=VECTOR('',#45633,0.393700787401575); #12695=VECTOR('',#45634,0.393700787401575); #12696=VECTOR('',#45635,0.393700787401575); #12697=VECTOR('',#45640,0.393700787401575); #12698=VECTOR('',#45643,0.393700787401575); #12699=VECTOR('',#45644,0.393700787401575); #12700=VECTOR('',#45649,0.393700787401575); #12701=VECTOR('',#45652,0.393700787401575); #12702=VECTOR('',#45653,0.393700787401575); #12703=VECTOR('',#45658,0.393700787401575); #12704=VECTOR('',#45661,0.393700787401575); #12705=VECTOR('',#45662,0.393700787401575); #12706=VECTOR('',#45667,0.393700787401575); #12707=VECTOR('',#45670,0.393700787401575); #12708=VECTOR('',#45671,0.393700787401575); #12709=VECTOR('',#45676,0.393700787401575); #12710=VECTOR('',#45679,0.393700787401575); #12711=VECTOR('',#45680,0.393700787401575); #12712=VECTOR('',#45685,0.393700787401575); #12713=VECTOR('',#45688,0.393700787401575); #12714=VECTOR('',#45689,0.393700787401575); #12715=VECTOR('',#45694,0.393700787401575); #12716=VECTOR('',#45697,0.393700787401575); #12717=VECTOR('',#45698,0.393700787401575); #12718=VECTOR('',#45703,0.393700787401575); #12719=VECTOR('',#45706,0.393700787401575); #12720=VECTOR('',#45707,0.393700787401575); #12721=VECTOR('',#45712,0.393700787401575); #12722=VECTOR('',#45715,0.393700787401575); #12723=VECTOR('',#45716,0.393700787401575); #12724=VECTOR('',#45721,0.393700787401575); #12725=VECTOR('',#45724,0.393700787401575); #12726=VECTOR('',#45725,0.393700787401575); #12727=VECTOR('',#45730,0.393700787401575); #12728=VECTOR('',#45733,0.393700787401575); #12729=VECTOR('',#45734,0.393700787401575); #12730=VECTOR('',#45739,0.393700787401575); #12731=VECTOR('',#45742,0.393700787401575); #12732=VECTOR('',#45743,0.393700787401575); #12733=VECTOR('',#45748,0.393700787401575); #12734=VECTOR('',#45751,0.393700787401575); #12735=VECTOR('',#45752,0.393700787401575); #12736=VECTOR('',#45757,0.393700787401575); #12737=VECTOR('',#45760,0.393700787401575); #12738=VECTOR('',#45761,0.393700787401575); #12739=VECTOR('',#45766,0.393700787401575); #12740=VECTOR('',#45769,0.393700787401575); #12741=VECTOR('',#45770,0.393700787401575); #12742=VECTOR('',#45775,0.393700787401575); #12743=VECTOR('',#45778,0.393700787401575); #12744=VECTOR('',#45779,0.393700787401575); #12745=VECTOR('',#45784,0.393700787401575); #12746=VECTOR('',#45787,0.393700787401575); #12747=VECTOR('',#45788,0.393700787401575); #12748=VECTOR('',#45793,0.393700787401575); #12749=VECTOR('',#45796,0.393700787401575); #12750=VECTOR('',#45797,0.393700787401575); #12751=VECTOR('',#45802,0.393700787401575); #12752=VECTOR('',#45805,0.393700787401575); #12753=VECTOR('',#45806,0.393700787401575); #12754=VECTOR('',#45811,0.393700787401575); #12755=VECTOR('',#45814,0.393700787401575); #12756=VECTOR('',#45815,0.393700787401575); #12757=VECTOR('',#45820,0.393700787401575); #12758=VECTOR('',#45823,0.393700787401575); #12759=VECTOR('',#45824,0.393700787401575); #12760=VECTOR('',#45829,0.393700787401575); #12761=VECTOR('',#45832,0.393700787401575); #12762=VECTOR('',#45833,0.393700787401575); #12763=VECTOR('',#45838,0.393700787401575); #12764=VECTOR('',#45841,0.393700787401575); #12765=VECTOR('',#45842,0.393700787401575); #12766=VECTOR('',#45847,0.393700787401575); #12767=VECTOR('',#45850,0.393700787401575); #12768=VECTOR('',#45851,0.393700787401575); #12769=VECTOR('',#45856,0.393700787401575); #12770=VECTOR('',#45859,0.393700787401575); #12771=VECTOR('',#45860,0.393700787401575); #12772=VECTOR('',#45865,0.393700787401575); #12773=VECTOR('',#45868,0.393700787401575); #12774=VECTOR('',#45869,0.393700787401575); #12775=VECTOR('',#45874,0.393700787401575); #12776=VECTOR('',#45877,0.393700787401575); #12777=VECTOR('',#45878,0.393700787401575); #12778=VECTOR('',#45883,0.393700787401575); #12779=VECTOR('',#45886,0.393700787401575); #12780=VECTOR('',#45887,0.393700787401575); #12781=VECTOR('',#45892,0.393700787401575); #12782=VECTOR('',#45895,0.393700787401575); #12783=VECTOR('',#45896,0.393700787401575); #12784=VECTOR('',#45901,0.393700787401575); #12785=VECTOR('',#45904,0.393700787401575); #12786=VECTOR('',#45905,0.393700787401575); #12787=VECTOR('',#45910,0.393700787401575); #12788=VECTOR('',#45913,0.393700787401575); #12789=VECTOR('',#45914,0.393700787401575); #12790=VECTOR('',#45919,0.393700787401575); #12791=VECTOR('',#45922,0.393700787401575); #12792=VECTOR('',#45923,0.393700787401575); #12793=VECTOR('',#45928,0.393700787401575); #12794=VECTOR('',#45931,0.393700787401575); #12795=VECTOR('',#45932,0.393700787401575); #12796=VECTOR('',#45937,0.393700787401575); #12797=VECTOR('',#45940,0.393700787401575); #12798=VECTOR('',#45941,0.393700787401575); #12799=VECTOR('',#45946,0.393700787401575); #12800=VECTOR('',#45949,0.393700787401575); #12801=VECTOR('',#45950,0.393700787401575); #12802=VECTOR('',#45955,0.393700787401575); #12803=VECTOR('',#45958,0.393700787401575); #12804=VECTOR('',#45959,0.393700787401575); #12805=VECTOR('',#45964,0.393700787401575); #12806=VECTOR('',#45967,0.393700787401575); #12807=VECTOR('',#45968,0.393700787401575); #12808=VECTOR('',#45973,0.393700787401575); #12809=VECTOR('',#45976,0.393700787401575); #12810=VECTOR('',#45977,0.393700787401575); #12811=VECTOR('',#45982,0.393700787401575); #12812=VECTOR('',#45985,0.393700787401575); #12813=VECTOR('',#45986,0.393700787401575); #12814=VECTOR('',#45991,0.393700787401575); #12815=VECTOR('',#45994,0.393700787401575); #12816=VECTOR('',#45995,0.393700787401575); #12817=VECTOR('',#46000,0.393700787401575); #12818=VECTOR('',#46003,0.393700787401575); #12819=VECTOR('',#46004,0.393700787401575); #12820=VECTOR('',#46009,0.393700787401575); #12821=VECTOR('',#46012,0.393700787401575); #12822=VECTOR('',#46013,0.393700787401575); #12823=VECTOR('',#46018,0.393700787401575); #12824=VECTOR('',#46021,0.393700787401575); #12825=VECTOR('',#46022,0.393700787401575); #12826=VECTOR('',#46027,0.393700787401575); #12827=VECTOR('',#46030,0.393700787401575); #12828=VECTOR('',#46031,0.393700787401575); #12829=VECTOR('',#46036,0.393700787401575); #12830=VECTOR('',#46039,0.393700787401575); #12831=VECTOR('',#46040,0.393700787401575); #12832=VECTOR('',#46045,0.393700787401575); #12833=VECTOR('',#46048,0.393700787401575); #12834=VECTOR('',#46049,0.393700787401575); #12835=VECTOR('',#46054,0.393700787401575); #12836=VECTOR('',#46057,0.393700787401575); #12837=VECTOR('',#46058,0.393700787401575); #12838=VECTOR('',#46063,0.393700787401575); #12839=VECTOR('',#46066,0.393700787401575); #12840=VECTOR('',#46067,0.393700787401575); #12841=VECTOR('',#46072,0.393700787401575); #12842=VECTOR('',#46075,0.393700787401575); #12843=VECTOR('',#46076,0.393700787401575); #12844=VECTOR('',#46081,0.393700787401575); #12845=VECTOR('',#46084,0.393700787401575); #12846=VECTOR('',#46085,0.393700787401575); #12847=VECTOR('',#46090,0.393700787401575); #12848=VECTOR('',#46093,0.393700787401575); #12849=VECTOR('',#46094,0.393700787401575); #12850=VECTOR('',#46099,0.393700787401575); #12851=VECTOR('',#46102,0.393700787401575); #12852=VECTOR('',#46103,0.393700787401575); #12853=VECTOR('',#46108,0.393700787401575); #12854=VECTOR('',#46111,0.393700787401575); #12855=VECTOR('',#46112,0.393700787401575); #12856=VECTOR('',#46117,0.393700787401575); #12857=VECTOR('',#46120,0.393700787401575); #12858=VECTOR('',#46121,0.393700787401575); #12859=VECTOR('',#46126,0.393700787401575); #12860=VECTOR('',#46129,0.393700787401575); #12861=VECTOR('',#46130,0.393700787401575); #12862=VECTOR('',#46135,0.393700787401575); #12863=VECTOR('',#46138,0.393700787401575); #12864=VECTOR('',#46139,0.393700787401575); #12865=VECTOR('',#46144,0.393700787401575); #12866=VECTOR('',#46147,0.393700787401575); #12867=VECTOR('',#46148,0.393700787401575); #12868=VECTOR('',#46153,0.393700787401575); #12869=VECTOR('',#46156,0.393700787401575); #12870=VECTOR('',#46157,0.393700787401575); #12871=VECTOR('',#46162,0.393700787401575); #12872=VECTOR('',#46165,0.393700787401575); #12873=VECTOR('',#46166,0.393700787401575); #12874=VECTOR('',#46171,0.393700787401575); #12875=VECTOR('',#46174,0.393700787401575); #12876=VECTOR('',#46175,0.393700787401575); #12877=VECTOR('',#46176,0.393700787401575); #12878=VECTOR('',#46181,0.393700787401575); #12879=VECTOR('',#46184,0.393700787401575); #12880=VECTOR('',#46185,0.393700787401575); #12881=VECTOR('',#46192,0.393700787401575); #12882=VECTOR('',#46193,0.393700787401575); #12883=VECTOR('',#46194,0.393700787401575); #12884=VECTOR('',#46195,0.393700787401575); #12885=VECTOR('',#46198,0.393700787401575); #12886=VECTOR('',#46201,0.393700787401575); #12887=VECTOR('',#46204,0.393700787401575); #12888=VECTOR('',#46207,0.393700787401575); #12889=VECTOR('',#46218,0.393700787401575); #12890=VECTOR('',#46219,0.393700787401575); #12891=VECTOR('',#46222,0.393700787401575); #12892=VECTOR('',#46223,0.393700787401575); #12893=VECTOR('',#46224,0.393700787401575); #12894=VECTOR('',#46229,0.075); #12895=VECTOR('',#46236,0.075); #12896=VECTOR('',#46241,0.075); #12897=VECTOR('',#46248,0.075); #12898=VECTOR('',#46253,0.075); #12899=VECTOR('',#46260,0.075); #12900=VECTOR('',#46265,0.075); #12901=VECTOR('',#46270,0.075); #12902=VECTOR('',#46275,0.393700787401575); #12903=VECTOR('',#46276,0.393700787401575); #12904=VECTOR('',#46277,0.393700787401575); #12905=VECTOR('',#46282,0.393700787401575); #12906=VECTOR('',#46285,0.393700787401575); #12907=VECTOR('',#46286,0.393700787401575); #12908=VECTOR('',#46287,0.393700787401575); #12909=VECTOR('',#46294,0.393700787401575); #12910=VECTOR('',#46297,0.393700787401575); #12911=VECTOR('',#46298,0.393700787401575); #12912=VECTOR('',#46299,0.393700787401575); #12913=VECTOR('',#46306,0.393700787401575); #12914=VECTOR('',#46309,0.393700787401575); #12915=VECTOR('',#46310,0.393700787401575); #12916=VECTOR('',#46311,0.393700787401575); #12917=VECTOR('',#46322,0.393700787401575); #12918=VECTOR('',#46325,0.393700787401575); #12919=VECTOR('',#46326,0.393700787401575); #12920=VECTOR('',#46343,0.120000000000001); #12921=VECTOR('',#46348,0.393700787401575); #12922=VECTOR('',#46349,0.393700787401575); #12923=VECTOR('',#46350,0.393700787401575); #12924=VECTOR('',#46351,0.393700787401575); #12925=VECTOR('',#46354,0.393700787401575); #12926=VECTOR('',#46355,0.393700787401575); #12927=VECTOR('',#46356,0.393700787401575); #12928=VECTOR('',#46359,0.393700787401575); #12929=VECTOR('',#46360,0.393700787401575); #12930=VECTOR('',#46361,0.393700787401575); #12931=VECTOR('',#46364,0.393700787401575); #12932=VECTOR('',#46365,0.393700787401575); #12933=VECTOR('',#46366,0.393700787401575); #12934=VECTOR('',#46369,0.393700787401575); #12935=VECTOR('',#46370,0.393700787401575); #12936=VECTOR('',#46371,0.393700787401575); #12937=VECTOR('',#46374,0.393700787401575); #12938=VECTOR('',#46375,0.393700787401575); #12939=VECTOR('',#46376,0.393700787401575); #12940=VECTOR('',#46379,0.393700787401575); #12941=VECTOR('',#46380,0.393700787401575); #12942=VECTOR('',#46381,0.393700787401575); #12943=VECTOR('',#46384,0.393700787401575); #12944=VECTOR('',#46385,0.393700787401575); #12945=VECTOR('',#46386,0.393700787401575); #12946=VECTOR('',#46389,0.393700787401575); #12947=VECTOR('',#46390,0.393700787401575); #12948=VECTOR('',#46391,0.393700787401575); #12949=VECTOR('',#46394,0.393700787401575); #12950=VECTOR('',#46395,0.393700787401575); #12951=VECTOR('',#46396,0.393700787401575); #12952=VECTOR('',#46399,0.393700787401575); #12953=VECTOR('',#46400,0.393700787401575); #12954=VECTOR('',#46401,0.393700787401575); #12955=VECTOR('',#46404,0.393700787401575); #12956=VECTOR('',#46405,0.393700787401575); #12957=VECTOR('',#46412,0.393700787401575); #12958=VECTOR('',#46413,0.393700787401575); #12959=VECTOR('',#46414,0.393700787401575); #12960=VECTOR('',#46415,0.393700787401575); #12961=VECTOR('',#46418,0.393700787401575); #12962=VECTOR('',#46419,0.393700787401575); #12963=VECTOR('',#46420,0.393700787401575); #12964=VECTOR('',#46423,0.393700787401575); #12965=VECTOR('',#46424,0.393700787401575); #12966=VECTOR('',#46425,0.393700787401575); #12967=VECTOR('',#46428,0.393700787401575); #12968=VECTOR('',#46429,0.393700787401575); #12969=VECTOR('',#46434,0.12); #12970=VECTOR('',#46441,0.120000000000001); #12971=VECTOR('',#46446,0.393700787401575); #12972=VECTOR('',#46447,0.393700787401575); #12973=VECTOR('',#46448,0.393700787401575); #12974=VECTOR('',#46449,0.393700787401575); #12975=VECTOR('',#46452,0.393700787401575); #12976=VECTOR('',#46453,0.393700787401575); #12977=VECTOR('',#46454,0.393700787401575); #12978=VECTOR('',#46457,0.393700787401575); #12979=VECTOR('',#46458,0.393700787401575); #12980=VECTOR('',#46459,0.393700787401575); #12981=VECTOR('',#46462,0.393700787401575); #12982=VECTOR('',#46463,0.393700787401575); #12983=VECTOR('',#46464,0.393700787401575); #12984=VECTOR('',#46467,0.393700787401575); #12985=VECTOR('',#46468,0.393700787401575); #12986=VECTOR('',#46469,0.393700787401575); #12987=VECTOR('',#46472,0.393700787401575); #12988=VECTOR('',#46473,0.393700787401575); #12989=VECTOR('',#46474,0.393700787401575); #12990=VECTOR('',#46477,0.393700787401575); #12991=VECTOR('',#46478,0.393700787401575); #12992=VECTOR('',#46479,0.393700787401575); #12993=VECTOR('',#46482,0.393700787401575); #12994=VECTOR('',#46483,0.393700787401575); #12995=VECTOR('',#46484,0.393700787401575); #12996=VECTOR('',#46487,0.393700787401575); #12997=VECTOR('',#46488,0.393700787401575); #12998=VECTOR('',#46489,0.393700787401575); #12999=VECTOR('',#46492,0.393700787401575); #13000=VECTOR('',#46493,0.393700787401575); #13001=VECTOR('',#46494,0.393700787401575); #13002=VECTOR('',#46497,0.393700787401575); #13003=VECTOR('',#46498,0.393700787401575); #13004=VECTOR('',#46499,0.393700787401575); #13005=VECTOR('',#46502,0.393700787401575); #13006=VECTOR('',#46503,0.393700787401575); #13007=VECTOR('',#46512,0.120000000000001); #13008=VECTOR('',#46517,0.393700787401575); #13009=VECTOR('',#46518,0.393700787401575); #13010=VECTOR('',#46519,0.393700787401575); #13011=VECTOR('',#46520,0.393700787401575); #13012=VECTOR('',#46523,0.393700787401575); #13013=VECTOR('',#46524,0.393700787401575); #13014=VECTOR('',#46525,0.393700787401575); #13015=VECTOR('',#46528,0.393700787401575); #13016=VECTOR('',#46529,0.393700787401575); #13017=VECTOR('',#46530,0.393700787401575); #13018=VECTOR('',#46533,0.393700787401575); #13019=VECTOR('',#46534,0.393700787401575); #13020=VECTOR('',#46535,0.393700787401575); #13021=VECTOR('',#46538,0.393700787401575); #13022=VECTOR('',#46539,0.393700787401575); #13023=VECTOR('',#46540,0.393700787401575); #13024=VECTOR('',#46543,0.393700787401575); #13025=VECTOR('',#46544,0.393700787401575); #13026=VECTOR('',#46545,0.393700787401575); #13027=VECTOR('',#46548,0.393700787401575); #13028=VECTOR('',#46549,0.393700787401575); #13029=VECTOR('',#46550,0.393700787401575); #13030=VECTOR('',#46553,0.393700787401575); #13031=VECTOR('',#46554,0.393700787401575); #13032=VECTOR('',#46555,0.393700787401575); #13033=VECTOR('',#46558,0.393700787401575); #13034=VECTOR('',#46559,0.393700787401575); #13035=VECTOR('',#46560,0.393700787401575); #13036=VECTOR('',#46563,0.393700787401575); #13037=VECTOR('',#46564,0.393700787401575); #13038=VECTOR('',#46565,0.393700787401575); #13039=VECTOR('',#46568,0.393700787401575); #13040=VECTOR('',#46569,0.393700787401575); #13041=VECTOR('',#46570,0.393700787401575); #13042=VECTOR('',#46573,0.393700787401575); #13043=VECTOR('',#46574,0.393700787401575); #13044=VECTOR('',#46581,0.393700787401575); #13045=VECTOR('',#46582,0.393700787401575); #13046=VECTOR('',#46583,0.393700787401575); #13047=VECTOR('',#46584,0.393700787401575); #13048=VECTOR('',#46587,0.393700787401575); #13049=VECTOR('',#46588,0.393700787401575); #13050=VECTOR('',#46589,0.393700787401575); #13051=VECTOR('',#46592,0.393700787401575); #13052=VECTOR('',#46593,0.393700787401575); #13053=VECTOR('',#46594,0.393700787401575); #13054=VECTOR('',#46597,0.393700787401575); #13055=VECTOR('',#46598,0.393700787401575); #13056=VECTOR('',#46603,0.12); #13057=VECTOR('',#46610,0.120000000000001); #13058=VECTOR('',#46615,0.393700787401575); #13059=VECTOR('',#46616,0.393700787401575); #13060=VECTOR('',#46617,0.393700787401575); #13061=VECTOR('',#46618,0.393700787401575); #13062=VECTOR('',#46621,0.393700787401575); #13063=VECTOR('',#46622,0.393700787401575); #13064=VECTOR('',#46623,0.393700787401575); #13065=VECTOR('',#46626,0.393700787401575); #13066=VECTOR('',#46627,0.393700787401575); #13067=VECTOR('',#46628,0.393700787401575); #13068=VECTOR('',#46631,0.393700787401575); #13069=VECTOR('',#46632,0.393700787401575); #13070=VECTOR('',#46633,0.393700787401575); #13071=VECTOR('',#46636,0.393700787401575); #13072=VECTOR('',#46637,0.393700787401575); #13073=VECTOR('',#46638,0.393700787401575); #13074=VECTOR('',#46641,0.393700787401575); #13075=VECTOR('',#46642,0.393700787401575); #13076=VECTOR('',#46643,0.393700787401575); #13077=VECTOR('',#46646,0.393700787401575); #13078=VECTOR('',#46647,0.393700787401575); #13079=VECTOR('',#46648,0.393700787401575); #13080=VECTOR('',#46651,0.393700787401575); #13081=VECTOR('',#46652,0.393700787401575); #13082=VECTOR('',#46653,0.393700787401575); #13083=VECTOR('',#46656,0.393700787401575); #13084=VECTOR('',#46657,0.393700787401575); #13085=VECTOR('',#46658,0.393700787401575); #13086=VECTOR('',#46661,0.393700787401575); #13087=VECTOR('',#46662,0.393700787401575); #13088=VECTOR('',#46663,0.393700787401575); #13089=VECTOR('',#46666,0.393700787401575); #13090=VECTOR('',#46667,0.393700787401575); #13091=VECTOR('',#46668,0.393700787401575); #13092=VECTOR('',#46671,0.393700787401575); #13093=VECTOR('',#46672,0.393700787401575); #13094=VECTOR('',#46681,0.5425); #13095=VECTOR('',#46686,0.393700787401575); #13096=VECTOR('',#46687,0.393700787401575); #13097=VECTOR('',#46688,0.393700787401575); #13098=VECTOR('',#46689,0.393700787401575); #13099=VECTOR('',#46692,0.393700787401575); #13100=VECTOR('',#46693,0.393700787401575); #13101=VECTOR('',#46694,0.393700787401575); #13102=VECTOR('',#46697,0.393700787401575); #13103=VECTOR('',#46698,0.393700787401575); #13104=VECTOR('',#46699,0.393700787401575); #13105=VECTOR('',#46702,0.393700787401575); #13106=VECTOR('',#46703,0.393700787401575); #13107=VECTOR('',#46708,0.5425); #13108=VECTOR('',#46713,0.393700787401575); #13109=VECTOR('',#46714,0.393700787401575); #13110=VECTOR('',#46715,0.393700787401575); #13111=VECTOR('',#46716,0.393700787401575); #13112=VECTOR('',#46719,0.393700787401575); #13113=VECTOR('',#46720,0.393700787401575); #13114=VECTOR('',#46721,0.393700787401575); #13115=VECTOR('',#46724,0.393700787401575); #13116=VECTOR('',#46725,0.393700787401575); #13117=VECTOR('',#46726,0.393700787401575); #13118=VECTOR('',#46729,0.393700787401575); #13119=VECTOR('',#46730,0.393700787401575); #13120=VECTOR('',#46731,0.393700787401575); #13121=VECTOR('',#46734,0.393700787401575); #13122=VECTOR('',#46735,0.393700787401575); #13123=VECTOR('',#46736,0.393700787401575); #13124=VECTOR('',#46739,0.393700787401575); #13125=VECTOR('',#46740,0.393700787401575); #13126=VECTOR('',#46741,0.393700787401575); #13127=VECTOR('',#46744,0.393700787401575); #13128=VECTOR('',#46745,0.393700787401575); #13129=VECTOR('',#46746,0.393700787401575); #13130=VECTOR('',#46749,0.393700787401575); #13131=VECTOR('',#46750,0.393700787401575); #13132=VECTOR('',#46751,0.393700787401575); #13133=VECTOR('',#46754,0.393700787401575); #13134=VECTOR('',#46755,0.393700787401575); #13135=VECTOR('',#46756,0.393700787401575); #13136=VECTOR('',#46759,0.393700787401575); #13137=VECTOR('',#46760,0.393700787401575); #13138=VECTOR('',#46761,0.393700787401575); #13139=VECTOR('',#46764,0.393700787401575); #13140=VECTOR('',#46765,0.393700787401575); #13141=VECTOR('',#46766,0.393700787401575); #13142=VECTOR('',#46769,0.393700787401575); #13143=VECTOR('',#46770,0.393700787401575); #13144=VECTOR('',#46779,0.12); #13145=VECTOR('',#46786,0.075); #13146=VECTOR('',#46793,0.075); #13147=VECTOR('',#46800,0.075); #13148=VECTOR('',#46807,0.12); #13149=VECTOR('',#46814,0.12); #13150=VECTOR('',#46821,0.075); #13151=VECTOR('',#46828,0.075); #13152=VECTOR('',#46835,0.075); #13153=VECTOR('',#46842,0.075); #13154=VECTOR('',#46849,0.075); #13155=VECTOR('',#46856,0.075); #13156=VECTOR('',#46863,0.075); #13157=VECTOR('',#46868,0.393700787401575); #13158=VECTOR('',#46869,0.393700787401575); #13159=VECTOR('',#46870,0.393700787401575); #13160=VECTOR('',#46871,0.393700787401575); #13161=VECTOR('',#46874,0.393700787401575); #13162=VECTOR('',#46875,0.393700787401575); #13163=VECTOR('',#46876,0.393700787401575); #13164=VECTOR('',#46879,0.393700787401575); #13165=VECTOR('',#46880,0.393700787401575); #13166=VECTOR('',#46881,0.393700787401575); #13167=VECTOR('',#46884,0.393700787401575); #13168=VECTOR('',#46885,0.393700787401575); #13169=VECTOR('',#46886,0.393700787401575); #13170=VECTOR('',#46889,0.393700787401575); #13171=VECTOR('',#46890,0.393700787401575); #13172=VECTOR('',#46891,0.393700787401575); #13173=VECTOR('',#46894,0.393700787401575); #13174=VECTOR('',#46895,0.393700787401575); #13175=VECTOR('',#46896,0.393700787401575); #13176=VECTOR('',#46899,0.393700787401575); #13177=VECTOR('',#46900,0.393700787401575); #13178=VECTOR('',#46901,0.393700787401575); #13179=VECTOR('',#46904,0.393700787401575); #13180=VECTOR('',#46905,0.393700787401575); #13181=VECTOR('',#46906,0.393700787401575); #13182=VECTOR('',#46909,0.393700787401575); #13183=VECTOR('',#46910,0.393700787401575); #13184=VECTOR('',#46911,0.393700787401575); #13185=VECTOR('',#46914,0.393700787401575); #13186=VECTOR('',#46915,0.393700787401575); #13187=VECTOR('',#46916,0.393700787401575); #13188=VECTOR('',#46919,0.393700787401575); #13189=VECTOR('',#46920,0.393700787401575); #13190=VECTOR('',#46921,0.393700787401575); #13191=VECTOR('',#46924,0.393700787401575); #13192=VECTOR('',#46925,0.393700787401575); #13193=VECTOR('',#46926,0.393700787401575); #13194=VECTOR('',#46929,0.393700787401575); #13195=VECTOR('',#46930,0.393700787401575); #13196=VECTOR('',#46931,0.393700787401575); #13197=VECTOR('',#46934,0.393700787401575); #13198=VECTOR('',#46935,0.393700787401575); #13199=VECTOR('',#46936,0.393700787401575); #13200=VECTOR('',#46939,0.393700787401575); #13201=VECTOR('',#46940,0.393700787401575); #13202=VECTOR('',#46941,0.393700787401575); #13203=VECTOR('',#46944,0.393700787401575); #13204=VECTOR('',#46945,0.393700787401575); #13205=VECTOR('',#46954,0.12); #13206=VECTOR('',#46961,0.075); #13207=VECTOR('',#46968,0.075); #13208=VECTOR('',#46975,0.075); #13209=VECTOR('',#46982,0.12); #13210=VECTOR('',#46989,0.12); #13211=VECTOR('',#46996,0.075); #13212=VECTOR('',#47003,0.075); #13213=VECTOR('',#47010,0.075); #13214=VECTOR('',#47017,0.075); #13215=VECTOR('',#47024,0.075); #13216=VECTOR('',#47031,0.075); #13217=VECTOR('',#47038,0.075); #13218=VECTOR('',#47043,0.393700787401575); #13219=VECTOR('',#47044,0.393700787401575); #13220=VECTOR('',#47045,0.393700787401575); #13221=VECTOR('',#47046,0.393700787401575); #13222=VECTOR('',#47049,0.393700787401575); #13223=VECTOR('',#47050,0.393700787401575); #13224=VECTOR('',#47051,0.393700787401575); #13225=VECTOR('',#47054,0.393700787401575); #13226=VECTOR('',#47055,0.393700787401575); #13227=VECTOR('',#47056,0.393700787401575); #13228=VECTOR('',#47059,0.393700787401575); #13229=VECTOR('',#47060,0.393700787401575); #13230=VECTOR('',#47061,0.393700787401575); #13231=VECTOR('',#47064,0.393700787401575); #13232=VECTOR('',#47065,0.393700787401575); #13233=VECTOR('',#47066,0.393700787401575); #13234=VECTOR('',#47069,0.393700787401575); #13235=VECTOR('',#47070,0.393700787401575); #13236=VECTOR('',#47071,0.393700787401575); #13237=VECTOR('',#47074,0.393700787401575); #13238=VECTOR('',#47075,0.393700787401575); #13239=VECTOR('',#47076,0.393700787401575); #13240=VECTOR('',#47079,0.393700787401575); #13241=VECTOR('',#47080,0.393700787401575); #13242=VECTOR('',#47081,0.393700787401575); #13243=VECTOR('',#47084,0.393700787401575); #13244=VECTOR('',#47085,0.393700787401575); #13245=VECTOR('',#47086,0.393700787401575); #13246=VECTOR('',#47089,0.393700787401575); #13247=VECTOR('',#47090,0.393700787401575); #13248=VECTOR('',#47091,0.393700787401575); #13249=VECTOR('',#47094,0.393700787401575); #13250=VECTOR('',#47095,0.393700787401575); #13251=VECTOR('',#47096,0.393700787401575); #13252=VECTOR('',#47099,0.393700787401575); #13253=VECTOR('',#47100,0.393700787401575); #13254=VECTOR('',#47101,0.393700787401575); #13255=VECTOR('',#47104,0.393700787401575); #13256=VECTOR('',#47105,0.393700787401575); #13257=VECTOR('',#47106,0.393700787401575); #13258=VECTOR('',#47109,0.393700787401575); #13259=VECTOR('',#47110,0.393700787401575); #13260=VECTOR('',#47111,0.393700787401575); #13261=VECTOR('',#47114,0.393700787401575); #13262=VECTOR('',#47115,0.393700787401575); #13263=VECTOR('',#47116,0.393700787401575); #13264=VECTOR('',#47119,0.393700787401575); #13265=VECTOR('',#47120,0.393700787401575); #13266=VECTOR('',#47129,0.0925); #13267=VECTOR('',#47136,0.075); #13268=VECTOR('',#47143,0.0925); #13269=VECTOR('',#47150,0.075); #13270=VECTOR('',#47157,0.075); #13271=VECTOR('',#47164,0.075); #13272=VECTOR('',#47171,0.075); #13273=VECTOR('',#47178,0.075); #13274=VECTOR('',#47185,0.075); #13275=VECTOR('',#47192,0.075); #13276=VECTOR('',#47199,0.075); #13277=VECTOR('',#47204,0.393700787401575); #13278=VECTOR('',#47205,0.393700787401575); #13279=VECTOR('',#47206,0.393700787401575); #13280=VECTOR('',#47207,0.393700787401575); #13281=VECTOR('',#47210,0.393700787401575); #13282=VECTOR('',#47211,0.393700787401575); #13283=VECTOR('',#47212,0.393700787401575); #13284=VECTOR('',#47219,0.393700787401575); #13285=VECTOR('',#47222,0.393700787401575); #13286=VECTOR('',#47223,0.393700787401575); #13287=VECTOR('',#47224,0.393700787401575); #13288=VECTOR('',#47231,0.393700787401575); #13289=VECTOR('',#47234,0.393700787401575); #13290=VECTOR('',#47235,0.393700787401575); #13291=VECTOR('',#47242,0.393700787401575); #13292=VECTOR('',#47243,0.393700787401575); #13293=VECTOR('',#47244,0.393700787401575); #13294=VECTOR('',#47245,0.393700787401575); #13295=VECTOR('',#47248,0.393700787401575); #13296=VECTOR('',#47249,0.393700787401575); #13297=VECTOR('',#47250,0.393700787401575); #13298=VECTOR('',#47253,0.393700787401575); #13299=VECTOR('',#47254,0.393700787401575); #13300=VECTOR('',#47255,0.393700787401575); #13301=VECTOR('',#47258,0.393700787401575); #13302=VECTOR('',#47259,0.393700787401575); #13303=VECTOR('',#47266,0.393700787401575); #13304=VECTOR('',#47267,0.393700787401575); #13305=VECTOR('',#47268,0.393700787401575); #13306=VECTOR('',#47269,0.393700787401575); #13307=VECTOR('',#47272,0.393700787401575); #13308=VECTOR('',#47273,0.393700787401575); #13309=VECTOR('',#47274,0.393700787401575); #13310=VECTOR('',#47277,0.393700787401575); #13311=VECTOR('',#47278,0.393700787401575); #13312=VECTOR('',#47279,0.393700787401575); #13313=VECTOR('',#47282,0.393700787401575); #13314=VECTOR('',#47283,0.393700787401575); #13315=VECTOR('',#47288,0.12); #13316=VECTOR('',#47293,0.393700787401575); #13317=VECTOR('',#47294,0.393700787401575); #13318=VECTOR('',#47295,0.393700787401575); #13319=VECTOR('',#47296,0.393700787401575); #13320=VECTOR('',#47299,0.393700787401575); #13321=VECTOR('',#47300,0.393700787401575); #13322=VECTOR('',#47301,0.393700787401575); #13323=VECTOR('',#47304,0.393700787401575); #13324=VECTOR('',#47305,0.393700787401575); #13325=VECTOR('',#47306,0.393700787401575); #13326=VECTOR('',#47309,0.393700787401575); #13327=VECTOR('',#47310,0.393700787401575); #13328=VECTOR('',#47313,0.393700787401575); #13329=VECTOR('',#47314,0.393700787401575); #13330=VECTOR('',#47315,0.393700787401575); #13331=VECTOR('',#47316,0.393700787401575); #13332=VECTOR('',#47319,0.393700787401575); #13333=VECTOR('',#47320,0.393700787401575); #13334=VECTOR('',#47321,0.393700787401575); #13335=VECTOR('',#47324,0.393700787401575); #13336=VECTOR('',#47325,0.393700787401575); #13337=VECTOR('',#47326,0.393700787401575); #13338=VECTOR('',#47329,0.393700787401575); #13339=VECTOR('',#47330,0.393700787401575); #13340=VECTOR('',#47333,0.393700787401575); #13341=VECTOR('',#47334,0.393700787401575); #13342=VECTOR('',#47335,0.393700787401575); #13343=VECTOR('',#47336,0.393700787401575); #13344=VECTOR('',#47339,0.393700787401575); #13345=VECTOR('',#47340,0.393700787401575); #13346=VECTOR('',#47341,0.393700787401575); #13347=VECTOR('',#47344,0.393700787401575); #13348=VECTOR('',#47345,0.393700787401575); #13349=VECTOR('',#47346,0.393700787401575); #13350=VECTOR('',#47349,0.393700787401575); #13351=VECTOR('',#47350,0.393700787401575); #13352=VECTOR('',#47351,0.393700787401575); #13353=VECTOR('',#47354,0.393700787401575); #13354=VECTOR('',#47355,0.393700787401575); #13355=VECTOR('',#47356,0.393700787401575); #13356=VECTOR('',#47359,0.393700787401575); #13357=VECTOR('',#47360,0.393700787401575); #13358=VECTOR('',#47361,0.393700787401575); #13359=VECTOR('',#47364,0.393700787401575); #13360=VECTOR('',#47365,0.393700787401575); #13361=VECTOR('',#47366,0.393700787401575); #13362=VECTOR('',#47369,0.393700787401575); #13363=VECTOR('',#47370,0.393700787401575); #13364=VECTOR('',#47371,0.393700787401575); #13365=VECTOR('',#47374,0.393700787401575); #13366=VECTOR('',#47375,0.393700787401575); #13367=VECTOR('',#47376,0.393700787401575); #13368=VECTOR('',#47379,0.393700787401575); #13369=VECTOR('',#47380,0.393700787401575); #13370=VECTOR('',#47381,0.393700787401575); #13371=VECTOR('',#47384,0.393700787401575); #13372=VECTOR('',#47385,0.393700787401575); #13373=VECTOR('',#47386,0.393700787401575); #13374=VECTOR('',#47389,0.393700787401575); #13375=VECTOR('',#47390,0.393700787401575); #13376=VECTOR('',#47391,0.393700787401575); #13377=VECTOR('',#47394,0.393700787401575); #13378=VECTOR('',#47395,0.393700787401575); #13379=VECTOR('',#47396,0.393700787401575); #13380=VECTOR('',#47399,0.393700787401575); #13381=VECTOR('',#47400,0.393700787401575); #13382=VECTOR('',#47401,0.393700787401575); #13383=VECTOR('',#47404,0.393700787401575); #13384=VECTOR('',#47405,0.393700787401575); #13385=VECTOR('',#47406,0.393700787401575); #13386=VECTOR('',#47409,0.393700787401575); #13387=VECTOR('',#47410,0.393700787401575); #13388=VECTOR('',#47411,0.393700787401575); #13389=VECTOR('',#47414,0.393700787401575); #13390=VECTOR('',#47415,0.393700787401575); #13391=VECTOR('',#47416,0.393700787401575); #13392=VECTOR('',#47419,0.393700787401575); #13393=VECTOR('',#47420,0.393700787401575); #13394=VECTOR('',#47421,0.393700787401575); #13395=VECTOR('',#47424,0.393700787401575); #13396=VECTOR('',#47425,0.393700787401575); #13397=VECTOR('',#47426,0.393700787401575); #13398=VECTOR('',#47429,0.393700787401575); #13399=VECTOR('',#47430,0.393700787401575); #13400=VECTOR('',#47431,0.393700787401575); #13401=VECTOR('',#47434,0.393700787401575); #13402=VECTOR('',#47435,0.393700787401575); #13403=VECTOR('',#47436,0.393700787401575); #13404=VECTOR('',#47439,0.393700787401575); #13405=VECTOR('',#47440,0.393700787401575); #13406=VECTOR('',#47441,0.393700787401575); #13407=VECTOR('',#47444,0.393700787401575); #13408=VECTOR('',#47445,0.393700787401575); #13409=VECTOR('',#47446,0.393700787401575); #13410=VECTOR('',#47449,0.393700787401575); #13411=VECTOR('',#47450,0.393700787401575); #13412=VECTOR('',#47451,0.393700787401575); #13413=VECTOR('',#47454,0.393700787401575); #13414=VECTOR('',#47455,0.393700787401575); #13415=VECTOR('',#47456,0.393700787401575); #13416=VECTOR('',#47459,0.393700787401575); #13417=VECTOR('',#47460,0.393700787401575); #13418=VECTOR('',#47461,0.393700787401575); #13419=VECTOR('',#47464,0.393700787401575); #13420=VECTOR('',#47465,0.393700787401575); #13421=VECTOR('',#47466,0.393700787401575); #13422=VECTOR('',#47469,0.393700787401575); #13423=VECTOR('',#47470,0.393700787401575); #13424=VECTOR('',#47471,0.393700787401575); #13425=VECTOR('',#47474,0.393700787401575); #13426=VECTOR('',#47475,0.393700787401575); #13427=VECTOR('',#47476,0.393700787401575); #13428=VECTOR('',#47479,0.393700787401575); #13429=VECTOR('',#47480,0.393700787401575); #13430=VECTOR('',#47481,0.393700787401575); #13431=VECTOR('',#47484,0.393700787401575); #13432=VECTOR('',#47485,0.393700787401575); #13433=VECTOR('',#47486,0.393700787401575); #13434=VECTOR('',#47489,0.393700787401575); #13435=VECTOR('',#47490,0.393700787401575); #13436=VECTOR('',#47491,0.393700787401575); #13437=VECTOR('',#47494,0.393700787401575); #13438=VECTOR('',#47495,0.393700787401575); #13439=VECTOR('',#47496,0.393700787401575); #13440=VECTOR('',#47499,0.393700787401575); #13441=VECTOR('',#47500,0.393700787401575); #13442=VECTOR('',#47501,0.393700787401575); #13443=VECTOR('',#47504,0.393700787401575); #13444=VECTOR('',#47505,0.393700787401575); #13445=VECTOR('',#47506,0.393700787401575); #13446=VECTOR('',#47509,0.393700787401575); #13447=VECTOR('',#47510,0.393700787401575); #13448=VECTOR('',#47517,0.393700787401575); #13449=VECTOR('',#47518,0.393700787401575); #13450=VECTOR('',#47519,0.393700787401575); #13451=VECTOR('',#47520,0.393700787401575); #13452=VECTOR('',#47523,0.393700787401575); #13453=VECTOR('',#47524,0.393700787401575); #13454=VECTOR('',#47525,0.393700787401575); #13455=VECTOR('',#47528,0.393700787401575); #13456=VECTOR('',#47529,0.393700787401575); #13457=VECTOR('',#47530,0.393700787401575); #13458=VECTOR('',#47533,0.393700787401575); #13459=VECTOR('',#47534,0.393700787401575); #13460=VECTOR('',#47537,0.393700787401575); #13461=VECTOR('',#47538,0.393700787401575); #13462=VECTOR('',#47539,0.393700787401575); #13463=VECTOR('',#47540,0.393700787401575); #13464=VECTOR('',#47543,0.393700787401575); #13465=VECTOR('',#47544,0.393700787401575); #13466=VECTOR('',#47545,0.393700787401575); #13467=VECTOR('',#47548,0.393700787401575); #13468=VECTOR('',#47549,0.393700787401575); #13469=VECTOR('',#47550,0.393700787401575); #13470=VECTOR('',#47553,0.393700787401575); #13471=VECTOR('',#47554,0.393700787401575); #13472=VECTOR('',#47557,0.393700787401575); #13473=VECTOR('',#47558,0.393700787401575); #13474=VECTOR('',#47559,0.393700787401575); #13475=VECTOR('',#47560,0.393700787401575); #13476=VECTOR('',#47567,0.393700787401575); #13477=VECTOR('',#47570,0.393700787401575); #13478=VECTOR('',#47571,0.393700787401575); #13479=VECTOR('',#47572,0.393700787401575); #13480=VECTOR('',#47579,0.393700787401575); #13481=VECTOR('',#47582,0.393700787401575); #13482=VECTOR('',#47583,0.393700787401575); #13483=VECTOR('',#47584,0.393700787401575); #13484=VECTOR('',#47591,0.393700787401575); #13485=VECTOR('',#47594,0.393700787401575); #13486=VECTOR('',#47595,0.393700787401575); #13487=VECTOR('',#47596,0.393700787401575); #13488=VECTOR('',#47599,0.393700787401575); #13489=VECTOR('',#47600,0.393700787401575); #13490=VECTOR('',#47601,0.393700787401575); #13491=VECTOR('',#47604,0.393700787401575); #13492=VECTOR('',#47605,0.393700787401575); #13493=VECTOR('',#47606,0.393700787401575); #13494=VECTOR('',#47609,0.393700787401575); #13495=VECTOR('',#47610,0.393700787401575); #13496=VECTOR('',#47611,0.393700787401575); #13497=VECTOR('',#47618,0.393700787401575); #13498=VECTOR('',#47621,0.393700787401575); #13499=VECTOR('',#47622,0.393700787401575); #13500=VECTOR('',#47623,0.393700787401575); #13501=VECTOR('',#47630,0.393700787401575); #13502=VECTOR('',#47633,0.393700787401575); #13503=VECTOR('',#47634,0.393700787401575); #13504=VECTOR('',#47635,0.393700787401575); #13505=VECTOR('',#47638,0.393700787401575); #13506=VECTOR('',#47639,0.393700787401575); #13507=VECTOR('',#47640,0.393700787401575); #13508=VECTOR('',#47643,0.393700787401575); #13509=VECTOR('',#47644,0.393700787401575); #13510=VECTOR('',#47645,0.393700787401575); #13511=VECTOR('',#47648,0.393700787401575); #13512=VECTOR('',#47649,0.393700787401575); #13513=VECTOR('',#47650,0.393700787401575); #13514=VECTOR('',#47665,0.7275); #13515=VECTOR('',#47670,0.393700787401575); #13516=VECTOR('',#47671,0.393700787401575); #13517=VECTOR('',#47672,0.393700787401575); #13518=VECTOR('',#47673,0.393700787401575); #13519=VECTOR('',#47676,0.393700787401575); #13520=VECTOR('',#47677,0.393700787401575); #13521=VECTOR('',#47678,0.393700787401575); #13522=VECTOR('',#47681,0.393700787401575); #13523=VECTOR('',#47682,0.393700787401575); #13524=VECTOR('',#47683,0.393700787401575); #13525=VECTOR('',#47686,0.393700787401575); #13526=VECTOR('',#47687,0.393700787401575); #13527=VECTOR('',#47688,0.393700787401575); #13528=VECTOR('',#47691,0.393700787401575); #13529=VECTOR('',#47692,0.393700787401575); #13530=VECTOR('',#47693,0.393700787401575); #13531=VECTOR('',#47696,0.393700787401575); #13532=VECTOR('',#47697,0.393700787401575); #13533=VECTOR('',#47698,0.393700787401575); #13534=VECTOR('',#47701,0.393700787401575); #13535=VECTOR('',#47702,0.393700787401575); #13536=VECTOR('',#47703,0.393700787401575); #13537=VECTOR('',#47706,0.393700787401575); #13538=VECTOR('',#47707,0.393700787401575); #13539=VECTOR('',#47708,0.393700787401575); #13540=VECTOR('',#47711,0.393700787401575); #13541=VECTOR('',#47712,0.393700787401575); #13542=VECTOR('',#47713,0.393700787401575); #13543=VECTOR('',#47716,0.393700787401575); #13544=VECTOR('',#47717,0.393700787401575); #13545=VECTOR('',#47718,0.393700787401575); #13546=VECTOR('',#47721,0.393700787401575); #13547=VECTOR('',#47722,0.393700787401575); #13548=VECTOR('',#47723,0.393700787401575); #13549=VECTOR('',#47726,0.393700787401575); #13550=VECTOR('',#47727,0.393700787401575); #13551=VECTOR('',#47734,0.393700787401575); #13552=VECTOR('',#47735,0.393700787401575); #13553=VECTOR('',#47736,0.393700787401575); #13554=VECTOR('',#47737,0.393700787401575); #13555=VECTOR('',#47740,0.393700787401575); #13556=VECTOR('',#47741,0.393700787401575); #13557=VECTOR('',#47742,0.393700787401575); #13558=VECTOR('',#47745,0.393700787401575); #13559=VECTOR('',#47746,0.393700787401575); #13560=VECTOR('',#47747,0.393700787401575); #13561=VECTOR('',#47750,0.393700787401575); #13562=VECTOR('',#47751,0.393700787401575); #13563=VECTOR('',#47754,0.393700787401575); #13564=VECTOR('',#47755,0.393700787401575); #13565=VECTOR('',#47756,0.393700787401575); #13566=VECTOR('',#47757,0.393700787401575); #13567=VECTOR('',#47760,0.393700787401575); #13568=VECTOR('',#47761,0.393700787401575); #13569=VECTOR('',#47762,0.393700787401575); #13570=VECTOR('',#47765,0.393700787401575); #13571=VECTOR('',#47766,0.393700787401575); #13572=VECTOR('',#47767,0.393700787401575); #13573=VECTOR('',#47770,0.393700787401575); #13574=VECTOR('',#47771,0.393700787401575); #13575=VECTOR('',#47774,0.393700787401575); #13576=VECTOR('',#47775,0.393700787401575); #13577=VECTOR('',#47776,0.393700787401575); #13578=VECTOR('',#47777,0.393700787401575); #13579=VECTOR('',#47784,0.393700787401575); #13580=VECTOR('',#47787,0.393700787401575); #13581=VECTOR('',#47788,0.393700787401575); #13582=VECTOR('',#47789,0.393700787401575); #13583=VECTOR('',#47796,0.393700787401575); #13584=VECTOR('',#47799,0.393700787401575); #13585=VECTOR('',#47800,0.393700787401575); #13586=VECTOR('',#47801,0.393700787401575); #13587=VECTOR('',#47808,0.393700787401575); #13588=VECTOR('',#47811,0.393700787401575); #13589=VECTOR('',#47812,0.393700787401575); #13590=VECTOR('',#47813,0.393700787401575); #13591=VECTOR('',#47816,0.393700787401575); #13592=VECTOR('',#47817,0.393700787401575); #13593=VECTOR('',#47818,0.393700787401575); #13594=VECTOR('',#47821,0.393700787401575); #13595=VECTOR('',#47822,0.393700787401575); #13596=VECTOR('',#47823,0.393700787401575); #13597=VECTOR('',#47826,0.393700787401575); #13598=VECTOR('',#47827,0.393700787401575); #13599=VECTOR('',#47828,0.393700787401575); #13600=VECTOR('',#47835,0.393700787401575); #13601=VECTOR('',#47838,0.393700787401575); #13602=VECTOR('',#47839,0.393700787401575); #13603=VECTOR('',#47840,0.393700787401575); #13604=VECTOR('',#47847,0.393700787401575); #13605=VECTOR('',#47850,0.393700787401575); #13606=VECTOR('',#47851,0.393700787401575); #13607=VECTOR('',#47852,0.393700787401575); #13608=VECTOR('',#47855,0.393700787401575); #13609=VECTOR('',#47856,0.393700787401575); #13610=VECTOR('',#47857,0.393700787401575); #13611=VECTOR('',#47860,0.393700787401575); #13612=VECTOR('',#47861,0.393700787401575); #13613=VECTOR('',#47862,0.393700787401575); #13614=VECTOR('',#47865,0.393700787401575); #13615=VECTOR('',#47866,0.393700787401575); #13616=VECTOR('',#47867,0.393700787401575); #13617=VECTOR('',#47882,0.645); #13618=VECTOR('',#47887,0.393700787401575); #13619=VECTOR('',#47888,0.393700787401575); #13620=VECTOR('',#47889,0.393700787401575); #13621=VECTOR('',#47890,0.393700787401575); #13622=VECTOR('',#47893,0.393700787401575); #13623=VECTOR('',#47894,0.393700787401575); #13624=VECTOR('',#47895,0.393700787401575); #13625=VECTOR('',#47898,0.393700787401575); #13626=VECTOR('',#47899,0.393700787401575); #13627=VECTOR('',#47900,0.393700787401575); #13628=VECTOR('',#47903,0.393700787401575); #13629=VECTOR('',#47904,0.393700787401575); #13630=VECTOR('',#47905,0.393700787401575); #13631=VECTOR('',#47908,0.393700787401575); #13632=VECTOR('',#47909,0.393700787401575); #13633=VECTOR('',#47910,0.393700787401575); #13634=VECTOR('',#47913,0.393700787401575); #13635=VECTOR('',#47914,0.393700787401575); #13636=VECTOR('',#47915,0.393700787401575); #13637=VECTOR('',#47918,0.393700787401575); #13638=VECTOR('',#47919,0.393700787401575); #13639=VECTOR('',#47920,0.393700787401575); #13640=VECTOR('',#47923,0.393700787401575); #13641=VECTOR('',#47924,0.393700787401575); #13642=VECTOR('',#47925,0.393700787401575); #13643=VECTOR('',#47928,0.393700787401575); #13644=VECTOR('',#47929,0.393700787401575); #13645=VECTOR('',#47930,0.393700787401575); #13646=VECTOR('',#47933,0.393700787401575); #13647=VECTOR('',#47934,0.393700787401575); #13648=VECTOR('',#47935,0.393700787401575); #13649=VECTOR('',#47938,0.393700787401575); #13650=VECTOR('',#47939,0.393700787401575); #13651=VECTOR('',#47940,0.393700787401575); #13652=VECTOR('',#47943,0.393700787401575); #13653=VECTOR('',#47944,0.393700787401575); #13654=CIRCLE('',#35412,0.125); #13655=CIRCLE('',#35413,0.125); #13656=CIRCLE('',#35422,0.564999999999999); #13657=CIRCLE('',#35423,0.564999999999999); #13658=CIRCLE('',#35427,0.125); #13659=CIRCLE('',#35428,0.125); #13660=CIRCLE('',#35437,0.564999999999999); #13661=CIRCLE('',#35438,0.564999999999999); #13662=CIRCLE('',#35442,1.475); #13663=CIRCLE('',#35443,0.125); #13664=CIRCLE('',#35445,0.125); #13665=CIRCLE('',#35447,1.475); #13666=CIRCLE('',#35451,0.65); #13667=CIRCLE('',#35452,0.65); #13668=CIRCLE('',#35454,0.4); #13669=CIRCLE('',#35455,0.4); #13670=CIRCLE('',#35487,0.399999999999978); #13671=CIRCLE('',#35488,0.399999999999978); #13672=CIRCLE('',#35496,0.4); #13673=CIRCLE('',#35497,0.4); #13674=CIRCLE('',#35500,0.399999999999978); #13675=CIRCLE('',#35501,0.399999999999978); #13676=CIRCLE('',#35534,0.537500000000003); #13677=CIRCLE('',#35535,0.537500000000003); #13678=CIRCLE('',#35589,0.399999999999978); #13679=CIRCLE('',#35590,0.399999999999978); #13680=CIRCLE('',#35593,0.4); #13681=CIRCLE('',#35594,0.4); #13682=CIRCLE('',#35599,0.125); #13683=CIRCLE('',#35600,0.125); #13684=CIRCLE('',#35609,0.564999999999999); #13685=CIRCLE('',#35610,0.564999999999999); #13686=CIRCLE('',#35614,0.125); #13687=CIRCLE('',#35615,0.125); #13688=CIRCLE('',#35624,0.564999999999999); #13689=CIRCLE('',#35625,0.564999999999999); #13690=CIRCLE('',#35629,1.475); #13691=CIRCLE('',#35630,0.125); #13692=CIRCLE('',#35632,0.125); #13693=CIRCLE('',#35634,1.475); #13694=CIRCLE('',#35638,0.65); #13695=CIRCLE('',#35639,0.65); #13696=CIRCLE('',#35641,0.4); #13697=CIRCLE('',#35642,0.4); #13698=CIRCLE('',#35674,0.399999999999978); #13699=CIRCLE('',#35675,0.399999999999978); #13700=CIRCLE('',#35683,0.4); #13701=CIRCLE('',#35684,0.4); #13702=CIRCLE('',#35687,0.399999999999978); #13703=CIRCLE('',#35688,0.399999999999978); #13704=CIRCLE('',#35721,0.537500000000003); #13705=CIRCLE('',#35722,0.537500000000003); #13706=CIRCLE('',#35749,0.445567035730409); #13707=CIRCLE('',#35750,0.500000015957149); #13708=CIRCLE('',#35752,0.53125); #13709=CIRCLE('',#35753,0.3125); #13710=CIRCLE('',#35754,0.84375); #13711=CIRCLE('',#35755,0.84375); #13712=CIRCLE('',#35757,0.84375); #13713=CIRCLE('',#35760,0.109375); #13714=CIRCLE('',#35761,0.109375); #13715=CIRCLE('',#35763,0.109375); #13716=CIRCLE('',#35764,0.109375); #13717=CIRCLE('',#35767,1.00000000000124); #13718=CIRCLE('',#35768,1.00000000000124); #13719=CIRCLE('',#35771,0.200000000000007); #13720=CIRCLE('',#35772,0.200000000000007); #13721=CIRCLE('',#35775,1.00000000000124); #13722=CIRCLE('',#35776,1.00000000000124); #13723=CIRCLE('',#35779,0.200000000000249); #13724=CIRCLE('',#35780,0.200000000000249); #13725=CIRCLE('',#35785,0.500000015957149); #13726=CIRCLE('',#35791,0.125); #13727=CIRCLE('',#35792,0.125); #13728=CIRCLE('',#35795,0.399999999999999); #13729=CIRCLE('',#35796,0.399999999999999); #13730=CIRCLE('',#35805,0.4); #13731=CIRCLE('',#35806,0.4); #13732=CIRCLE('',#35810,0.125); #13733=CIRCLE('',#35811,0.125); #13734=CIRCLE('',#35814,0.4); #13735=CIRCLE('',#35815,0.4); #13736=CIRCLE('',#35824,0.399999999999999); #13737=CIRCLE('',#35825,0.399999999999999); #13738=CIRCLE('',#35829,0.125); #13739=CIRCLE('',#35830,0.125); #13740=CIRCLE('',#35832,0.125); #13741=CIRCLE('',#35833,0.125); #13742=CIRCLE('',#35835,0.125); #13743=CIRCLE('',#35836,0.125); #13744=CIRCLE('',#35838,0.399999999999999); #13745=CIRCLE('',#35839,0.399999999999999); #13746=CIRCLE('',#35848,0.4); #13747=CIRCLE('',#35849,0.4); #13748=CIRCLE('',#35854,0.125); #13749=CIRCLE('',#35855,0.125); #13750=CIRCLE('',#35857,0.125); #13751=CIRCLE('',#35858,0.125); #13752=CIRCLE('',#35860,0.125); #13753=CIRCLE('',#35861,0.125); #13754=CIRCLE('',#35863,0.4); #13755=CIRCLE('',#35864,0.4); #13756=CIRCLE('',#35873,0.399999999999999); #13757=CIRCLE('',#35874,0.399999999999999); #13758=CIRCLE('',#35879,0.125); #13759=CIRCLE('',#35880,0.125); #13760=CIRCLE('',#35883,0.399999999999999); #13761=CIRCLE('',#35884,0.399999999999999); #13762=CIRCLE('',#35893,0.4); #13763=CIRCLE('',#35894,0.4); #13764=CIRCLE('',#35898,0.125); #13765=CIRCLE('',#35899,0.125); #13766=CIRCLE('',#35902,0.4); #13767=CIRCLE('',#35903,0.4); #13768=CIRCLE('',#35912,0.399999999999999); #13769=CIRCLE('',#35913,0.399999999999999); #13770=CIRCLE('',#35917,0.125); #13771=CIRCLE('',#35918,0.125); #13772=CIRCLE('',#35920,0.125); #13773=CIRCLE('',#35921,0.125); #13774=CIRCLE('',#35923,0.125); #13775=CIRCLE('',#35924,0.125); #13776=CIRCLE('',#35926,0.399999999999999); #13777=CIRCLE('',#35927,0.399999999999999); #13778=CIRCLE('',#35936,0.4); #13779=CIRCLE('',#35937,0.4); #13780=CIRCLE('',#35942,0.125); #13781=CIRCLE('',#35943,0.125); #13782=CIRCLE('',#35945,0.125); #13783=CIRCLE('',#35946,0.125); #13784=CIRCLE('',#35948,0.125); #13785=CIRCLE('',#35949,0.125); #13786=CIRCLE('',#35951,0.4); #13787=CIRCLE('',#35952,0.4); #13788=CIRCLE('',#35961,0.399999999999999); #13789=CIRCLE('',#35962,0.399999999999999); #13790=CIRCLE('',#35979,0.12); #13791=CIRCLE('',#35980,0.12); #13792=CIRCLE('',#35982,0.12); #13793=CIRCLE('',#35983,0.12); #13794=CIRCLE('',#35985,0.12); #13795=CIRCLE('',#35986,0.12); #13796=CIRCLE('',#35988,0.12); #13797=CIRCLE('',#35989,0.12); #13798=CIRCLE('',#35991,0.12); #13799=CIRCLE('',#35992,0.12); #13800=CIRCLE('',#35994,0.0749999999999997); #13801=CIRCLE('',#35995,0.0749999999999997); #13802=CIRCLE('',#36021,0.84375); #13803=CIRCLE('',#36022,0.84375); #13804=CIRCLE('',#36044,0.0749999999999997); #13805=CIRCLE('',#36045,0.0749999999999997); #13806=CIRCLE('',#36047,0.125); #13807=CIRCLE('',#36048,0.125); #13808=CIRCLE('',#36054,0.109375); #13809=CIRCLE('',#36055,0.109375); #13810=CIRCLE('',#36057,0.125); #13811=CIRCLE('',#36058,0.125); #13812=CIRCLE('',#36060,0.125); #13813=CIRCLE('',#36061,0.125); #13814=CIRCLE('',#36067,0.109375); #13815=CIRCLE('',#36068,0.109375); #13816=CIRCLE('',#36070,0.109375); #13817=CIRCLE('',#36071,0.109375); #13818=CIRCLE('',#36073,0.125); #13819=CIRCLE('',#36074,0.125); #13820=CIRCLE('',#36080,0.890000028403725); #13821=CIRCLE('',#36081,0.890000028403725); #13822=CIRCLE('',#36083,0.1); #13823=CIRCLE('',#36084,0.1); #13824=CIRCLE('',#36087,0.1); #13825=CIRCLE('',#36088,0.1); #13826=CIRCLE('',#36090,1.11359780852597); #13827=CIRCLE('',#36091,1.11359780852597); #13828=CIRCLE('',#36093,0.1); #13829=CIRCLE('',#36094,0.1); #13830=CIRCLE('',#36097,0.0999999999999993); #13831=CIRCLE('',#36098,0.0999999999999993); #13832=CIRCLE('',#36104,0.109375); #13833=CIRCLE('',#36105,0.109375); #13834=CIRCLE('',#36119,0.12); #13835=CIRCLE('',#36120,0.12); #13836=CIRCLE('',#36130,1.); #13837=CIRCLE('',#36131,1.); #13838=CIRCLE('',#36134,1.); #13839=CIRCLE('',#36135,1.); #13840=CIRCLE('',#36150,1.); #13841=CIRCLE('',#36151,1.); #13842=CIRCLE('',#36154,1.); #13843=CIRCLE('',#36155,1.); #13844=CIRCLE('',#36164,0.109375); #13845=CIRCLE('',#36165,0.109375); #13846=CIRCLE('',#36167,0.109375); #13847=CIRCLE('',#36168,0.109375); #13848=CIRCLE('',#36170,0.84375); #13849=CIRCLE('',#36171,0.84375); #13850=CIRCLE('',#36174,1.00000000000124); #13851=CIRCLE('',#36175,1.00000000000124); #13852=CIRCLE('',#36178,0.200000000000007); #13853=CIRCLE('',#36179,0.200000000000007); #13854=CIRCLE('',#36182,1.00000000000124); #13855=CIRCLE('',#36183,1.00000000000124); #13856=CIRCLE('',#36186,0.200000000000249); #13857=CIRCLE('',#36187,0.200000000000249); #13858=CIRCLE('',#36193,0.12); #13859=CIRCLE('',#36194,0.12); #13860=CIRCLE('',#36196,0.12); #13861=CIRCLE('',#36197,0.12); #13862=CIRCLE('',#36213,0.12); #13863=CIRCLE('',#36214,0.12); #13864=CIRCLE('',#36216,0.12); #13865=CIRCLE('',#36217,0.12); #13866=CIRCLE('',#36233,0.12); #13867=CIRCLE('',#36234,0.12); #13868=CIRCLE('',#36236,0.12); #13869=CIRCLE('',#36237,0.12); #13870=CIRCLE('',#36253,0.12); #13871=CIRCLE('',#36254,0.12); #13872=CIRCLE('',#36256,0.12); #13873=CIRCLE('',#36257,0.12); #13874=CIRCLE('',#36273,0.12); #13875=CIRCLE('',#36274,0.12); #13876=CIRCLE('',#36276,0.12); #13877=CIRCLE('',#36277,0.12); #13878=CIRCLE('',#36293,0.12); #13879=CIRCLE('',#36294,0.12); #13880=CIRCLE('',#36296,0.12); #13881=CIRCLE('',#36297,0.12); #13882=CIRCLE('',#36315,0.0377952755905498); #13883=CIRCLE('',#36316,0.0377952755905498); #13884=CIRCLE('',#36319,0.0377952755905498); #13885=CIRCLE('',#36320,0.0377952755905498); #13886=CIRCLE('',#36323,0.0377952755905505); #13887=CIRCLE('',#36324,0.0377952755905505); #13888=CIRCLE('',#36327,0.0377952755905505); #13889=CIRCLE('',#36328,0.0377952755905505); #13890=CIRCLE('',#36331,0.0377952755905498); #13891=CIRCLE('',#36332,0.0377952755905498); #13892=CIRCLE('',#36335,0.0377952755905498); #13893=CIRCLE('',#36336,0.0377952755905498); #13894=CIRCLE('',#36339,0.0377952755905505); #13895=CIRCLE('',#36340,0.0377952755905505); #13896=CIRCLE('',#36343,0.0377952755905505); #13897=CIRCLE('',#36344,0.0377952755905505); #13898=CIRCLE('',#36347,0.0377952755905498); #13899=CIRCLE('',#36348,0.0377952755905498); #13900=CIRCLE('',#36351,0.0377952755905498); #13901=CIRCLE('',#36352,0.0377952755905498); #13902=CIRCLE('',#36355,0.0377952755905505); #13903=CIRCLE('',#36356,0.0377952755905505); #13904=CIRCLE('',#36359,0.0377952755905505); #13905=CIRCLE('',#36360,0.0377952755905505); #13906=CIRCLE('',#36363,0.0377952755905498); #13907=CIRCLE('',#36364,0.0377952755905498); #13908=CIRCLE('',#36367,0.0377952755905498); #13909=CIRCLE('',#36368,0.0377952755905498); #13910=CIRCLE('',#36371,0.0377952755905505); #13911=CIRCLE('',#36372,0.0377952755905505); #13912=CIRCLE('',#36375,0.0377952755905505); #13913=CIRCLE('',#36376,0.0377952755905505); #13914=CIRCLE('',#36379,0.0377952755905498); #13915=CIRCLE('',#36380,0.0377952755905498); #13916=CIRCLE('',#36383,0.0377952755905498); #13917=CIRCLE('',#36384,0.0377952755905498); #13918=CIRCLE('',#36387,0.0377952755905505); #13919=CIRCLE('',#36388,0.0377952755905505); #13920=CIRCLE('',#36391,0.0377952755905505); #13921=CIRCLE('',#36392,0.0377952755905505); #13922=CIRCLE('',#36395,0.0377952755905498); #13923=CIRCLE('',#36396,0.0377952755905498); #13924=CIRCLE('',#36399,0.0377952755905498); #13925=CIRCLE('',#36400,0.0377952755905498); #13926=CIRCLE('',#36403,0.0377952755905505); #13927=CIRCLE('',#36404,0.0377952755905505); #13928=CIRCLE('',#36407,0.0377952755905505); #13929=CIRCLE('',#36408,0.0377952755905505); #13930=CIRCLE('',#36411,0.0377952755905498); #13931=CIRCLE('',#36412,0.0377952755905498); #13932=CIRCLE('',#36415,0.0377952755905498); #13933=CIRCLE('',#36416,0.0377952755905498); #13934=CIRCLE('',#36419,0.0377952755905505); #13935=CIRCLE('',#36420,0.0377952755905505); #13936=CIRCLE('',#36423,0.0377952755905505); #13937=CIRCLE('',#36424,0.0377952755905505); #13938=CIRCLE('',#36427,0.0377952755905498); #13939=CIRCLE('',#36428,0.0377952755905498); #13940=CIRCLE('',#36431,0.0377952755905498); #13941=CIRCLE('',#36432,0.0377952755905498); #13942=CIRCLE('',#36435,0.0377952755905505); #13943=CIRCLE('',#36436,0.0377952755905505); #13944=CIRCLE('',#36439,0.0377952755905505); #13945=CIRCLE('',#36440,0.0377952755905505); #13946=CIRCLE('',#36443,0.0377952755905498); #13947=CIRCLE('',#36444,0.0377952755905498); #13948=CIRCLE('',#36447,0.0377952755905498); #13949=CIRCLE('',#36448,0.0377952755905498); #13950=CIRCLE('',#36451,0.0377952755905505); #13951=CIRCLE('',#36452,0.0377952755905505); #13952=CIRCLE('',#36455,0.0377952755905505); #13953=CIRCLE('',#36456,0.0377952755905505); #13954=CIRCLE('',#36459,0.0377952755905498); #13955=CIRCLE('',#36460,0.0377952755905498); #13956=CIRCLE('',#36463,0.0377952755905498); #13957=CIRCLE('',#36464,0.0377952755905498); #13958=CIRCLE('',#36467,0.0377952755905505); #13959=CIRCLE('',#36468,0.0377952755905505); #13960=CIRCLE('',#36471,0.0377952755905505); #13961=CIRCLE('',#36472,0.0377952755905505); #13962=CIRCLE('',#36475,0.0377952755905498); #13963=CIRCLE('',#36476,0.0377952755905498); #13964=CIRCLE('',#36479,0.0377952755905498); #13965=CIRCLE('',#36480,0.0377952755905498); #13966=CIRCLE('',#36483,0.0377952755905505); #13967=CIRCLE('',#36484,0.0377952755905505); #13968=CIRCLE('',#36487,0.0377952755905505); #13969=CIRCLE('',#36488,0.0377952755905505); #13970=CIRCLE('',#36491,0.0377952755905498); #13971=CIRCLE('',#36492,0.0377952755905498); #13972=CIRCLE('',#36495,0.0377952755905498); #13973=CIRCLE('',#36496,0.0377952755905498); #13974=CIRCLE('',#36499,0.0377952755905505); #13975=CIRCLE('',#36500,0.0377952755905505); #13976=CIRCLE('',#36503,0.0377952755905505); #13977=CIRCLE('',#36504,0.0377952755905505); #13978=CIRCLE('',#36507,0.0377952755905498); #13979=CIRCLE('',#36508,0.0377952755905498); #13980=CIRCLE('',#36511,0.0377952755905498); #13981=CIRCLE('',#36512,0.0377952755905498); #13982=CIRCLE('',#36515,0.0377952755905505); #13983=CIRCLE('',#36516,0.0377952755905505); #13984=CIRCLE('',#36519,0.0377952755905505); #13985=CIRCLE('',#36520,0.0377952755905505); #13986=CIRCLE('',#36523,0.0377952755905498); #13987=CIRCLE('',#36524,0.0377952755905498); #13988=CIRCLE('',#36527,0.0377952755905498); #13989=CIRCLE('',#36528,0.0377952755905498); #13990=CIRCLE('',#36531,0.0377952755905505); #13991=CIRCLE('',#36532,0.0377952755905505); #13992=CIRCLE('',#36535,0.0377952755905505); #13993=CIRCLE('',#36536,0.0377952755905505); #13994=CIRCLE('',#36539,0.0377952755905498); #13995=CIRCLE('',#36540,0.0377952755905498); #13996=CIRCLE('',#36543,0.0377952755905498); #13997=CIRCLE('',#36544,0.0377952755905498); #13998=CIRCLE('',#36547,0.0377952755905505); #13999=CIRCLE('',#36548,0.0377952755905505); #14000=CIRCLE('',#36551,0.0377952755905505); #14001=CIRCLE('',#36552,0.0377952755905505); #14002=CIRCLE('',#36555,0.0377952755905498); #14003=CIRCLE('',#36556,0.0377952755905498); #14004=CIRCLE('',#36559,0.0377952755905498); #14005=CIRCLE('',#36560,0.0377952755905498); #14006=CIRCLE('',#36563,0.0377952755905505); #14007=CIRCLE('',#36564,0.0377952755905505); #14008=CIRCLE('',#36567,0.0377952755905505); #14009=CIRCLE('',#36568,0.0377952755905505); #14010=CIRCLE('',#36571,0.0377952755905498); #14011=CIRCLE('',#36572,0.0377952755905498); #14012=CIRCLE('',#36575,0.0377952755905498); #14013=CIRCLE('',#36576,0.0377952755905498); #14014=CIRCLE('',#36579,0.0377952755905505); #14015=CIRCLE('',#36580,0.0377952755905505); #14016=CIRCLE('',#36583,0.0377952755905505); #14017=CIRCLE('',#36584,0.0377952755905505); #14018=CIRCLE('',#36587,0.0377952755905498); #14019=CIRCLE('',#36588,0.0377952755905498); #14020=CIRCLE('',#36591,0.0377952755905498); #14021=CIRCLE('',#36592,0.0377952755905498); #14022=CIRCLE('',#36595,0.0377952755905505); #14023=CIRCLE('',#36596,0.0377952755905505); #14024=CIRCLE('',#36599,0.0377952755905505); #14025=CIRCLE('',#36600,0.0377952755905505); #14026=CIRCLE('',#36605,0.499999999999999); #14027=CIRCLE('',#36606,0.499999999999999); #14028=CIRCLE('',#36609,0.499999999999999); #14029=CIRCLE('',#36610,0.499999999999999); #14030=CIRCLE('',#36616,0.499999999999999); #14031=CIRCLE('',#36617,0.499999999999999); #14032=CIRCLE('',#36624,0.5); #14033=CIRCLE('',#36625,0.5); #14034=CIRCLE('',#36631,0.0377952755905498); #14035=CIRCLE('',#36632,0.0377952755905498); #14036=CIRCLE('',#36635,0.0377952755905498); #14037=CIRCLE('',#36636,0.0377952755905498); #14038=CIRCLE('',#36639,0.0377952755905505); #14039=CIRCLE('',#36640,0.0377952755905505); #14040=CIRCLE('',#36643,0.0377952755905505); #14041=CIRCLE('',#36644,0.0377952755905505); #14042=CIRCLE('',#36647,0.0377952755905498); #14043=CIRCLE('',#36648,0.0377952755905498); #14044=CIRCLE('',#36651,0.0377952755905498); #14045=CIRCLE('',#36652,0.0377952755905498); #14046=CIRCLE('',#36655,0.0377952755905505); #14047=CIRCLE('',#36656,0.0377952755905505); #14048=CIRCLE('',#36659,0.0377952755905505); #14049=CIRCLE('',#36660,0.0377952755905505); #14050=CIRCLE('',#36663,0.0377952755905498); #14051=CIRCLE('',#36664,0.0377952755905498); #14052=CIRCLE('',#36667,0.0377952755905498); #14053=CIRCLE('',#36668,0.0377952755905498); #14054=CIRCLE('',#36671,0.0377952755905505); #14055=CIRCLE('',#36672,0.0377952755905505); #14056=CIRCLE('',#36675,0.0377952755905505); #14057=CIRCLE('',#36676,0.0377952755905505); #14058=CIRCLE('',#36679,0.0377952755905498); #14059=CIRCLE('',#36680,0.0377952755905498); #14060=CIRCLE('',#36683,0.0377952755905498); #14061=CIRCLE('',#36684,0.0377952755905498); #14062=CIRCLE('',#36687,0.0377952755905505); #14063=CIRCLE('',#36688,0.0377952755905505); #14064=CIRCLE('',#36691,0.0377952755905505); #14065=CIRCLE('',#36692,0.0377952755905505); #14066=CIRCLE('',#36695,0.0377952755905498); #14067=CIRCLE('',#36696,0.0377952755905498); #14068=CIRCLE('',#36699,0.0377952755905498); #14069=CIRCLE('',#36700,0.0377952755905498); #14070=CIRCLE('',#36703,0.0377952755905505); #14071=CIRCLE('',#36704,0.0377952755905505); #14072=CIRCLE('',#36707,0.0377952755905505); #14073=CIRCLE('',#36708,0.0377952755905505); #14074=CIRCLE('',#36711,0.0377952755905498); #14075=CIRCLE('',#36712,0.0377952755905498); #14076=CIRCLE('',#36715,0.0377952755905498); #14077=CIRCLE('',#36716,0.0377952755905498); #14078=CIRCLE('',#36719,0.0377952755905505); #14079=CIRCLE('',#36720,0.0377952755905505); #14080=CIRCLE('',#36723,0.0377952755905505); #14081=CIRCLE('',#36724,0.0377952755905505); #14082=CIRCLE('',#36727,0.0377952755905498); #14083=CIRCLE('',#36728,0.0377952755905498); #14084=CIRCLE('',#36731,0.0377952755905498); #14085=CIRCLE('',#36732,0.0377952755905498); #14086=CIRCLE('',#36735,0.0377952755905505); #14087=CIRCLE('',#36736,0.0377952755905505); #14088=CIRCLE('',#36739,0.0377952755905505); #14089=CIRCLE('',#36740,0.0377952755905505); #14090=CIRCLE('',#36743,0.0377952755905498); #14091=CIRCLE('',#36744,0.0377952755905498); #14092=CIRCLE('',#36747,0.0377952755905498); #14093=CIRCLE('',#36748,0.0377952755905498); #14094=CIRCLE('',#36751,0.0377952755905505); #14095=CIRCLE('',#36752,0.0377952755905505); #14096=CIRCLE('',#36755,0.0377952755905505); #14097=CIRCLE('',#36756,0.0377952755905505); #14098=CIRCLE('',#36759,0.0377952755905498); #14099=CIRCLE('',#36760,0.0377952755905498); #14100=CIRCLE('',#36763,0.0377952755905498); #14101=CIRCLE('',#36764,0.0377952755905498); #14102=CIRCLE('',#36767,0.0377952755905505); #14103=CIRCLE('',#36768,0.0377952755905505); #14104=CIRCLE('',#36771,0.0377952755905505); #14105=CIRCLE('',#36772,0.0377952755905505); #14106=CIRCLE('',#36775,0.0377952755905498); #14107=CIRCLE('',#36776,0.0377952755905498); #14108=CIRCLE('',#36779,0.0377952755905498); #14109=CIRCLE('',#36780,0.0377952755905498); #14110=CIRCLE('',#36783,0.0377952755905505); #14111=CIRCLE('',#36784,0.0377952755905505); #14112=CIRCLE('',#36787,0.0377952755905505); #14113=CIRCLE('',#36788,0.0377952755905505); #14114=CIRCLE('',#36791,0.0377952755905498); #14115=CIRCLE('',#36792,0.0377952755905498); #14116=CIRCLE('',#36795,0.0377952755905498); #14117=CIRCLE('',#36796,0.0377952755905498); #14118=CIRCLE('',#36799,0.0377952755905505); #14119=CIRCLE('',#36800,0.0377952755905505); #14120=CIRCLE('',#36803,0.0377952755905505); #14121=CIRCLE('',#36804,0.0377952755905505); #14122=CIRCLE('',#36807,0.0377952755905498); #14123=CIRCLE('',#36808,0.0377952755905498); #14124=CIRCLE('',#36811,0.0377952755905498); #14125=CIRCLE('',#36812,0.0377952755905498); #14126=CIRCLE('',#36815,0.0377952755905505); #14127=CIRCLE('',#36816,0.0377952755905505); #14128=CIRCLE('',#36819,0.0377952755905505); #14129=CIRCLE('',#36820,0.0377952755905505); #14130=CIRCLE('',#36823,0.0377952755905498); #14131=CIRCLE('',#36824,0.0377952755905498); #14132=CIRCLE('',#36827,0.0377952755905498); #14133=CIRCLE('',#36828,0.0377952755905498); #14134=CIRCLE('',#36831,0.0377952755905505); #14135=CIRCLE('',#36832,0.0377952755905505); #14136=CIRCLE('',#36835,0.0377952755905505); #14137=CIRCLE('',#36836,0.0377952755905505); #14138=CIRCLE('',#36839,0.0377952755905498); #14139=CIRCLE('',#36840,0.0377952755905498); #14140=CIRCLE('',#36843,0.0377952755905498); #14141=CIRCLE('',#36844,0.0377952755905498); #14142=CIRCLE('',#36847,0.0377952755905505); #14143=CIRCLE('',#36848,0.0377952755905505); #14144=CIRCLE('',#36851,0.0377952755905505); #14145=CIRCLE('',#36852,0.0377952755905505); #14146=CIRCLE('',#36855,0.0377952755905498); #14147=CIRCLE('',#36856,0.0377952755905498); #14148=CIRCLE('',#36859,0.0377952755905498); #14149=CIRCLE('',#36860,0.0377952755905498); #14150=CIRCLE('',#36863,0.0377952755905505); #14151=CIRCLE('',#36864,0.0377952755905505); #14152=CIRCLE('',#36867,0.0377952755905505); #14153=CIRCLE('',#36868,0.0377952755905505); #14154=CIRCLE('',#36871,0.0377952755905498); #14155=CIRCLE('',#36872,0.0377952755905498); #14156=CIRCLE('',#36875,0.0377952755905498); #14157=CIRCLE('',#36876,0.0377952755905498); #14158=CIRCLE('',#36879,0.0377952755905505); #14159=CIRCLE('',#36880,0.0377952755905505); #14160=CIRCLE('',#36883,0.0377952755905505); #14161=CIRCLE('',#36884,0.0377952755905505); #14162=CIRCLE('',#36887,0.0377952755905498); #14163=CIRCLE('',#36888,0.0377952755905498); #14164=CIRCLE('',#36891,0.0377952755905498); #14165=CIRCLE('',#36892,0.0377952755905498); #14166=CIRCLE('',#36895,0.0377952755905505); #14167=CIRCLE('',#36896,0.0377952755905505); #14168=CIRCLE('',#36899,0.0377952755905505); #14169=CIRCLE('',#36900,0.0377952755905505); #14170=CIRCLE('',#36903,0.0377952755905498); #14171=CIRCLE('',#36904,0.0377952755905498); #14172=CIRCLE('',#36907,0.0377952755905498); #14173=CIRCLE('',#36908,0.0377952755905498); #14174=CIRCLE('',#36911,0.0377952755905505); #14175=CIRCLE('',#36912,0.0377952755905505); #14176=CIRCLE('',#36915,0.0377952755905505); #14177=CIRCLE('',#36916,0.0377952755905505); #14178=CIRCLE('',#36921,0.499999999999999); #14179=CIRCLE('',#36922,0.499999999999999); #14180=CIRCLE('',#36925,0.499999999999999); #14181=CIRCLE('',#36926,0.499999999999999); #14182=CIRCLE('',#36932,0.499999999999999); #14183=CIRCLE('',#36933,0.499999999999999); #14184=CIRCLE('',#36940,0.5); #14185=CIRCLE('',#36941,0.5); #14186=CIRCLE('',#36953,0.0377952755905498); #14187=CIRCLE('',#36954,0.0377952755905498); #14188=CIRCLE('',#36957,0.0377952755905516); #14189=CIRCLE('',#36958,0.0377952755905516); #14190=CIRCLE('',#36961,0.0377952755905498); #14191=CIRCLE('',#36962,0.0377952755905498); #14192=CIRCLE('',#36965,0.0377952755905498); #14193=CIRCLE('',#36966,0.0377952755905498); #14194=CIRCLE('',#36974,0.0377952755905498); #14195=CIRCLE('',#36975,0.0377952755905498); #14196=CIRCLE('',#36978,0.0377952755905505); #14197=CIRCLE('',#36979,0.0377952755905505); #14198=CIRCLE('',#36982,0.0377952755905516); #14199=CIRCLE('',#36983,0.0377952755905516); #14200=CIRCLE('',#36986,0.0377952755905502); #14201=CIRCLE('',#36987,0.0377952755905502); #14202=CIRCLE('',#36993,0.12); #14203=CIRCLE('',#36994,0.12); #14204=CIRCLE('',#36996,0.12); #14205=CIRCLE('',#36997,0.12); #14206=CIRCLE('',#37007,0.12); #14207=CIRCLE('',#37008,0.12); #14208=CIRCLE('',#37010,0.0377952755905516); #14209=CIRCLE('',#37011,0.0377952755905516); #14210=CIRCLE('',#37014,0.0377952755905512); #14211=CIRCLE('',#37015,0.0377952755905512); #14212=CIRCLE('',#37018,0.0377952755905498); #14213=CIRCLE('',#37019,0.0377952755905498); #14214=CIRCLE('',#37022,0.0377952755905498); #14215=CIRCLE('',#37023,0.0377952755905498); #14216=CIRCLE('',#37026,0.12); #14217=CIRCLE('',#37027,0.12); #14218=CIRCLE('',#37029,0.12); #14219=CIRCLE('',#37030,0.12); #14220=CIRCLE('',#37032,0.12); #14221=CIRCLE('',#37033,0.12); #14222=CIRCLE('',#37035,0.12); #14223=CIRCLE('',#37036,0.12); #14224=CIRCLE('',#37038,0.12); #14225=CIRCLE('',#37039,0.12); #14226=CIRCLE('',#37041,0.12); #14227=CIRCLE('',#37042,0.12); #14228=CIRCLE('',#37048,0.12); #14229=CIRCLE('',#37049,0.12); #14230=CIRCLE('',#37051,0.12); #14231=CIRCLE('',#37052,0.12); #14232=CIRCLE('',#37063,0.0377952755905498); #14233=CIRCLE('',#37064,0.0377952755905498); #14234=CIRCLE('',#37067,0.0377952755905505); #14235=CIRCLE('',#37068,0.0377952755905505); #14236=CIRCLE('',#37071,0.0377952755905516); #14237=CIRCLE('',#37072,0.0377952755905516); #14238=CIRCLE('',#37075,0.0377952755905502); #14239=CIRCLE('',#37076,0.0377952755905502); #14240=CIRCLE('',#37079,0.0377952755905516); #14241=CIRCLE('',#37080,0.0377952755905516); #14242=CIRCLE('',#37083,0.0377952755905505); #14243=CIRCLE('',#37084,0.0377952755905505); #14244=CIRCLE('',#37087,0.0377952755905498); #14245=CIRCLE('',#37088,0.0377952755905498); #14246=CIRCLE('',#37091,0.0377952755905498); #14247=CIRCLE('',#37092,0.0377952755905498); #14248=CIRCLE('',#37095,0.0377952755905498); #14249=CIRCLE('',#37096,0.0377952755905498); #14250=CIRCLE('',#37099,0.0377952755905505); #14251=CIRCLE('',#37100,0.0377952755905505); #14252=CIRCLE('',#37103,0.0377952755905516); #14253=CIRCLE('',#37104,0.0377952755905516); #14254=CIRCLE('',#37107,0.0377952755905502); #14255=CIRCLE('',#37108,0.0377952755905502); #14256=CIRCLE('',#37111,0.0377952755905498); #14257=CIRCLE('',#37112,0.0377952755905498); #14258=CIRCLE('',#37115,0.0377952755905505); #14259=CIRCLE('',#37116,0.0377952755905505); #14260=CIRCLE('',#37119,0.0377952755905516); #14261=CIRCLE('',#37120,0.0377952755905516); #14262=CIRCLE('',#37123,0.0377952755905502); #14263=CIRCLE('',#37124,0.0377952755905502); #14264=CIRCLE('',#37127,0.0377952755905498); #14265=CIRCLE('',#37128,0.0377952755905498); #14266=CIRCLE('',#37131,0.0377952755905505); #14267=CIRCLE('',#37132,0.0377952755905505); #14268=CIRCLE('',#37135,0.0377952755905516); #14269=CIRCLE('',#37136,0.0377952755905516); #14270=CIRCLE('',#37139,0.0377952755905502); #14271=CIRCLE('',#37140,0.0377952755905502); #14272=CIRCLE('',#37142,0.0377952755905498); #14273=CIRCLE('',#37143,0.0377952755905498); #14274=CIRCLE('',#37146,0.0377952755905498); #14275=CIRCLE('',#37147,0.0377952755905498); #14276=CIRCLE('',#37150,0.0377952755905516); #14277=CIRCLE('',#37151,0.0377952755905516); #14278=CIRCLE('',#37154,0.0377952755905505); #14279=CIRCLE('',#37155,0.0377952755905505); #14280=CIRCLE('',#37158,0.0377952755905498); #14281=CIRCLE('',#37159,0.0377952755905498); #14282=CIRCLE('',#37162,0.0377952755905498); #14283=CIRCLE('',#37163,0.0377952755905498); #14284=CIRCLE('',#37166,0.0377952755905516); #14285=CIRCLE('',#37167,0.0377952755905516); #14286=CIRCLE('',#37170,0.0377952755905498); #14287=CIRCLE('',#37171,0.0377952755905498); #14288=CIRCLE('',#37174,0.0377952755905516); #14289=CIRCLE('',#37175,0.0377952755905516); #14290=CIRCLE('',#37178,0.0377952755905498); #14291=CIRCLE('',#37179,0.0377952755905498); #14292=CIRCLE('',#37182,0.0377952755905498); #14293=CIRCLE('',#37183,0.0377952755905498); #14294=CIRCLE('',#37186,0.0377952755905498); #14295=CIRCLE('',#37187,0.0377952755905498); #14296=CIRCLE('',#37190,0.0377952755905498); #14297=CIRCLE('',#37191,0.0377952755905498); #14298=CIRCLE('',#37194,0.0377952755905498); #14299=CIRCLE('',#37195,0.0377952755905498); #14300=CIRCLE('',#37198,0.0377952755905516); #14301=CIRCLE('',#37199,0.0377952755905516); #14302=CIRCLE('',#37202,0.0377952755905505); #14303=CIRCLE('',#37203,0.0377952755905505); #14304=CIRCLE('',#37207,0.0377952755905498); #14305=CIRCLE('',#37208,0.0377952755905498); #14306=CIRCLE('',#37211,0.0377952755905505); #14307=CIRCLE('',#37212,0.0377952755905505); #14308=CIRCLE('',#37215,0.0377952755905516); #14309=CIRCLE('',#37216,0.0377952755905516); #14310=CIRCLE('',#37219,0.0377952755905502); #14311=CIRCLE('',#37220,0.0377952755905502); #14312=CIRCLE('',#37223,0.0377952755905498); #14313=CIRCLE('',#37224,0.0377952755905498); #14314=CIRCLE('',#37227,0.0377952755905505); #14315=CIRCLE('',#37228,0.0377952755905505); #14316=CIRCLE('',#37231,0.0377952755905516); #14317=CIRCLE('',#37232,0.0377952755905516); #14318=CIRCLE('',#37235,0.0377952755905502); #14319=CIRCLE('',#37236,0.0377952755905502); #14320=CIRCLE('',#37239,0.0377952755905498); #14321=CIRCLE('',#37240,0.0377952755905498); #14322=CIRCLE('',#37243,0.0377952755905498); #14323=CIRCLE('',#37244,0.0377952755905498); #14324=CIRCLE('',#37247,0.0377952755905516); #14325=CIRCLE('',#37248,0.0377952755905516); #14326=CIRCLE('',#37251,0.0377952755905512); #14327=CIRCLE('',#37252,0.0377952755905512); #14328=CIRCLE('',#37259,0.0377952755905498); #14329=CIRCLE('',#37260,0.0377952755905498); #14330=CIRCLE('',#37263,0.0377952755905505); #14331=CIRCLE('',#37264,0.0377952755905505); #14332=CIRCLE('',#37267,0.0377952755905516); #14333=CIRCLE('',#37268,0.0377952755905516); #14334=CIRCLE('',#37271,0.0377952755905502); #14335=CIRCLE('',#37272,0.0377952755905502); #14336=CIRCLE('',#37275,0.0377952755905498); #14337=CIRCLE('',#37276,0.0377952755905498); #14338=CIRCLE('',#37279,0.0377952755905516); #14339=CIRCLE('',#37280,0.0377952755905516); #14340=CIRCLE('',#37283,0.0377952755905505); #14341=CIRCLE('',#37284,0.0377952755905505); #14342=CIRCLE('',#37287,0.0377952755905498); #14343=CIRCLE('',#37288,0.0377952755905498); #14344=CIRCLE('',#37291,0.0377952755905498); #14345=CIRCLE('',#37292,0.0377952755905498); #14346=CIRCLE('',#37295,0.0377952755905505); #14347=CIRCLE('',#37296,0.0377952755905505); #14348=CIRCLE('',#37299,0.0377952755905516); #14349=CIRCLE('',#37300,0.0377952755905516); #14350=CIRCLE('',#37303,0.0377952755905502); #14351=CIRCLE('',#37304,0.0377952755905502); #14352=CIRCLE('',#37322,0.0377952755905498); #14353=CIRCLE('',#37323,0.0377952755905498); #14354=CIRCLE('',#37326,0.0377952755905516); #14355=CIRCLE('',#37327,0.0377952755905516); #14356=CIRCLE('',#37330,0.0377952755905505); #14357=CIRCLE('',#37331,0.0377952755905505); #14358=CIRCLE('',#37334,0.0377952755905498); #14359=CIRCLE('',#37335,0.0377952755905498); #14360=CIRCLE('',#37338,0.12); #14361=CIRCLE('',#37339,0.12); #14362=CIRCLE('',#37342,0.0377952755905502); #14363=CIRCLE('',#37343,0.0377952755905502); #14364=CIRCLE('',#37346,0.0377952755905498); #14365=CIRCLE('',#37347,0.0377952755905498); #14366=CIRCLE('',#37350,0.0377952755905505); #14367=CIRCLE('',#37351,0.0377952755905505); #14368=CIRCLE('',#37354,0.0377952755905516); #14369=CIRCLE('',#37355,0.0377952755905516); #14370=CIRCLE('',#37365,0.12); #14371=CIRCLE('',#37366,0.12); #14372=CIRCLE('',#37372,0.12); #14373=CIRCLE('',#37373,0.12); #14374=CIRCLE('',#37380,1.); #14375=CIRCLE('',#37381,1.); #14376=CIRCLE('',#37384,1.); #14377=CIRCLE('',#37385,1.); #14378=CIRCLE('',#37388,1.); #14379=CIRCLE('',#37389,1.); #14380=CIRCLE('',#37392,1.); #14381=CIRCLE('',#37393,1.); #14382=CIRCLE('',#37399,0.85); #14383=CIRCLE('',#37400,0.75); #14384=CIRCLE('',#37402,0.75); #14385=CIRCLE('',#37403,0.75); #14386=CIRCLE('',#37404,0.75); #14387=CIRCLE('',#37406,0.1); #14388=CIRCLE('',#37407,0.1); #14389=CIRCLE('',#37408,0.1); #14390=CIRCLE('',#37410,0.1); #14391=CIRCLE('',#37411,0.862780296516902); #14392=CIRCLE('',#37412,0.776502269618714); #14393=CIRCLE('',#37414,0.1); #14394=CIRCLE('',#37415,0.894427223113058); #14395=CIRCLE('',#37416,0.9938080221571); #14396=CIRCLE('',#37418,0.0999999999999996); #14397=CIRCLE('',#37419,0.1); #14398=CIRCLE('',#37420,0.1); #14399=CIRCLE('',#37422,0.1); #14400=CIRCLE('',#37423,0.717952447782774); #14401=CIRCLE('',#37424,0.633487453925977); #14402=CIRCLE('',#37427,0.1); #14403=CIRCLE('',#37428,0.744791603652976); #14404=CIRCLE('',#37429,0.844097150806706); #14405=CIRCLE('',#37431,0.1); #14406=CIRCLE('',#37432,0.1); #14407=CIRCLE('',#37434,0.0999999999999999); #14408=CIRCLE('',#37436,0.1); #14409=CIRCLE('',#37438,0.744791603652977); #14410=CIRCLE('',#37440,0.844097150806706); #14411=CIRCLE('',#37441,0.1); #14412=CIRCLE('',#37443,0.894427223113059); #14413=CIRCLE('',#37447,1.0000000319143); #14414=CIRCLE('',#37448,0.9938080221571); #14415=CIRCLE('',#37450,0.1); #14416=CIRCLE('',#37452,0.1); #14417=CIRCLE('',#37453,0.1); #14418=CIRCLE('',#37454,1.0000000319143); #14419=CIRCLE('',#37455,1.0000000319143); #14420=CIRCLE('',#37457,0.1); #14421=CIRCLE('',#37458,0.1); #14422=CIRCLE('',#37459,0.1); #14423=CIRCLE('',#37461,0.776502269618714); #14424=CIRCLE('',#37462,0.862780296516902); #14425=CIRCLE('',#37464,0.1); #14426=CIRCLE('',#37465,0.0999999999999997); #14427=CIRCLE('',#37466,0.1); #14428=CIRCLE('',#37468,0.633487453925977); #14429=CIRCLE('',#37469,0.717952447782774); #14430=CIRCLE('',#37471,0.0999999999999995); #14431=CIRCLE('',#37472,0.844097150806706); #14432=CIRCLE('',#37473,0.744791603652976); #14433=CIRCLE('',#37476,0.0999999999999999); #14434=CIRCLE('',#37477,0.9938080221571); #14435=CIRCLE('',#37478,0.894427223113058); #14436=CIRCLE('',#37480,0.1); #14437=CIRCLE('',#37481,0.1); #14438=CIRCLE('',#37483,0.0999999999999999); #14439=CIRCLE('',#37485,0.1); #14440=CIRCLE('',#37487,0.9938080221571); #14441=CIRCLE('',#37488,1.0000000319143); #14442=CIRCLE('',#37490,0.894427223113059); #14443=CIRCLE('',#37491,0.1); #14444=CIRCLE('',#37493,0.844097150806706); #14445=CIRCLE('',#37496,0.744791603652977); #14446=CIRCLE('',#37499,0.1); #14447=CIRCLE('',#37501,0.1); #14448=CIRCLE('',#37503,1.0000000319143); #14449=CIRCLE('',#37505,0.1); #14450=CIRCLE('',#37507,0.1); #14451=CIRCLE('',#37509,0.1); #14452=CIRCLE('',#37511,1.0000000319143); #14453=CIRCLE('',#37514,0.075); #14454=CIRCLE('',#37515,0.075); #14455=CIRCLE('',#37517,0.075); #14456=CIRCLE('',#37518,0.075); #14457=CIRCLE('',#37520,0.075); #14458=CIRCLE('',#37521,0.075); #14459=CIRCLE('',#37523,0.075); #14460=CIRCLE('',#37524,0.075); #14461=CIRCLE('',#37526,0.075); #14462=CIRCLE('',#37527,0.075); #14463=CIRCLE('',#37529,0.075); #14464=CIRCLE('',#37530,0.075); #14465=CIRCLE('',#37533,0.0999999999999986); #14466=CIRCLE('',#37534,0.0999999999999986); #14467=CIRCLE('',#37537,0.0999999999999991); #14468=CIRCLE('',#37538,0.0999999999999991); #14469=CIRCLE('',#37541,0.199999999999999); #14470=CIRCLE('',#37542,0.199999999999999); #14471=CIRCLE('',#37545,0.4); #14472=CIRCLE('',#37546,0.4); #14473=CIRCLE('',#37549,0.4); #14474=CIRCLE('',#37550,0.4); #14475=CIRCLE('',#37553,0.200000000000001); #14476=CIRCLE('',#37554,0.200000000000001); #14477=CIRCLE('',#37559,0.0251968503937617); #14478=CIRCLE('',#37560,0.0251968503936992); #14479=CIRCLE('',#37561,0.0251968503936992); #14480=CIRCLE('',#37562,0.0251968503936985); #14481=CIRCLE('',#37563,0.0251968503936994); #14482=CIRCLE('',#37564,0.0251968503936992); #14483=CIRCLE('',#37565,0.0251968503936985); #14484=CIRCLE('',#37566,0.0251968503936985); #14485=CIRCLE('',#37567,0.0251968503936994); #14486=CIRCLE('',#37568,0.0251968503936992); #14487=CIRCLE('',#37569,0.0251968503936985); #14488=CIRCLE('',#37570,0.0251968503936985); #14489=CIRCLE('',#37571,0.0251968503936994); #14490=CIRCLE('',#37572,0.0251968503936992); #14491=CIRCLE('',#37573,0.0251968503936985); #14492=CIRCLE('',#37574,0.0251968503936985); #14493=CIRCLE('',#37575,0.0251968503936994); #14494=CIRCLE('',#37576,0.0251968503936992); #14495=CIRCLE('',#37577,0.0251968503936985); #14496=CIRCLE('',#37578,0.0251968503936985); #14497=CIRCLE('',#37579,0.0251968503936994); #14498=CIRCLE('',#37580,0.0251968503936992); #14499=CIRCLE('',#37581,0.0251968503936985); #14500=CIRCLE('',#37582,0.0251968503936985); #14501=CIRCLE('',#37583,0.0251968503936994); #14502=CIRCLE('',#37584,0.0251968503936992); #14503=CIRCLE('',#37585,0.0251968503936985); #14504=CIRCLE('',#37586,0.0251968503936985); #14505=CIRCLE('',#37587,0.0251968503936994); #14506=CIRCLE('',#37588,0.0251968503936992); #14507=CIRCLE('',#37589,0.0251968503936985); #14508=CIRCLE('',#37590,0.0251968503936985); #14509=CIRCLE('',#37591,0.0251968503936994); #14510=CIRCLE('',#37592,0.0251968503936992); #14511=CIRCLE('',#37593,0.0251968503937011); #14512=CIRCLE('',#37594,0.0251968503937011); #14513=CIRCLE('',#37595,0.0251968503937013); #14514=CIRCLE('',#37596,0.0251968503937013); #14515=CIRCLE('',#37597,0.0251968503937011); #14516=CIRCLE('',#37598,0.0251968503937011); #14517=CIRCLE('',#37599,0.0251968503937013); #14518=CIRCLE('',#37600,0.0251968503937013); #14519=CIRCLE('',#37601,0.0251968503937011); #14520=CIRCLE('',#37602,0.0251968503937011); #14521=CIRCLE('',#37603,0.0251968503937013); #14522=CIRCLE('',#37604,0.0251968503937013); #14523=CIRCLE('',#37605,0.0251968503937011); #14524=CIRCLE('',#37606,0.0251968503937011); #14525=CIRCLE('',#37607,0.0251968503937013); #14526=CIRCLE('',#37608,0.0251968503937013); #14527=CIRCLE('',#37609,0.0251968503937011); #14528=CIRCLE('',#37610,0.0251968503937011); #14529=CIRCLE('',#37611,0.0251968503937013); #14530=CIRCLE('',#37612,0.025196850393701); #14531=CIRCLE('',#37613,0.025196850393701); #14532=CIRCLE('',#37614,0.0251968503937004); #14533=CIRCLE('',#37615,0.025196850393701); #14534=CIRCLE('',#37616,0.025196850393701); #14535=CIRCLE('',#37617,0.025196850393701); #14536=CIRCLE('',#37618,0.0251968503937004); #14537=CIRCLE('',#37619,0.25); #14538=CIRCLE('',#37620,0.1); #14539=CIRCLE('',#37623,0.0251968503936992); #14540=CIRCLE('',#37626,0.0251968503936985); #14541=CIRCLE('',#37629,0.0251968503936994); #14542=CIRCLE('',#37632,0.0251968503936992); #14543=CIRCLE('',#37635,0.0251968503936985); #14544=CIRCLE('',#37638,0.0251968503936985); #14545=CIRCLE('',#37641,0.0251968503936994); #14546=CIRCLE('',#37644,0.0251968503936992); #14547=CIRCLE('',#37647,0.0251968503936985); #14548=CIRCLE('',#37650,0.0251968503936985); #14549=CIRCLE('',#37653,0.0251968503936994); #14550=CIRCLE('',#37656,0.0251968503936992); #14551=CIRCLE('',#37659,0.0251968503936985); #14552=CIRCLE('',#37662,0.0251968503936985); #14553=CIRCLE('',#37665,0.0251968503936994); #14554=CIRCLE('',#37668,0.0251968503936992); #14555=CIRCLE('',#37671,0.0251968503936985); #14556=CIRCLE('',#37674,0.0251968503936985); #14557=CIRCLE('',#37677,0.0251968503936994); #14558=CIRCLE('',#37680,0.0251968503936992); #14559=CIRCLE('',#37683,0.0251968503936985); #14560=CIRCLE('',#37686,0.0251968503936985); #14561=CIRCLE('',#37689,0.0251968503936994); #14562=CIRCLE('',#37692,0.0251968503936992); #14563=CIRCLE('',#37695,0.0251968503936985); #14564=CIRCLE('',#37698,0.0251968503936985); #14565=CIRCLE('',#37701,0.0251968503936994); #14566=CIRCLE('',#37704,0.0251968503936992); #14567=CIRCLE('',#37707,0.0251968503936985); #14568=CIRCLE('',#37710,0.0251968503936985); #14569=CIRCLE('',#37713,0.0251968503936994); #14570=CIRCLE('',#37716,0.0251968503936992); #14571=CIRCLE('',#37719,0.0251968503937011); #14572=CIRCLE('',#37722,0.0251968503937011); #14573=CIRCLE('',#37725,0.0251968503937013); #14574=CIRCLE('',#37728,0.0251968503937013); #14575=CIRCLE('',#37731,0.0251968503937011); #14576=CIRCLE('',#37734,0.0251968503937011); #14577=CIRCLE('',#37737,0.0251968503937013); #14578=CIRCLE('',#37740,0.0251968503937013); #14579=CIRCLE('',#37743,0.0251968503937011); #14580=CIRCLE('',#37746,0.0251968503937011); #14581=CIRCLE('',#37749,0.0251968503937013); #14582=CIRCLE('',#37752,0.0251968503937013); #14583=CIRCLE('',#37755,0.0251968503937011); #14584=CIRCLE('',#37758,0.0251968503937011); #14585=CIRCLE('',#37761,0.0251968503937013); #14586=CIRCLE('',#37764,0.0251968503937013); #14587=CIRCLE('',#37767,0.0251968503937011); #14588=CIRCLE('',#37770,0.0251968503937011); #14589=CIRCLE('',#37773,0.0251968503937013); #14590=CIRCLE('',#37776,0.025196850393701); #14591=CIRCLE('',#37779,0.025196850393701); #14592=CIRCLE('',#37782,0.0251968503937004); #14593=CIRCLE('',#37785,0.025196850393701); #14594=CIRCLE('',#37788,0.025196850393701); #14595=CIRCLE('',#37791,0.025196850393701); #14596=CIRCLE('',#37794,0.0251968503937004); #14597=CIRCLE('',#37797,0.25); #14598=CIRCLE('',#37800,0.1); #14599=CIRCLE('',#37803,0.0251968503937617); #14600=CIRCLE('',#37806,0.0251968503936992); #14601=CIRCLE('',#37809,0.4); #14602=CIRCLE('',#37810,0.5); #14603=CIRCLE('',#37811,0.500000000000002); #14604=CIRCLE('',#37812,0.075); #14605=CIRCLE('',#37813,0.075); #14606=CIRCLE('',#37814,0.075); #14607=CIRCLE('',#37815,0.075); #14608=CIRCLE('',#37819,0.075); #14609=CIRCLE('',#37820,0.075); #14610=CIRCLE('',#37822,0.075); #14611=CIRCLE('',#37823,0.075); #14612=CIRCLE('',#37825,0.075); #14613=CIRCLE('',#37827,0.075); #14614=CIRCLE('',#37828,0.075); #14615=CIRCLE('',#37830,0.075); #14616=CIRCLE('',#37832,0.075); #14617=CIRCLE('',#37833,0.075); #14618=CIRCLE('',#37835,0.075); #14619=CIRCLE('',#37837,0.075); #14620=CIRCLE('',#37840,0.4); #14621=CIRCLE('',#37843,0.4); #14622=CIRCLE('',#37844,0.4); #14623=CIRCLE('',#37847,0.5); #14624=CIRCLE('',#37848,0.5); #14625=CIRCLE('',#37851,0.500000000000001); #14626=CIRCLE('',#37852,0.500000000000001); #14627=CIRCLE('',#37854,0.500000000000002); #14628=CIRCLE('',#37857,0.5); #14629=CIRCLE('',#37863,0.120000000000001); #14630=CIRCLE('',#37864,0.120000000000001); #14631=CIRCLE('',#37884,0.12); #14632=CIRCLE('',#37885,0.12); #14633=CIRCLE('',#37887,0.120000000000001); #14634=CIRCLE('',#37888,0.120000000000001); #14635=CIRCLE('',#37904,0.120000000000001); #14636=CIRCLE('',#37905,0.120000000000001); #14637=CIRCLE('',#37925,0.12); #14638=CIRCLE('',#37926,0.12); #14639=CIRCLE('',#37928,0.120000000000001); #14640=CIRCLE('',#37929,0.120000000000001); #14641=CIRCLE('',#37945,0.5425); #14642=CIRCLE('',#37946,0.5425); #14643=CIRCLE('',#37952,0.5425); #14644=CIRCLE('',#37953,0.5425); #14645=CIRCLE('',#37969,0.12); #14646=CIRCLE('',#37970,0.12); #14647=CIRCLE('',#37972,0.075); #14648=CIRCLE('',#37973,0.075); #14649=CIRCLE('',#37975,0.075); #14650=CIRCLE('',#37976,0.075); #14651=CIRCLE('',#37978,0.075); #14652=CIRCLE('',#37979,0.075); #14653=CIRCLE('',#37981,0.12); #14654=CIRCLE('',#37982,0.12); #14655=CIRCLE('',#37984,0.12); #14656=CIRCLE('',#37985,0.12); #14657=CIRCLE('',#37987,0.075); #14658=CIRCLE('',#37988,0.075); #14659=CIRCLE('',#37990,0.075); #14660=CIRCLE('',#37991,0.075); #14661=CIRCLE('',#37993,0.075); #14662=CIRCLE('',#37994,0.075); #14663=CIRCLE('',#37996,0.075); #14664=CIRCLE('',#37997,0.075); #14665=CIRCLE('',#37999,0.075); #14666=CIRCLE('',#38000,0.075); #14667=CIRCLE('',#38002,0.075); #14668=CIRCLE('',#38003,0.075); #14669=CIRCLE('',#38005,0.075); #14670=CIRCLE('',#38006,0.075); #14671=CIRCLE('',#38026,0.12); #14672=CIRCLE('',#38027,0.12); #14673=CIRCLE('',#38029,0.075); #14674=CIRCLE('',#38030,0.075); #14675=CIRCLE('',#38032,0.075); #14676=CIRCLE('',#38033,0.075); #14677=CIRCLE('',#38035,0.075); #14678=CIRCLE('',#38036,0.075); #14679=CIRCLE('',#38038,0.12); #14680=CIRCLE('',#38039,0.12); #14681=CIRCLE('',#38041,0.12); #14682=CIRCLE('',#38042,0.12); #14683=CIRCLE('',#38044,0.075); #14684=CIRCLE('',#38045,0.075); #14685=CIRCLE('',#38047,0.075); #14686=CIRCLE('',#38048,0.075); #14687=CIRCLE('',#38050,0.075); #14688=CIRCLE('',#38051,0.075); #14689=CIRCLE('',#38053,0.075); #14690=CIRCLE('',#38054,0.075); #14691=CIRCLE('',#38056,0.075); #14692=CIRCLE('',#38057,0.075); #14693=CIRCLE('',#38059,0.075); #14694=CIRCLE('',#38060,0.075); #14695=CIRCLE('',#38062,0.075); #14696=CIRCLE('',#38063,0.075); #14697=CIRCLE('',#38083,0.0925); #14698=CIRCLE('',#38084,0.0925); #14699=CIRCLE('',#38086,0.075); #14700=CIRCLE('',#38087,0.075); #14701=CIRCLE('',#38089,0.0925); #14702=CIRCLE('',#38090,0.0925); #14703=CIRCLE('',#38092,0.075); #14704=CIRCLE('',#38093,0.075); #14705=CIRCLE('',#38095,0.075); #14706=CIRCLE('',#38096,0.075); #14707=CIRCLE('',#38098,0.075); #14708=CIRCLE('',#38099,0.075); #14709=CIRCLE('',#38101,0.075); #14710=CIRCLE('',#38102,0.075); #14711=CIRCLE('',#38104,0.075); #14712=CIRCLE('',#38105,0.075); #14713=CIRCLE('',#38107,0.075); #14714=CIRCLE('',#38108,0.075); #14715=CIRCLE('',#38110,0.075); #14716=CIRCLE('',#38111,0.075); #14717=CIRCLE('',#38113,0.075); #14718=CIRCLE('',#38114,0.075); #14719=CIRCLE('',#38118,1.); #14720=CIRCLE('',#38119,1.); #14721=CIRCLE('',#38122,1.); #14722=CIRCLE('',#38123,1.); #14723=CIRCLE('',#38138,0.12); #14724=CIRCLE('',#38139,0.12); #14725=CIRCLE('',#38196,0.100000000000002); #14726=CIRCLE('',#38197,0.100000000000002); #14727=CIRCLE('',#38200,0.100000000000003); #14728=CIRCLE('',#38201,0.100000000000003); #14729=CIRCLE('',#38204,0.399999999999999); #14730=CIRCLE('',#38205,0.399999999999999); #14731=CIRCLE('',#38211,0.400000000000001); #14732=CIRCLE('',#38212,0.400000000000001); #14733=CIRCLE('',#38215,0.399999999999997); #14734=CIRCLE('',#38216,0.399999999999997); #14735=CIRCLE('',#38222,0.399999999999999); #14736=CIRCLE('',#38223,0.399999999999999); #14737=CIRCLE('',#38227,0.7275); #14738=CIRCLE('',#38228,0.7275); #14739=CIRCLE('',#38253,0.0999999999999999); #14740=CIRCLE('',#38254,0.0999999999999999); #14741=CIRCLE('',#38257,0.0999999999999991); #14742=CIRCLE('',#38258,0.0999999999999991); #14743=CIRCLE('',#38261,0.400000000000001); #14744=CIRCLE('',#38262,0.400000000000001); #14745=CIRCLE('',#38268,0.400000000000001); #14746=CIRCLE('',#38269,0.400000000000001); #14747=CIRCLE('',#38272,0.399999999999999); #14748=CIRCLE('',#38273,0.399999999999999); #14749=CIRCLE('',#38279,0.399999999999999); #14750=CIRCLE('',#38280,0.399999999999999); #14751=CIRCLE('',#38284,0.645); #14752=CIRCLE('',#38285,0.645); #14753=VERTEX_POINT('',#47974); #14754=VERTEX_POINT('',#47976); #14755=VERTEX_POINT('',#47980); #14756=VERTEX_POINT('',#47981); #14757=VERTEX_POINT('',#47983); #14758=VERTEX_POINT('',#47985); #14759=VERTEX_POINT('',#47989); #14760=VERTEX_POINT('',#47991); #14761=VERTEX_POINT('',#47995); #14762=VERTEX_POINT('',#47997); #14763=VERTEX_POINT('',#48001); #14764=VERTEX_POINT('',#48003); #14765=VERTEX_POINT('',#48007); #14766=VERTEX_POINT('',#48009); #14767=VERTEX_POINT('',#48013); #14768=VERTEX_POINT('',#48015); #14769=VERTEX_POINT('',#48019); #14770=VERTEX_POINT('',#48021); #14771=VERTEX_POINT('',#48030); #14772=VERTEX_POINT('',#48032); #14773=VERTEX_POINT('',#48036); #14774=VERTEX_POINT('',#48037); #14775=VERTEX_POINT('',#48039); #14776=VERTEX_POINT('',#48041); #14777=VERTEX_POINT('',#48045); #14778=VERTEX_POINT('',#48047); #14779=VERTEX_POINT('',#48051); #14780=VERTEX_POINT('',#48053); #14781=VERTEX_POINT('',#48057); #14782=VERTEX_POINT('',#48059); #14783=VERTEX_POINT('',#48063); #14784=VERTEX_POINT('',#48065); #14785=VERTEX_POINT('',#48069); #14786=VERTEX_POINT('',#48071); #14787=VERTEX_POINT('',#48075); #14788=VERTEX_POINT('',#48077); #14789=VERTEX_POINT('',#48086); #14790=VERTEX_POINT('',#48088); #14791=VERTEX_POINT('',#48091); #14792=VERTEX_POINT('',#48095); #14793=VERTEX_POINT('',#48100); #14794=VERTEX_POINT('',#48101); #14795=VERTEX_POINT('',#48103); #14796=VERTEX_POINT('',#48105); #14797=VERTEX_POINT('',#48109); #14798=VERTEX_POINT('',#48111); #14799=VERTEX_POINT('',#48115); #14800=VERTEX_POINT('',#48116); #14801=VERTEX_POINT('',#48118); #14802=VERTEX_POINT('',#48120); #14803=VERTEX_POINT('',#48124); #14804=VERTEX_POINT('',#48126); #14805=VERTEX_POINT('',#48130); #14806=VERTEX_POINT('',#48132); #14807=VERTEX_POINT('',#48136); #14808=VERTEX_POINT('',#48138); #14809=VERTEX_POINT('',#48142); #14810=VERTEX_POINT('',#48144); #14811=VERTEX_POINT('',#48148); #14812=VERTEX_POINT('',#48150); #14813=VERTEX_POINT('',#48154); #14814=VERTEX_POINT('',#48156); #14815=VERTEX_POINT('',#48160); #14816=VERTEX_POINT('',#48162); #14817=VERTEX_POINT('',#48166); #14818=VERTEX_POINT('',#48168); #14819=VERTEX_POINT('',#48172); #14820=VERTEX_POINT('',#48174); #14821=VERTEX_POINT('',#48178); #14822=VERTEX_POINT('',#48180); #14823=VERTEX_POINT('',#48184); #14824=VERTEX_POINT('',#48186); #14825=VERTEX_POINT('',#48190); #14826=VERTEX_POINT('',#48192); #14827=VERTEX_POINT('',#48196); #14828=VERTEX_POINT('',#48198); #14829=VERTEX_POINT('',#48202); #14830=VERTEX_POINT('',#48204); #14831=VERTEX_POINT('',#48211); #14832=VERTEX_POINT('',#48213); #14833=VERTEX_POINT('',#48217); #14834=VERTEX_POINT('',#48219); #14835=VERTEX_POINT('',#48223); #14836=VERTEX_POINT('',#48225); #14837=VERTEX_POINT('',#48229); #14838=VERTEX_POINT('',#48231); #14839=VERTEX_POINT('',#48235); #14840=VERTEX_POINT('',#48237); #14841=VERTEX_POINT('',#48241); #14842=VERTEX_POINT('',#48243); #14843=VERTEX_POINT('',#48247); #14844=VERTEX_POINT('',#48249); #14845=VERTEX_POINT('',#48253); #14846=VERTEX_POINT('',#48255); #14847=VERTEX_POINT('',#48259); #14848=VERTEX_POINT('',#48261); #14849=VERTEX_POINT('',#48265); #14850=VERTEX_POINT('',#48267); #14851=VERTEX_POINT('',#48271); #14852=VERTEX_POINT('',#48273); #14853=VERTEX_POINT('',#48277); #14854=VERTEX_POINT('',#48279); #14855=VERTEX_POINT('',#48283); #14856=VERTEX_POINT('',#48285); #14857=VERTEX_POINT('',#48289); #14858=VERTEX_POINT('',#48291); #14859=VERTEX_POINT('',#48295); #14860=VERTEX_POINT('',#48297); #14861=VERTEX_POINT('',#48301); #14862=VERTEX_POINT('',#48303); #14863=VERTEX_POINT('',#48312); #14864=VERTEX_POINT('',#48313); #14865=VERTEX_POINT('',#48315); #14866=VERTEX_POINT('',#48317); #14867=VERTEX_POINT('',#48321); #14868=VERTEX_POINT('',#48323); #14869=VERTEX_POINT('',#48327); #14870=VERTEX_POINT('',#48329); #14871=VERTEX_POINT('',#48333); #14872=VERTEX_POINT('',#48335); #14873=VERTEX_POINT('',#48339); #14874=VERTEX_POINT('',#48341); #14875=VERTEX_POINT('',#48345); #14876=VERTEX_POINT('',#48347); #14877=VERTEX_POINT('',#48351); #14878=VERTEX_POINT('',#48353); #14879=VERTEX_POINT('',#48357); #14880=VERTEX_POINT('',#48359); #14881=VERTEX_POINT('',#48363); #14882=VERTEX_POINT('',#48365); #14883=VERTEX_POINT('',#48369); #14884=VERTEX_POINT('',#48371); #14885=VERTEX_POINT('',#48375); #14886=VERTEX_POINT('',#48377); #14887=VERTEX_POINT('',#48381); #14888=VERTEX_POINT('',#48383); #14889=VERTEX_POINT('',#48387); #14890=VERTEX_POINT('',#48389); #14891=VERTEX_POINT('',#48393); #14892=VERTEX_POINT('',#48395); #14893=VERTEX_POINT('',#48399); #14894=VERTEX_POINT('',#48401); #14895=VERTEX_POINT('',#48405); #14896=VERTEX_POINT('',#48407); #14897=VERTEX_POINT('',#48411); #14898=VERTEX_POINT('',#48413); #14899=VERTEX_POINT('',#48422); #14900=VERTEX_POINT('',#48423); #14901=VERTEX_POINT('',#48425); #14902=VERTEX_POINT('',#48427); #14903=VERTEX_POINT('',#48431); #14904=VERTEX_POINT('',#48433); #14905=VERTEX_POINT('',#48437); #14906=VERTEX_POINT('',#48439); #14907=VERTEX_POINT('',#48443); #14908=VERTEX_POINT('',#48445); #14909=VERTEX_POINT('',#48449); #14910=VERTEX_POINT('',#48451); #14911=VERTEX_POINT('',#48455); #14912=VERTEX_POINT('',#48457); #14913=VERTEX_POINT('',#48461); #14914=VERTEX_POINT('',#48463); #14915=VERTEX_POINT('',#48467); #14916=VERTEX_POINT('',#48469); #14917=VERTEX_POINT('',#48473); #14918=VERTEX_POINT('',#48475); #14919=VERTEX_POINT('',#48479); #14920=VERTEX_POINT('',#48481); #14921=VERTEX_POINT('',#48485); #14922=VERTEX_POINT('',#48487); #14923=VERTEX_POINT('',#48491); #14924=VERTEX_POINT('',#48493); #14925=VERTEX_POINT('',#48497); #14926=VERTEX_POINT('',#48499); #14927=VERTEX_POINT('',#48503); #14928=VERTEX_POINT('',#48505); #14929=VERTEX_POINT('',#48509); #14930=VERTEX_POINT('',#48511); #14931=VERTEX_POINT('',#48515); #14932=VERTEX_POINT('',#48517); #14933=VERTEX_POINT('',#48521); #14934=VERTEX_POINT('',#48523); #14935=VERTEX_POINT('',#48527); #14936=VERTEX_POINT('',#48529); #14937=VERTEX_POINT('',#48533); #14938=VERTEX_POINT('',#48535); #14939=VERTEX_POINT('',#48539); #14940=VERTEX_POINT('',#48541); #14941=VERTEX_POINT('',#48545); #14942=VERTEX_POINT('',#48547); #14943=VERTEX_POINT('',#48551); #14944=VERTEX_POINT('',#48553); #14945=VERTEX_POINT('',#48557); #14946=VERTEX_POINT('',#48559); #14947=VERTEX_POINT('',#48563); #14948=VERTEX_POINT('',#48565); #14949=VERTEX_POINT('',#48569); #14950=VERTEX_POINT('',#48571); #14951=VERTEX_POINT('',#48575); #14952=VERTEX_POINT('',#48577); #14953=VERTEX_POINT('',#48581); #14954=VERTEX_POINT('',#48583); #14955=VERTEX_POINT('',#48587); #14956=VERTEX_POINT('',#48589); #14957=VERTEX_POINT('',#48593); #14958=VERTEX_POINT('',#48595); #14959=VERTEX_POINT('',#48599); #14960=VERTEX_POINT('',#48601); #14961=VERTEX_POINT('',#48605); #14962=VERTEX_POINT('',#48607); #14963=VERTEX_POINT('',#48611); #14964=VERTEX_POINT('',#48613); #14965=VERTEX_POINT('',#48617); #14966=VERTEX_POINT('',#48619); #14967=VERTEX_POINT('',#48623); #14968=VERTEX_POINT('',#48625); #14969=VERTEX_POINT('',#48629); #14970=VERTEX_POINT('',#48631); #14971=VERTEX_POINT('',#48641); #14972=VERTEX_POINT('',#48642); #14973=VERTEX_POINT('',#48644); #14974=VERTEX_POINT('',#48646); #14975=VERTEX_POINT('',#48650); #14976=VERTEX_POINT('',#48652); #14977=VERTEX_POINT('',#48656); #14978=VERTEX_POINT('',#48658); #14979=VERTEX_POINT('',#48662); #14980=VERTEX_POINT('',#48664); #14981=VERTEX_POINT('',#48668); #14982=VERTEX_POINT('',#48670); #14983=VERTEX_POINT('',#48674); #14984=VERTEX_POINT('',#48676); #14985=VERTEX_POINT('',#48680); #14986=VERTEX_POINT('',#48682); #14987=VERTEX_POINT('',#48686); #14988=VERTEX_POINT('',#48688); #14989=VERTEX_POINT('',#48692); #14990=VERTEX_POINT('',#48694); #14991=VERTEX_POINT('',#48698); #14992=VERTEX_POINT('',#48700); #14993=VERTEX_POINT('',#48704); #14994=VERTEX_POINT('',#48706); #14995=VERTEX_POINT('',#48710); #14996=VERTEX_POINT('',#48712); #14997=VERTEX_POINT('',#48716); #14998=VERTEX_POINT('',#48718); #14999=VERTEX_POINT('',#48722); #15000=VERTEX_POINT('',#48724); #15001=VERTEX_POINT('',#48728); #15002=VERTEX_POINT('',#48730); #15003=VERTEX_POINT('',#48734); #15004=VERTEX_POINT('',#48736); #15005=VERTEX_POINT('',#48740); #15006=VERTEX_POINT('',#48742); #15007=VERTEX_POINT('',#48746); #15008=VERTEX_POINT('',#48748); #15009=VERTEX_POINT('',#48752); #15010=VERTEX_POINT('',#48754); #15011=VERTEX_POINT('',#48758); #15012=VERTEX_POINT('',#48760); #15013=VERTEX_POINT('',#48764); #15014=VERTEX_POINT('',#48766); #15015=VERTEX_POINT('',#48770); #15016=VERTEX_POINT('',#48772); #15017=VERTEX_POINT('',#48776); #15018=VERTEX_POINT('',#48778); #15019=VERTEX_POINT('',#48782); #15020=VERTEX_POINT('',#48784); #15021=VERTEX_POINT('',#48788); #15022=VERTEX_POINT('',#48790); #15023=VERTEX_POINT('',#48794); #15024=VERTEX_POINT('',#48796); #15025=VERTEX_POINT('',#48800); #15026=VERTEX_POINT('',#48802); #15027=VERTEX_POINT('',#48806); #15028=VERTEX_POINT('',#48808); #15029=VERTEX_POINT('',#48812); #15030=VERTEX_POINT('',#48814); #15031=VERTEX_POINT('',#48818); #15032=VERTEX_POINT('',#48820); #15033=VERTEX_POINT('',#48824); #15034=VERTEX_POINT('',#48826); #15035=VERTEX_POINT('',#48830); #15036=VERTEX_POINT('',#48832); #15037=VERTEX_POINT('',#48836); #15038=VERTEX_POINT('',#48838); #15039=VERTEX_POINT('',#48848); #15040=VERTEX_POINT('',#48850); #15041=VERTEX_POINT('',#48854); #15042=VERTEX_POINT('',#48855); #15043=VERTEX_POINT('',#48857); #15044=VERTEX_POINT('',#48859); #15045=VERTEX_POINT('',#48863); #15046=VERTEX_POINT('',#48865); #15047=VERTEX_POINT('',#48869); #15048=VERTEX_POINT('',#48871); #15049=VERTEX_POINT('',#48875); #15050=VERTEX_POINT('',#48877); #15051=VERTEX_POINT('',#48881); #15052=VERTEX_POINT('',#48883); #15053=VERTEX_POINT('',#48887); #15054=VERTEX_POINT('',#48889); #15055=VERTEX_POINT('',#48893); #15056=VERTEX_POINT('',#48895); #15057=VERTEX_POINT('',#48904); #15058=VERTEX_POINT('',#48906); #15059=VERTEX_POINT('',#48910); #15060=VERTEX_POINT('',#48911); #15061=VERTEX_POINT('',#48913); #15062=VERTEX_POINT('',#48915); #15063=VERTEX_POINT('',#48919); #15064=VERTEX_POINT('',#48921); #15065=VERTEX_POINT('',#48925); #15066=VERTEX_POINT('',#48927); #15067=VERTEX_POINT('',#48931); #15068=VERTEX_POINT('',#48933); #15069=VERTEX_POINT('',#48937); #15070=VERTEX_POINT('',#48939); #15071=VERTEX_POINT('',#48943); #15072=VERTEX_POINT('',#48945); #15073=VERTEX_POINT('',#48949); #15074=VERTEX_POINT('',#48951); #15075=VERTEX_POINT('',#48960); #15076=VERTEX_POINT('',#48962); #15077=VERTEX_POINT('',#48965); #15078=VERTEX_POINT('',#48969); #15079=VERTEX_POINT('',#48974); #15080=VERTEX_POINT('',#48975); #15081=VERTEX_POINT('',#48977); #15082=VERTEX_POINT('',#48979); #15083=VERTEX_POINT('',#48983); #15084=VERTEX_POINT('',#48985); #15085=VERTEX_POINT('',#48989); #15086=VERTEX_POINT('',#48990); #15087=VERTEX_POINT('',#48992); #15088=VERTEX_POINT('',#48994); #15089=VERTEX_POINT('',#48998); #15090=VERTEX_POINT('',#49000); #15091=VERTEX_POINT('',#49004); #15092=VERTEX_POINT('',#49006); #15093=VERTEX_POINT('',#49010); #15094=VERTEX_POINT('',#49012); #15095=VERTEX_POINT('',#49016); #15096=VERTEX_POINT('',#49018); #15097=VERTEX_POINT('',#49022); #15098=VERTEX_POINT('',#49024); #15099=VERTEX_POINT('',#49028); #15100=VERTEX_POINT('',#49030); #15101=VERTEX_POINT('',#49034); #15102=VERTEX_POINT('',#49036); #15103=VERTEX_POINT('',#49040); #15104=VERTEX_POINT('',#49042); #15105=VERTEX_POINT('',#49046); #15106=VERTEX_POINT('',#49048); #15107=VERTEX_POINT('',#49052); #15108=VERTEX_POINT('',#49054); #15109=VERTEX_POINT('',#49058); #15110=VERTEX_POINT('',#49060); #15111=VERTEX_POINT('',#49064); #15112=VERTEX_POINT('',#49066); #15113=VERTEX_POINT('',#49070); #15114=VERTEX_POINT('',#49072); #15115=VERTEX_POINT('',#49076); #15116=VERTEX_POINT('',#49078); #15117=VERTEX_POINT('',#49085); #15118=VERTEX_POINT('',#49087); #15119=VERTEX_POINT('',#49091); #15120=VERTEX_POINT('',#49093); #15121=VERTEX_POINT('',#49097); #15122=VERTEX_POINT('',#49099); #15123=VERTEX_POINT('',#49103); #15124=VERTEX_POINT('',#49105); #15125=VERTEX_POINT('',#49109); #15126=VERTEX_POINT('',#49111); #15127=VERTEX_POINT('',#49115); #15128=VERTEX_POINT('',#49117); #15129=VERTEX_POINT('',#49121); #15130=VERTEX_POINT('',#49123); #15131=VERTEX_POINT('',#49127); #15132=VERTEX_POINT('',#49129); #15133=VERTEX_POINT('',#49133); #15134=VERTEX_POINT('',#49135); #15135=VERTEX_POINT('',#49139); #15136=VERTEX_POINT('',#49141); #15137=VERTEX_POINT('',#49145); #15138=VERTEX_POINT('',#49147); #15139=VERTEX_POINT('',#49151); #15140=VERTEX_POINT('',#49153); #15141=VERTEX_POINT('',#49157); #15142=VERTEX_POINT('',#49159); #15143=VERTEX_POINT('',#49163); #15144=VERTEX_POINT('',#49165); #15145=VERTEX_POINT('',#49169); #15146=VERTEX_POINT('',#49171); #15147=VERTEX_POINT('',#49175); #15148=VERTEX_POINT('',#49177); #15149=VERTEX_POINT('',#49186); #15150=VERTEX_POINT('',#49187); #15151=VERTEX_POINT('',#49189); #15152=VERTEX_POINT('',#49191); #15153=VERTEX_POINT('',#49195); #15154=VERTEX_POINT('',#49197); #15155=VERTEX_POINT('',#49201); #15156=VERTEX_POINT('',#49203); #15157=VERTEX_POINT('',#49207); #15158=VERTEX_POINT('',#49209); #15159=VERTEX_POINT('',#49213); #15160=VERTEX_POINT('',#49215); #15161=VERTEX_POINT('',#49219); #15162=VERTEX_POINT('',#49221); #15163=VERTEX_POINT('',#49225); #15164=VERTEX_POINT('',#49227); #15165=VERTEX_POINT('',#49231); #15166=VERTEX_POINT('',#49233); #15167=VERTEX_POINT('',#49237); #15168=VERTEX_POINT('',#49239); #15169=VERTEX_POINT('',#49243); #15170=VERTEX_POINT('',#49245); #15171=VERTEX_POINT('',#49249); #15172=VERTEX_POINT('',#49251); #15173=VERTEX_POINT('',#49255); #15174=VERTEX_POINT('',#49257); #15175=VERTEX_POINT('',#49261); #15176=VERTEX_POINT('',#49263); #15177=VERTEX_POINT('',#49267); #15178=VERTEX_POINT('',#49269); #15179=VERTEX_POINT('',#49273); #15180=VERTEX_POINT('',#49275); #15181=VERTEX_POINT('',#49279); #15182=VERTEX_POINT('',#49281); #15183=VERTEX_POINT('',#49285); #15184=VERTEX_POINT('',#49287); #15185=VERTEX_POINT('',#49296); #15186=VERTEX_POINT('',#49297); #15187=VERTEX_POINT('',#49299); #15188=VERTEX_POINT('',#49301); #15189=VERTEX_POINT('',#49305); #15190=VERTEX_POINT('',#49307); #15191=VERTEX_POINT('',#49311); #15192=VERTEX_POINT('',#49313); #15193=VERTEX_POINT('',#49317); #15194=VERTEX_POINT('',#49319); #15195=VERTEX_POINT('',#49323); #15196=VERTEX_POINT('',#49325); #15197=VERTEX_POINT('',#49329); #15198=VERTEX_POINT('',#49331); #15199=VERTEX_POINT('',#49335); #15200=VERTEX_POINT('',#49337); #15201=VERTEX_POINT('',#49341); #15202=VERTEX_POINT('',#49343); #15203=VERTEX_POINT('',#49347); #15204=VERTEX_POINT('',#49349); #15205=VERTEX_POINT('',#49353); #15206=VERTEX_POINT('',#49355); #15207=VERTEX_POINT('',#49359); #15208=VERTEX_POINT('',#49361); #15209=VERTEX_POINT('',#49365); #15210=VERTEX_POINT('',#49367); #15211=VERTEX_POINT('',#49371); #15212=VERTEX_POINT('',#49373); #15213=VERTEX_POINT('',#49377); #15214=VERTEX_POINT('',#49379); #15215=VERTEX_POINT('',#49383); #15216=VERTEX_POINT('',#49385); #15217=VERTEX_POINT('',#49389); #15218=VERTEX_POINT('',#49391); #15219=VERTEX_POINT('',#49395); #15220=VERTEX_POINT('',#49397); #15221=VERTEX_POINT('',#49401); #15222=VERTEX_POINT('',#49403); #15223=VERTEX_POINT('',#49407); #15224=VERTEX_POINT('',#49409); #15225=VERTEX_POINT('',#49413); #15226=VERTEX_POINT('',#49415); #15227=VERTEX_POINT('',#49419); #15228=VERTEX_POINT('',#49421); #15229=VERTEX_POINT('',#49425); #15230=VERTEX_POINT('',#49427); #15231=VERTEX_POINT('',#49431); #15232=VERTEX_POINT('',#49433); #15233=VERTEX_POINT('',#49437); #15234=VERTEX_POINT('',#49439); #15235=VERTEX_POINT('',#49443); #15236=VERTEX_POINT('',#49445); #15237=VERTEX_POINT('',#49449); #15238=VERTEX_POINT('',#49451); #15239=VERTEX_POINT('',#49455); #15240=VERTEX_POINT('',#49457); #15241=VERTEX_POINT('',#49461); #15242=VERTEX_POINT('',#49463); #15243=VERTEX_POINT('',#49467); #15244=VERTEX_POINT('',#49469); #15245=VERTEX_POINT('',#49473); #15246=VERTEX_POINT('',#49475); #15247=VERTEX_POINT('',#49479); #15248=VERTEX_POINT('',#49481); #15249=VERTEX_POINT('',#49485); #15250=VERTEX_POINT('',#49487); #15251=VERTEX_POINT('',#49491); #15252=VERTEX_POINT('',#49493); #15253=VERTEX_POINT('',#49497); #15254=VERTEX_POINT('',#49499); #15255=VERTEX_POINT('',#49503); #15256=VERTEX_POINT('',#49505); #15257=VERTEX_POINT('',#49519); #15258=VERTEX_POINT('',#49521); #15259=VERTEX_POINT('',#49524); #15260=VERTEX_POINT('',#49526); #15261=VERTEX_POINT('',#49528); #15262=VERTEX_POINT('',#49532); #15263=VERTEX_POINT('',#49537); #15264=VERTEX_POINT('',#49539); #15265=VERTEX_POINT('',#49543); #15266=VERTEX_POINT('',#49545); #15267=VERTEX_POINT('',#49549); #15268=VERTEX_POINT('',#49550); #15269=VERTEX_POINT('',#49552); #15270=VERTEX_POINT('',#49554); #15271=VERTEX_POINT('',#49558); #15272=VERTEX_POINT('',#49560); #15273=VERTEX_POINT('',#49564); #15274=VERTEX_POINT('',#49566); #15275=VERTEX_POINT('',#49570); #15276=VERTEX_POINT('',#49572); #15277=VERTEX_POINT('',#49576); #15278=VERTEX_POINT('',#49578); #15279=VERTEX_POINT('',#49582); #15280=VERTEX_POINT('',#49584); #15281=VERTEX_POINT('',#49588); #15282=VERTEX_POINT('',#49590); #15283=VERTEX_POINT('',#49600); #15284=VERTEX_POINT('',#49601); #15285=VERTEX_POINT('',#49608); #15286=VERTEX_POINT('',#49610); #15287=VERTEX_POINT('',#49614); #15288=VERTEX_POINT('',#49615); #15289=VERTEX_POINT('',#49617); #15290=VERTEX_POINT('',#49619); #15291=VERTEX_POINT('',#49623); #15292=VERTEX_POINT('',#49625); #15293=VERTEX_POINT('',#49629); #15294=VERTEX_POINT('',#49631); #15295=VERTEX_POINT('',#49635); #15296=VERTEX_POINT('',#49637); #15297=VERTEX_POINT('',#49641); #15298=VERTEX_POINT('',#49643); #15299=VERTEX_POINT('',#49647); #15300=VERTEX_POINT('',#49649); #15301=VERTEX_POINT('',#49653); #15302=VERTEX_POINT('',#49655); #15303=VERTEX_POINT('',#49659); #15304=VERTEX_POINT('',#49661); #15305=VERTEX_POINT('',#49665); #15306=VERTEX_POINT('',#49667); #15307=VERTEX_POINT('',#49676); #15308=VERTEX_POINT('',#49678); #15309=VERTEX_POINT('',#49682); #15310=VERTEX_POINT('',#49683); #15311=VERTEX_POINT('',#49685); #15312=VERTEX_POINT('',#49687); #15313=VERTEX_POINT('',#49691); #15314=VERTEX_POINT('',#49693); #15315=VERTEX_POINT('',#49697); #15316=VERTEX_POINT('',#49699); #15317=VERTEX_POINT('',#49703); #15318=VERTEX_POINT('',#49705); #15319=VERTEX_POINT('',#49709); #15320=VERTEX_POINT('',#49711); #15321=VERTEX_POINT('',#49715); #15322=VERTEX_POINT('',#49717); #15323=VERTEX_POINT('',#49721); #15324=VERTEX_POINT('',#49723); #15325=VERTEX_POINT('',#49727); #15326=VERTEX_POINT('',#49729); #15327=VERTEX_POINT('',#49733); #15328=VERTEX_POINT('',#49735); #15329=VERTEX_POINT('',#49744); #15330=VERTEX_POINT('',#49746); #15331=VERTEX_POINT('',#49750); #15332=VERTEX_POINT('',#49752); #15333=VERTEX_POINT('',#49756); #15334=VERTEX_POINT('',#49758); #15335=VERTEX_POINT('',#49762); #15336=VERTEX_POINT('',#49763); #15337=VERTEX_POINT('',#49765); #15338=VERTEX_POINT('',#49767); #15339=VERTEX_POINT('',#49771); #15340=VERTEX_POINT('',#49773); #15341=VERTEX_POINT('',#49777); #15342=VERTEX_POINT('',#49779); #15343=VERTEX_POINT('',#49783); #15344=VERTEX_POINT('',#49785); #15345=VERTEX_POINT('',#49789); #15346=VERTEX_POINT('',#49791); #15347=VERTEX_POINT('',#49795); #15348=VERTEX_POINT('',#49797); #15349=VERTEX_POINT('',#49801); #15350=VERTEX_POINT('',#49803); #15351=VERTEX_POINT('',#49807); #15352=VERTEX_POINT('',#49809); #15353=VERTEX_POINT('',#49813); #15354=VERTEX_POINT('',#49815); #15355=VERTEX_POINT('',#49824); #15356=VERTEX_POINT('',#49826); #15357=VERTEX_POINT('',#49830); #15358=VERTEX_POINT('',#49832); #15359=VERTEX_POINT('',#49836); #15360=VERTEX_POINT('',#49838); #15361=VERTEX_POINT('',#49842); #15362=VERTEX_POINT('',#49843); #15363=VERTEX_POINT('',#49845); #15364=VERTEX_POINT('',#49847); #15365=VERTEX_POINT('',#49851); #15366=VERTEX_POINT('',#49853); #15367=VERTEX_POINT('',#49857); #15368=VERTEX_POINT('',#49859); #15369=VERTEX_POINT('',#49863); #15370=VERTEX_POINT('',#49865); #15371=VERTEX_POINT('',#49869); #15372=VERTEX_POINT('',#49871); #15373=VERTEX_POINT('',#49875); #15374=VERTEX_POINT('',#49877); #15375=VERTEX_POINT('',#49881); #15376=VERTEX_POINT('',#49883); #15377=VERTEX_POINT('',#49887); #15378=VERTEX_POINT('',#49889); #15379=VERTEX_POINT('',#49893); #15380=VERTEX_POINT('',#49895); #15381=VERTEX_POINT('',#49904); #15382=VERTEX_POINT('',#49906); #15383=VERTEX_POINT('',#49910); #15384=VERTEX_POINT('',#49911); #15385=VERTEX_POINT('',#49913); #15386=VERTEX_POINT('',#49915); #15387=VERTEX_POINT('',#49919); #15388=VERTEX_POINT('',#49921); #15389=VERTEX_POINT('',#49925); #15390=VERTEX_POINT('',#49927); #15391=VERTEX_POINT('',#49931); #15392=VERTEX_POINT('',#49933); #15393=VERTEX_POINT('',#49937); #15394=VERTEX_POINT('',#49939); #15395=VERTEX_POINT('',#49943); #15396=VERTEX_POINT('',#49945); #15397=VERTEX_POINT('',#49949); #15398=VERTEX_POINT('',#49951); #15399=VERTEX_POINT('',#49955); #15400=VERTEX_POINT('',#49957); #15401=VERTEX_POINT('',#49961); #15402=VERTEX_POINT('',#49963); #15403=VERTEX_POINT('',#49972); #15404=VERTEX_POINT('',#49974); #15405=VERTEX_POINT('',#49978); #15406=VERTEX_POINT('',#49979); #15407=VERTEX_POINT('',#49981); #15408=VERTEX_POINT('',#49983); #15409=VERTEX_POINT('',#49987); #15410=VERTEX_POINT('',#49989); #15411=VERTEX_POINT('',#49993); #15412=VERTEX_POINT('',#49995); #15413=VERTEX_POINT('',#49999); #15414=VERTEX_POINT('',#50001); #15415=VERTEX_POINT('',#50005); #15416=VERTEX_POINT('',#50007); #15417=VERTEX_POINT('',#50011); #15418=VERTEX_POINT('',#50013); #15419=VERTEX_POINT('',#50017); #15420=VERTEX_POINT('',#50019); #15421=VERTEX_POINT('',#50023); #15422=VERTEX_POINT('',#50025); #15423=VERTEX_POINT('',#50029); #15424=VERTEX_POINT('',#50031); #15425=VERTEX_POINT('',#50040); #15426=VERTEX_POINT('',#50042); #15427=VERTEX_POINT('',#50046); #15428=VERTEX_POINT('',#50048); #15429=VERTEX_POINT('',#50052); #15430=VERTEX_POINT('',#50054); #15431=VERTEX_POINT('',#50058); #15432=VERTEX_POINT('',#50059); #15433=VERTEX_POINT('',#50061); #15434=VERTEX_POINT('',#50063); #15435=VERTEX_POINT('',#50067); #15436=VERTEX_POINT('',#50069); #15437=VERTEX_POINT('',#50073); #15438=VERTEX_POINT('',#50075); #15439=VERTEX_POINT('',#50079); #15440=VERTEX_POINT('',#50081); #15441=VERTEX_POINT('',#50085); #15442=VERTEX_POINT('',#50087); #15443=VERTEX_POINT('',#50091); #15444=VERTEX_POINT('',#50093); #15445=VERTEX_POINT('',#50097); #15446=VERTEX_POINT('',#50099); #15447=VERTEX_POINT('',#50103); #15448=VERTEX_POINT('',#50105); #15449=VERTEX_POINT('',#50109); #15450=VERTEX_POINT('',#50111); #15451=VERTEX_POINT('',#50120); #15452=VERTEX_POINT('',#50122); #15453=VERTEX_POINT('',#50126); #15454=VERTEX_POINT('',#50128); #15455=VERTEX_POINT('',#50132); #15456=VERTEX_POINT('',#50134); #15457=VERTEX_POINT('',#50138); #15458=VERTEX_POINT('',#50139); #15459=VERTEX_POINT('',#50141); #15460=VERTEX_POINT('',#50143); #15461=VERTEX_POINT('',#50147); #15462=VERTEX_POINT('',#50149); #15463=VERTEX_POINT('',#50153); #15464=VERTEX_POINT('',#50155); #15465=VERTEX_POINT('',#50159); #15466=VERTEX_POINT('',#50161); #15467=VERTEX_POINT('',#50165); #15468=VERTEX_POINT('',#50167); #15469=VERTEX_POINT('',#50171); #15470=VERTEX_POINT('',#50173); #15471=VERTEX_POINT('',#50177); #15472=VERTEX_POINT('',#50179); #15473=VERTEX_POINT('',#50183); #15474=VERTEX_POINT('',#50185); #15475=VERTEX_POINT('',#50189); #15476=VERTEX_POINT('',#50191); #15477=VERTEX_POINT('',#50200); #15478=VERTEX_POINT('',#50201); #15479=VERTEX_POINT('',#50203); #15480=VERTEX_POINT('',#50205); #15481=VERTEX_POINT('',#50209); #15482=VERTEX_POINT('',#50211); #15483=VERTEX_POINT('',#50215); #15484=VERTEX_POINT('',#50217); #15485=VERTEX_POINT('',#50224); #15486=VERTEX_POINT('',#50225); #15487=VERTEX_POINT('',#50227); #15488=VERTEX_POINT('',#50229); #15489=VERTEX_POINT('',#50233); #15490=VERTEX_POINT('',#50235); #15491=VERTEX_POINT('',#50239); #15492=VERTEX_POINT('',#50241); #15493=VERTEX_POINT('',#50248); #15494=VERTEX_POINT('',#50249); #15495=VERTEX_POINT('',#50251); #15496=VERTEX_POINT('',#50253); #15497=VERTEX_POINT('',#50257); #15498=VERTEX_POINT('',#50259); #15499=VERTEX_POINT('',#50263); #15500=VERTEX_POINT('',#50265); #15501=VERTEX_POINT('',#50272); #15502=VERTEX_POINT('',#50274); #15503=VERTEX_POINT('',#50278); #15504=VERTEX_POINT('',#50280); #15505=VERTEX_POINT('',#50284); #15506=VERTEX_POINT('',#50286); #15507=VERTEX_POINT('',#50290); #15508=VERTEX_POINT('',#50292); #15509=VERTEX_POINT('',#50296); #15510=VERTEX_POINT('',#50298); #15511=VERTEX_POINT('',#50302); #15512=VERTEX_POINT('',#50304); #15513=VERTEX_POINT('',#50308); #15514=VERTEX_POINT('',#50309); #15515=VERTEX_POINT('',#50311); #15516=VERTEX_POINT('',#50313); #15517=VERTEX_POINT('',#50317); #15518=VERTEX_POINT('',#50319); #15519=VERTEX_POINT('',#50323); #15520=VERTEX_POINT('',#50325); #15521=VERTEX_POINT('',#50332); #15522=VERTEX_POINT('',#50333); #15523=VERTEX_POINT('',#50335); #15524=VERTEX_POINT('',#50337); #15525=VERTEX_POINT('',#50341); #15526=VERTEX_POINT('',#50343); #15527=VERTEX_POINT('',#50347); #15528=VERTEX_POINT('',#50349); #15529=VERTEX_POINT('',#50356); #15530=VERTEX_POINT('',#50357); #15531=VERTEX_POINT('',#50359); #15532=VERTEX_POINT('',#50361); #15533=VERTEX_POINT('',#50365); #15534=VERTEX_POINT('',#50367); #15535=VERTEX_POINT('',#50371); #15536=VERTEX_POINT('',#50373); #15537=VERTEX_POINT('',#50380); #15538=VERTEX_POINT('',#50381); #15539=VERTEX_POINT('',#50383); #15540=VERTEX_POINT('',#50385); #15541=VERTEX_POINT('',#50389); #15542=VERTEX_POINT('',#50391); #15543=VERTEX_POINT('',#50395); #15544=VERTEX_POINT('',#50397); #15545=VERTEX_POINT('',#50404); #15546=VERTEX_POINT('',#50405); #15547=VERTEX_POINT('',#50407); #15548=VERTEX_POINT('',#50409); #15549=VERTEX_POINT('',#50413); #15550=VERTEX_POINT('',#50415); #15551=VERTEX_POINT('',#50419); #15552=VERTEX_POINT('',#50421); #15553=VERTEX_POINT('',#50428); #15554=VERTEX_POINT('',#50429); #15555=VERTEX_POINT('',#50431); #15556=VERTEX_POINT('',#50433); #15557=VERTEX_POINT('',#50437); #15558=VERTEX_POINT('',#50439); #15559=VERTEX_POINT('',#50443); #15560=VERTEX_POINT('',#50445); #15561=VERTEX_POINT('',#50452); #15562=VERTEX_POINT('',#50454); #15563=VERTEX_POINT('',#50458); #15564=VERTEX_POINT('',#50459); #15565=VERTEX_POINT('',#50461); #15566=VERTEX_POINT('',#50463); #15567=VERTEX_POINT('',#50467); #15568=VERTEX_POINT('',#50469); #15569=VERTEX_POINT('',#50473); #15570=VERTEX_POINT('',#50475); #15571=VERTEX_POINT('',#50482); #15572=VERTEX_POINT('',#50483); #15573=VERTEX_POINT('',#50485); #15574=VERTEX_POINT('',#50487); #15575=VERTEX_POINT('',#50491); #15576=VERTEX_POINT('',#50493); #15577=VERTEX_POINT('',#50497); #15578=VERTEX_POINT('',#50499); #15579=VERTEX_POINT('',#50506); #15580=VERTEX_POINT('',#50507); #15581=VERTEX_POINT('',#50509); #15582=VERTEX_POINT('',#50511); #15583=VERTEX_POINT('',#50515); #15584=VERTEX_POINT('',#50517); #15585=VERTEX_POINT('',#50521); #15586=VERTEX_POINT('',#50523); #15587=VERTEX_POINT('',#50530); #15588=VERTEX_POINT('',#50531); #15589=VERTEX_POINT('',#50533); #15590=VERTEX_POINT('',#50535); #15591=VERTEX_POINT('',#50539); #15592=VERTEX_POINT('',#50541); #15593=VERTEX_POINT('',#50545); #15594=VERTEX_POINT('',#50547); #15595=VERTEX_POINT('',#50554); #15596=VERTEX_POINT('',#50555); #15597=VERTEX_POINT('',#50557); #15598=VERTEX_POINT('',#50559); #15599=VERTEX_POINT('',#50563); #15600=VERTEX_POINT('',#50565); #15601=VERTEX_POINT('',#50569); #15602=VERTEX_POINT('',#50571); #15603=VERTEX_POINT('',#50578); #15604=VERTEX_POINT('',#50580); #15605=VERTEX_POINT('',#50584); #15606=VERTEX_POINT('',#50586); #15607=VERTEX_POINT('',#50590); #15608=VERTEX_POINT('',#50591); #15609=VERTEX_POINT('',#50593); #15610=VERTEX_POINT('',#50595); #15611=VERTEX_POINT('',#50599); #15612=VERTEX_POINT('',#50601); #15613=VERTEX_POINT('',#50605); #15614=VERTEX_POINT('',#50607); #15615=VERTEX_POINT('',#50614); #15616=VERTEX_POINT('',#50616); #15617=VERTEX_POINT('',#50620); #15618=VERTEX_POINT('',#50622); #15619=VERTEX_POINT('',#50626); #15620=VERTEX_POINT('',#50628); #15621=VERTEX_POINT('',#50632); #15622=VERTEX_POINT('',#50633); #15623=VERTEX_POINT('',#50635); #15624=VERTEX_POINT('',#50637); #15625=VERTEX_POINT('',#50641); #15626=VERTEX_POINT('',#50643); #15627=VERTEX_POINT('',#50647); #15628=VERTEX_POINT('',#50649); #15629=VERTEX_POINT('',#50656); #15630=VERTEX_POINT('',#50658); #15631=VERTEX_POINT('',#50662); #15632=VERTEX_POINT('',#50664); #15633=VERTEX_POINT('',#50668); #15634=VERTEX_POINT('',#50670); #15635=VERTEX_POINT('',#50674); #15636=VERTEX_POINT('',#50675); #15637=VERTEX_POINT('',#50677); #15638=VERTEX_POINT('',#50679); #15639=VERTEX_POINT('',#50683); #15640=VERTEX_POINT('',#50685); #15641=VERTEX_POINT('',#50689); #15642=VERTEX_POINT('',#50691); #15643=VERTEX_POINT('',#50698); #15644=VERTEX_POINT('',#50699); #15645=VERTEX_POINT('',#50701); #15646=VERTEX_POINT('',#50703); #15647=VERTEX_POINT('',#50707); #15648=VERTEX_POINT('',#50709); #15649=VERTEX_POINT('',#50713); #15650=VERTEX_POINT('',#50715); #15651=VERTEX_POINT('',#50719); #15652=VERTEX_POINT('',#50721); #15653=VERTEX_POINT('',#50725); #15654=VERTEX_POINT('',#50727); #15655=VERTEX_POINT('',#50731); #15656=VERTEX_POINT('',#50733); #15657=VERTEX_POINT('',#50737); #15658=VERTEX_POINT('',#50739); #15659=VERTEX_POINT('',#50746); #15660=VERTEX_POINT('',#50747); #15661=VERTEX_POINT('',#50749); #15662=VERTEX_POINT('',#50751); #15663=VERTEX_POINT('',#50755); #15664=VERTEX_POINT('',#50757); #15665=VERTEX_POINT('',#50761); #15666=VERTEX_POINT('',#50763); #15667=VERTEX_POINT('',#50770); #15668=VERTEX_POINT('',#50772); #15669=VERTEX_POINT('',#50776); #15670=VERTEX_POINT('',#50777); #15671=VERTEX_POINT('',#50779); #15672=VERTEX_POINT('',#50781); #15673=VERTEX_POINT('',#50785); #15674=VERTEX_POINT('',#50787); #15675=VERTEX_POINT('',#50791); #15676=VERTEX_POINT('',#50793); #15677=VERTEX_POINT('',#50800); #15678=VERTEX_POINT('',#50801); #15679=VERTEX_POINT('',#50803); #15680=VERTEX_POINT('',#50805); #15681=VERTEX_POINT('',#50809); #15682=VERTEX_POINT('',#50811); #15683=VERTEX_POINT('',#50815); #15684=VERTEX_POINT('',#50817); #15685=VERTEX_POINT('',#50824); #15686=VERTEX_POINT('',#50825); #15687=VERTEX_POINT('',#50827); #15688=VERTEX_POINT('',#50829); #15689=VERTEX_POINT('',#50833); #15690=VERTEX_POINT('',#50835); #15691=VERTEX_POINT('',#50839); #15692=VERTEX_POINT('',#50841); #15693=VERTEX_POINT('',#50848); #15694=VERTEX_POINT('',#50850); #15695=VERTEX_POINT('',#50854); #15696=VERTEX_POINT('',#50855); #15697=VERTEX_POINT('',#50857); #15698=VERTEX_POINT('',#50859); #15699=VERTEX_POINT('',#50863); #15700=VERTEX_POINT('',#50865); #15701=VERTEX_POINT('',#50869); #15702=VERTEX_POINT('',#50871); #15703=VERTEX_POINT('',#50875); #15704=VERTEX_POINT('',#50877); #15705=VERTEX_POINT('',#50881); #15706=VERTEX_POINT('',#50883); #15707=VERTEX_POINT('',#50887); #15708=VERTEX_POINT('',#50889); #15709=VERTEX_POINT('',#50893); #15710=VERTEX_POINT('',#50895); #15711=VERTEX_POINT('',#50899); #15712=VERTEX_POINT('',#50901); #15713=VERTEX_POINT('',#50905); #15714=VERTEX_POINT('',#50907); #15715=VERTEX_POINT('',#50911); #15716=VERTEX_POINT('',#50913); #15717=VERTEX_POINT('',#50917); #15718=VERTEX_POINT('',#50919); #15719=VERTEX_POINT('',#50923); #15720=VERTEX_POINT('',#50925); #15721=VERTEX_POINT('',#50929); #15722=VERTEX_POINT('',#50931); #15723=VERTEX_POINT('',#50935); #15724=VERTEX_POINT('',#50937); #15725=VERTEX_POINT('',#50941); #15726=VERTEX_POINT('',#50943); #15727=VERTEX_POINT('',#50947); #15728=VERTEX_POINT('',#50949); #15729=VERTEX_POINT('',#50953); #15730=VERTEX_POINT('',#50955); #15731=VERTEX_POINT('',#50959); #15732=VERTEX_POINT('',#50961); #15733=VERTEX_POINT('',#50965); #15734=VERTEX_POINT('',#50967); #15735=VERTEX_POINT('',#50971); #15736=VERTEX_POINT('',#50973); #15737=VERTEX_POINT('',#50977); #15738=VERTEX_POINT('',#50979); #15739=VERTEX_POINT('',#50983); #15740=VERTEX_POINT('',#50985); #15741=VERTEX_POINT('',#50989); #15742=VERTEX_POINT('',#50991); #15743=VERTEX_POINT('',#50995); #15744=VERTEX_POINT('',#50997); #15745=VERTEX_POINT('',#51001); #15746=VERTEX_POINT('',#51003); #15747=VERTEX_POINT('',#51007); #15748=VERTEX_POINT('',#51009); #15749=VERTEX_POINT('',#51013); #15750=VERTEX_POINT('',#51015); #15751=VERTEX_POINT('',#51019); #15752=VERTEX_POINT('',#51021); #15753=VERTEX_POINT('',#51025); #15754=VERTEX_POINT('',#51027); #15755=VERTEX_POINT('',#51031); #15756=VERTEX_POINT('',#51033); #15757=VERTEX_POINT('',#51037); #15758=VERTEX_POINT('',#51039); #15759=VERTEX_POINT('',#51048); #15760=VERTEX_POINT('',#51050); #15761=VERTEX_POINT('',#51054); #15762=VERTEX_POINT('',#51056); #15763=VERTEX_POINT('',#51060); #15764=VERTEX_POINT('',#51062); #15765=VERTEX_POINT('',#51066); #15766=VERTEX_POINT('',#51067); #15767=VERTEX_POINT('',#51069); #15768=VERTEX_POINT('',#51071); #15769=VERTEX_POINT('',#51075); #15770=VERTEX_POINT('',#51077); #15771=VERTEX_POINT('',#51081); #15772=VERTEX_POINT('',#51083); #15773=VERTEX_POINT('',#51087); #15774=VERTEX_POINT('',#51089); #15775=VERTEX_POINT('',#51093); #15776=VERTEX_POINT('',#51095); #15777=VERTEX_POINT('',#51099); #15778=VERTEX_POINT('',#51101); #15779=VERTEX_POINT('',#51105); #15780=VERTEX_POINT('',#51107); #15781=VERTEX_POINT('',#51118); #15782=VERTEX_POINT('',#51120); #15783=VERTEX_POINT('',#51124); #15784=VERTEX_POINT('',#51126); #15785=VERTEX_POINT('',#51130); #15786=VERTEX_POINT('',#51131); #15787=VERTEX_POINT('',#51133); #15788=VERTEX_POINT('',#51135); #15789=VERTEX_POINT('',#51139); #15790=VERTEX_POINT('',#51141); #15791=VERTEX_POINT('',#51145); #15792=VERTEX_POINT('',#51147); #15793=VERTEX_POINT('',#51151); #15794=VERTEX_POINT('',#51153); #15795=VERTEX_POINT('',#51157); #15796=VERTEX_POINT('',#51159); #15797=VERTEX_POINT('',#51163); #15798=VERTEX_POINT('',#51165); #15799=VERTEX_POINT('',#51169); #15800=VERTEX_POINT('',#51171); #15801=VERTEX_POINT('',#51175); #15802=VERTEX_POINT('',#51177); #15803=VERTEX_POINT('',#51181); #15804=VERTEX_POINT('',#51183); #15805=VERTEX_POINT('',#51187); #15806=VERTEX_POINT('',#51189); #15807=VERTEX_POINT('',#51193); #15808=VERTEX_POINT('',#51195); #15809=VERTEX_POINT('',#51204); #15810=VERTEX_POINT('',#51206); #15811=VERTEX_POINT('',#51210); #15812=VERTEX_POINT('',#51212); #15813=VERTEX_POINT('',#51216); #15814=VERTEX_POINT('',#51217); #15815=VERTEX_POINT('',#51219); #15816=VERTEX_POINT('',#51221); #15817=VERTEX_POINT('',#51225); #15818=VERTEX_POINT('',#51227); #15819=VERTEX_POINT('',#51231); #15820=VERTEX_POINT('',#51233); #15821=VERTEX_POINT('',#51237); #15822=VERTEX_POINT('',#51239); #15823=VERTEX_POINT('',#51243); #15824=VERTEX_POINT('',#51245); #15825=VERTEX_POINT('',#51249); #15826=VERTEX_POINT('',#51251); #15827=VERTEX_POINT('',#51255); #15828=VERTEX_POINT('',#51257); #15829=VERTEX_POINT('',#51261); #15830=VERTEX_POINT('',#51263); #15831=VERTEX_POINT('',#51267); #15832=VERTEX_POINT('',#51269); #15833=VERTEX_POINT('',#51273); #15834=VERTEX_POINT('',#51275); #15835=VERTEX_POINT('',#51279); #15836=VERTEX_POINT('',#51281); #15837=VERTEX_POINT('',#51290); #15838=VERTEX_POINT('',#51292); #15839=VERTEX_POINT('',#51296); #15840=VERTEX_POINT('',#51298); #15841=VERTEX_POINT('',#51302); #15842=VERTEX_POINT('',#51303); #15843=VERTEX_POINT('',#51305); #15844=VERTEX_POINT('',#51307); #15845=VERTEX_POINT('',#51311); #15846=VERTEX_POINT('',#51313); #15847=VERTEX_POINT('',#51317); #15848=VERTEX_POINT('',#51319); #15849=VERTEX_POINT('',#51323); #15850=VERTEX_POINT('',#51325); #15851=VERTEX_POINT('',#51329); #15852=VERTEX_POINT('',#51331); #15853=VERTEX_POINT('',#51335); #15854=VERTEX_POINT('',#51337); #15855=VERTEX_POINT('',#51341); #15856=VERTEX_POINT('',#51343); #15857=VERTEX_POINT('',#51347); #15858=VERTEX_POINT('',#51349); #15859=VERTEX_POINT('',#51353); #15860=VERTEX_POINT('',#51355); #15861=VERTEX_POINT('',#51359); #15862=VERTEX_POINT('',#51361); #15863=VERTEX_POINT('',#51365); #15864=VERTEX_POINT('',#51367); #15865=VERTEX_POINT('',#51376); #15866=VERTEX_POINT('',#51378); #15867=VERTEX_POINT('',#51382); #15868=VERTEX_POINT('',#51384); #15869=VERTEX_POINT('',#51388); #15870=VERTEX_POINT('',#51389); #15871=VERTEX_POINT('',#51391); #15872=VERTEX_POINT('',#51393); #15873=VERTEX_POINT('',#51397); #15874=VERTEX_POINT('',#51399); #15875=VERTEX_POINT('',#51403); #15876=VERTEX_POINT('',#51405); #15877=VERTEX_POINT('',#51409); #15878=VERTEX_POINT('',#51411); #15879=VERTEX_POINT('',#51415); #15880=VERTEX_POINT('',#51417); #15881=VERTEX_POINT('',#51421); #15882=VERTEX_POINT('',#51423); #15883=VERTEX_POINT('',#51427); #15884=VERTEX_POINT('',#51429); #15885=VERTEX_POINT('',#51433); #15886=VERTEX_POINT('',#51435); #15887=VERTEX_POINT('',#51439); #15888=VERTEX_POINT('',#51441); #15889=VERTEX_POINT('',#51445); #15890=VERTEX_POINT('',#51447); #15891=VERTEX_POINT('',#51451); #15892=VERTEX_POINT('',#51453); #15893=VERTEX_POINT('',#51462); #15894=VERTEX_POINT('',#51464); #15895=VERTEX_POINT('',#51468); #15896=VERTEX_POINT('',#51470); #15897=VERTEX_POINT('',#51474); #15898=VERTEX_POINT('',#51475); #15899=VERTEX_POINT('',#51477); #15900=VERTEX_POINT('',#51479); #15901=VERTEX_POINT('',#51483); #15902=VERTEX_POINT('',#51485); #15903=VERTEX_POINT('',#51489); #15904=VERTEX_POINT('',#51491); #15905=VERTEX_POINT('',#51495); #15906=VERTEX_POINT('',#51497); #15907=VERTEX_POINT('',#51501); #15908=VERTEX_POINT('',#51503); #15909=VERTEX_POINT('',#51507); #15910=VERTEX_POINT('',#51509); #15911=VERTEX_POINT('',#51513); #15912=VERTEX_POINT('',#51515); #15913=VERTEX_POINT('',#51519); #15914=VERTEX_POINT('',#51521); #15915=VERTEX_POINT('',#51525); #15916=VERTEX_POINT('',#51527); #15917=VERTEX_POINT('',#51531); #15918=VERTEX_POINT('',#51533); #15919=VERTEX_POINT('',#51537); #15920=VERTEX_POINT('',#51539); #15921=VERTEX_POINT('',#51548); #15922=VERTEX_POINT('',#51550); #15923=VERTEX_POINT('',#51554); #15924=VERTEX_POINT('',#51556); #15925=VERTEX_POINT('',#51560); #15926=VERTEX_POINT('',#51561); #15927=VERTEX_POINT('',#51563); #15928=VERTEX_POINT('',#51565); #15929=VERTEX_POINT('',#51569); #15930=VERTEX_POINT('',#51571); #15931=VERTEX_POINT('',#51575); #15932=VERTEX_POINT('',#51577); #15933=VERTEX_POINT('',#51581); #15934=VERTEX_POINT('',#51583); #15935=VERTEX_POINT('',#51587); #15936=VERTEX_POINT('',#51589); #15937=VERTEX_POINT('',#51593); #15938=VERTEX_POINT('',#51595); #15939=VERTEX_POINT('',#51599); #15940=VERTEX_POINT('',#51601); #15941=VERTEX_POINT('',#51605); #15942=VERTEX_POINT('',#51607); #15943=VERTEX_POINT('',#51611); #15944=VERTEX_POINT('',#51613); #15945=VERTEX_POINT('',#51617); #15946=VERTEX_POINT('',#51619); #15947=VERTEX_POINT('',#51623); #15948=VERTEX_POINT('',#51625); #15949=VERTEX_POINT('',#51635); #15950=VERTEX_POINT('',#51636); #15951=VERTEX_POINT('',#51638); #15952=VERTEX_POINT('',#51640); #15953=VERTEX_POINT('',#51644); #15954=VERTEX_POINT('',#51646); #15955=VERTEX_POINT('',#51650); #15956=VERTEX_POINT('',#51652); #15957=VERTEX_POINT('',#51656); #15958=VERTEX_POINT('',#51658); #15959=VERTEX_POINT('',#51662); #15960=VERTEX_POINT('',#51664); #15961=VERTEX_POINT('',#51668); #15962=VERTEX_POINT('',#51670); #15963=VERTEX_POINT('',#51674); #15964=VERTEX_POINT('',#51676); #15965=VERTEX_POINT('',#51683); #15966=VERTEX_POINT('',#51684); #15967=VERTEX_POINT('',#51686); #15968=VERTEX_POINT('',#51688); #15969=VERTEX_POINT('',#51692); #15970=VERTEX_POINT('',#51694); #15971=VERTEX_POINT('',#51698); #15972=VERTEX_POINT('',#51700); #15973=VERTEX_POINT('',#51704); #15974=VERTEX_POINT('',#51706); #15975=VERTEX_POINT('',#51710); #15976=VERTEX_POINT('',#51712); #15977=VERTEX_POINT('',#51716); #15978=VERTEX_POINT('',#51718); #15979=VERTEX_POINT('',#51722); #15980=VERTEX_POINT('',#51724); #15981=VERTEX_POINT('',#51731); #15982=VERTEX_POINT('',#51732); #15983=VERTEX_POINT('',#51734); #15984=VERTEX_POINT('',#51736); #15985=VERTEX_POINT('',#51740); #15986=VERTEX_POINT('',#51742); #15987=VERTEX_POINT('',#51746); #15988=VERTEX_POINT('',#51748); #15989=VERTEX_POINT('',#51752); #15990=VERTEX_POINT('',#51754); #15991=VERTEX_POINT('',#51758); #15992=VERTEX_POINT('',#51760); #15993=VERTEX_POINT('',#51764); #15994=VERTEX_POINT('',#51766); #15995=VERTEX_POINT('',#51770); #15996=VERTEX_POINT('',#51772); #15997=VERTEX_POINT('',#51779); #15998=VERTEX_POINT('',#51780); #15999=VERTEX_POINT('',#51782); #16000=VERTEX_POINT('',#51784); #16001=VERTEX_POINT('',#51788); #16002=VERTEX_POINT('',#51790); #16003=VERTEX_POINT('',#51794); #16004=VERTEX_POINT('',#51796); #16005=VERTEX_POINT('',#51800); #16006=VERTEX_POINT('',#51802); #16007=VERTEX_POINT('',#51806); #16008=VERTEX_POINT('',#51808); #16009=VERTEX_POINT('',#51812); #16010=VERTEX_POINT('',#51814); #16011=VERTEX_POINT('',#51818); #16012=VERTEX_POINT('',#51820); #16013=VERTEX_POINT('',#51827); #16014=VERTEX_POINT('',#51828); #16015=VERTEX_POINT('',#51830); #16016=VERTEX_POINT('',#51832); #16017=VERTEX_POINT('',#51836); #16018=VERTEX_POINT('',#51838); #16019=VERTEX_POINT('',#51842); #16020=VERTEX_POINT('',#51844); #16021=VERTEX_POINT('',#51848); #16022=VERTEX_POINT('',#51850); #16023=VERTEX_POINT('',#51854); #16024=VERTEX_POINT('',#51856); #16025=VERTEX_POINT('',#51860); #16026=VERTEX_POINT('',#51862); #16027=VERTEX_POINT('',#51866); #16028=VERTEX_POINT('',#51868); #16029=VERTEX_POINT('',#51875); #16030=VERTEX_POINT('',#51876); #16031=VERTEX_POINT('',#51878); #16032=VERTEX_POINT('',#51880); #16033=VERTEX_POINT('',#51884); #16034=VERTEX_POINT('',#51886); #16035=VERTEX_POINT('',#51890); #16036=VERTEX_POINT('',#51892); #16037=VERTEX_POINT('',#51896); #16038=VERTEX_POINT('',#51898); #16039=VERTEX_POINT('',#51902); #16040=VERTEX_POINT('',#51904); #16041=VERTEX_POINT('',#51908); #16042=VERTEX_POINT('',#51910); #16043=VERTEX_POINT('',#51914); #16044=VERTEX_POINT('',#51916); #16045=VERTEX_POINT('',#51923); #16046=VERTEX_POINT('',#51924); #16047=VERTEX_POINT('',#51926); #16048=VERTEX_POINT('',#51928); #16049=VERTEX_POINT('',#51932); #16050=VERTEX_POINT('',#51934); #16051=VERTEX_POINT('',#51938); #16052=VERTEX_POINT('',#51940); #16053=VERTEX_POINT('',#51944); #16054=VERTEX_POINT('',#51946); #16055=VERTEX_POINT('',#51950); #16056=VERTEX_POINT('',#51952); #16057=VERTEX_POINT('',#51956); #16058=VERTEX_POINT('',#51958); #16059=VERTEX_POINT('',#51962); #16060=VERTEX_POINT('',#51964); #16061=VERTEX_POINT('',#51971); #16062=VERTEX_POINT('',#51972); #16063=VERTEX_POINT('',#51974); #16064=VERTEX_POINT('',#51976); #16065=VERTEX_POINT('',#51980); #16066=VERTEX_POINT('',#51982); #16067=VERTEX_POINT('',#51986); #16068=VERTEX_POINT('',#51988); #16069=VERTEX_POINT('',#51992); #16070=VERTEX_POINT('',#51994); #16071=VERTEX_POINT('',#51998); #16072=VERTEX_POINT('',#52000); #16073=VERTEX_POINT('',#52004); #16074=VERTEX_POINT('',#52006); #16075=VERTEX_POINT('',#52010); #16076=VERTEX_POINT('',#52012); #16077=VERTEX_POINT('',#52019); #16078=VERTEX_POINT('',#52020); #16079=VERTEX_POINT('',#52022); #16080=VERTEX_POINT('',#52024); #16081=VERTEX_POINT('',#52028); #16082=VERTEX_POINT('',#52030); #16083=VERTEX_POINT('',#52034); #16084=VERTEX_POINT('',#52036); #16085=VERTEX_POINT('',#52040); #16086=VERTEX_POINT('',#52042); #16087=VERTEX_POINT('',#52046); #16088=VERTEX_POINT('',#52048); #16089=VERTEX_POINT('',#52052); #16090=VERTEX_POINT('',#52054); #16091=VERTEX_POINT('',#52058); #16092=VERTEX_POINT('',#52060); #16093=VERTEX_POINT('',#52067); #16094=VERTEX_POINT('',#52068); #16095=VERTEX_POINT('',#52070); #16096=VERTEX_POINT('',#52072); #16097=VERTEX_POINT('',#52076); #16098=VERTEX_POINT('',#52078); #16099=VERTEX_POINT('',#52082); #16100=VERTEX_POINT('',#52084); #16101=VERTEX_POINT('',#52088); #16102=VERTEX_POINT('',#52090); #16103=VERTEX_POINT('',#52094); #16104=VERTEX_POINT('',#52096); #16105=VERTEX_POINT('',#52100); #16106=VERTEX_POINT('',#52102); #16107=VERTEX_POINT('',#52106); #16108=VERTEX_POINT('',#52108); #16109=VERTEX_POINT('',#52115); #16110=VERTEX_POINT('',#52116); #16111=VERTEX_POINT('',#52118); #16112=VERTEX_POINT('',#52120); #16113=VERTEX_POINT('',#52124); #16114=VERTEX_POINT('',#52126); #16115=VERTEX_POINT('',#52130); #16116=VERTEX_POINT('',#52132); #16117=VERTEX_POINT('',#52136); #16118=VERTEX_POINT('',#52138); #16119=VERTEX_POINT('',#52142); #16120=VERTEX_POINT('',#52144); #16121=VERTEX_POINT('',#52148); #16122=VERTEX_POINT('',#52150); #16123=VERTEX_POINT('',#52154); #16124=VERTEX_POINT('',#52156); #16125=VERTEX_POINT('',#52163); #16126=VERTEX_POINT('',#52164); #16127=VERTEX_POINT('',#52166); #16128=VERTEX_POINT('',#52168); #16129=VERTEX_POINT('',#52172); #16130=VERTEX_POINT('',#52174); #16131=VERTEX_POINT('',#52178); #16132=VERTEX_POINT('',#52180); #16133=VERTEX_POINT('',#52184); #16134=VERTEX_POINT('',#52186); #16135=VERTEX_POINT('',#52190); #16136=VERTEX_POINT('',#52192); #16137=VERTEX_POINT('',#52196); #16138=VERTEX_POINT('',#52198); #16139=VERTEX_POINT('',#52202); #16140=VERTEX_POINT('',#52204); #16141=VERTEX_POINT('',#52211); #16142=VERTEX_POINT('',#52212); #16143=VERTEX_POINT('',#52214); #16144=VERTEX_POINT('',#52216); #16145=VERTEX_POINT('',#52220); #16146=VERTEX_POINT('',#52222); #16147=VERTEX_POINT('',#52226); #16148=VERTEX_POINT('',#52228); #16149=VERTEX_POINT('',#52232); #16150=VERTEX_POINT('',#52234); #16151=VERTEX_POINT('',#52238); #16152=VERTEX_POINT('',#52240); #16153=VERTEX_POINT('',#52244); #16154=VERTEX_POINT('',#52246); #16155=VERTEX_POINT('',#52250); #16156=VERTEX_POINT('',#52252); #16157=VERTEX_POINT('',#52259); #16158=VERTEX_POINT('',#52260); #16159=VERTEX_POINT('',#52262); #16160=VERTEX_POINT('',#52264); #16161=VERTEX_POINT('',#52268); #16162=VERTEX_POINT('',#52270); #16163=VERTEX_POINT('',#52274); #16164=VERTEX_POINT('',#52276); #16165=VERTEX_POINT('',#52280); #16166=VERTEX_POINT('',#52282); #16167=VERTEX_POINT('',#52286); #16168=VERTEX_POINT('',#52288); #16169=VERTEX_POINT('',#52292); #16170=VERTEX_POINT('',#52294); #16171=VERTEX_POINT('',#52298); #16172=VERTEX_POINT('',#52300); #16173=VERTEX_POINT('',#52307); #16174=VERTEX_POINT('',#52308); #16175=VERTEX_POINT('',#52310); #16176=VERTEX_POINT('',#52312); #16177=VERTEX_POINT('',#52316); #16178=VERTEX_POINT('',#52318); #16179=VERTEX_POINT('',#52322); #16180=VERTEX_POINT('',#52324); #16181=VERTEX_POINT('',#52328); #16182=VERTEX_POINT('',#52330); #16183=VERTEX_POINT('',#52334); #16184=VERTEX_POINT('',#52336); #16185=VERTEX_POINT('',#52340); #16186=VERTEX_POINT('',#52342); #16187=VERTEX_POINT('',#52346); #16188=VERTEX_POINT('',#52348); #16189=VERTEX_POINT('',#52355); #16190=VERTEX_POINT('',#52356); #16191=VERTEX_POINT('',#52358); #16192=VERTEX_POINT('',#52360); #16193=VERTEX_POINT('',#52364); #16194=VERTEX_POINT('',#52366); #16195=VERTEX_POINT('',#52370); #16196=VERTEX_POINT('',#52372); #16197=VERTEX_POINT('',#52376); #16198=VERTEX_POINT('',#52378); #16199=VERTEX_POINT('',#52382); #16200=VERTEX_POINT('',#52384); #16201=VERTEX_POINT('',#52388); #16202=VERTEX_POINT('',#52390); #16203=VERTEX_POINT('',#52394); #16204=VERTEX_POINT('',#52396); #16205=VERTEX_POINT('',#52403); #16206=VERTEX_POINT('',#52404); #16207=VERTEX_POINT('',#52406); #16208=VERTEX_POINT('',#52408); #16209=VERTEX_POINT('',#52412); #16210=VERTEX_POINT('',#52414); #16211=VERTEX_POINT('',#52418); #16212=VERTEX_POINT('',#52420); #16213=VERTEX_POINT('',#52424); #16214=VERTEX_POINT('',#52426); #16215=VERTEX_POINT('',#52430); #16216=VERTEX_POINT('',#52432); #16217=VERTEX_POINT('',#52436); #16218=VERTEX_POINT('',#52438); #16219=VERTEX_POINT('',#52442); #16220=VERTEX_POINT('',#52444); #16221=VERTEX_POINT('',#52451); #16222=VERTEX_POINT('',#52452); #16223=VERTEX_POINT('',#52454); #16224=VERTEX_POINT('',#52456); #16225=VERTEX_POINT('',#52460); #16226=VERTEX_POINT('',#52462); #16227=VERTEX_POINT('',#52466); #16228=VERTEX_POINT('',#52468); #16229=VERTEX_POINT('',#52472); #16230=VERTEX_POINT('',#52474); #16231=VERTEX_POINT('',#52478); #16232=VERTEX_POINT('',#52480); #16233=VERTEX_POINT('',#52484); #16234=VERTEX_POINT('',#52486); #16235=VERTEX_POINT('',#52490); #16236=VERTEX_POINT('',#52492); #16237=VERTEX_POINT('',#52499); #16238=VERTEX_POINT('',#52500); #16239=VERTEX_POINT('',#52502); #16240=VERTEX_POINT('',#52504); #16241=VERTEX_POINT('',#52508); #16242=VERTEX_POINT('',#52510); #16243=VERTEX_POINT('',#52514); #16244=VERTEX_POINT('',#52516); #16245=VERTEX_POINT('',#52520); #16246=VERTEX_POINT('',#52522); #16247=VERTEX_POINT('',#52526); #16248=VERTEX_POINT('',#52528); #16249=VERTEX_POINT('',#52532); #16250=VERTEX_POINT('',#52534); #16251=VERTEX_POINT('',#52538); #16252=VERTEX_POINT('',#52540); #16253=VERTEX_POINT('',#52544); #16254=VERTEX_POINT('',#52546); #16255=VERTEX_POINT('',#52550); #16256=VERTEX_POINT('',#52552); #16257=VERTEX_POINT('',#52556); #16258=VERTEX_POINT('',#52558); #16259=VERTEX_POINT('',#52562); #16260=VERTEX_POINT('',#52564); #16261=VERTEX_POINT('',#52568); #16262=VERTEX_POINT('',#52570); #16263=VERTEX_POINT('',#52574); #16264=VERTEX_POINT('',#52576); #16265=VERTEX_POINT('',#52580); #16266=VERTEX_POINT('',#52582); #16267=VERTEX_POINT('',#52586); #16268=VERTEX_POINT('',#52588); #16269=VERTEX_POINT('',#52592); #16270=VERTEX_POINT('',#52594); #16271=VERTEX_POINT('',#52598); #16272=VERTEX_POINT('',#52600); #16273=VERTEX_POINT('',#52609); #16274=VERTEX_POINT('',#52610); #16275=VERTEX_POINT('',#52612); #16276=VERTEX_POINT('',#52614); #16277=VERTEX_POINT('',#52618); #16278=VERTEX_POINT('',#52620); #16279=VERTEX_POINT('',#52624); #16280=VERTEX_POINT('',#52626); #16281=VERTEX_POINT('',#52630); #16282=VERTEX_POINT('',#52632); #16283=VERTEX_POINT('',#52636); #16284=VERTEX_POINT('',#52638); #16285=VERTEX_POINT('',#52642); #16286=VERTEX_POINT('',#52644); #16287=VERTEX_POINT('',#52648); #16288=VERTEX_POINT('',#52650); #16289=VERTEX_POINT('',#52657); #16290=VERTEX_POINT('',#52658); #16291=VERTEX_POINT('',#52660); #16292=VERTEX_POINT('',#52662); #16293=VERTEX_POINT('',#52666); #16294=VERTEX_POINT('',#52668); #16295=VERTEX_POINT('',#52672); #16296=VERTEX_POINT('',#52674); #16297=VERTEX_POINT('',#52678); #16298=VERTEX_POINT('',#52680); #16299=VERTEX_POINT('',#52684); #16300=VERTEX_POINT('',#52686); #16301=VERTEX_POINT('',#52690); #16302=VERTEX_POINT('',#52692); #16303=VERTEX_POINT('',#52696); #16304=VERTEX_POINT('',#52698); #16305=VERTEX_POINT('',#52705); #16306=VERTEX_POINT('',#52706); #16307=VERTEX_POINT('',#52708); #16308=VERTEX_POINT('',#52710); #16309=VERTEX_POINT('',#52714); #16310=VERTEX_POINT('',#52716); #16311=VERTEX_POINT('',#52720); #16312=VERTEX_POINT('',#52722); #16313=VERTEX_POINT('',#52726); #16314=VERTEX_POINT('',#52728); #16315=VERTEX_POINT('',#52732); #16316=VERTEX_POINT('',#52734); #16317=VERTEX_POINT('',#52738); #16318=VERTEX_POINT('',#52740); #16319=VERTEX_POINT('',#52744); #16320=VERTEX_POINT('',#52746); #16321=VERTEX_POINT('',#52753); #16322=VERTEX_POINT('',#52754); #16323=VERTEX_POINT('',#52756); #16324=VERTEX_POINT('',#52758); #16325=VERTEX_POINT('',#52762); #16326=VERTEX_POINT('',#52764); #16327=VERTEX_POINT('',#52768); #16328=VERTEX_POINT('',#52770); #16329=VERTEX_POINT('',#52774); #16330=VERTEX_POINT('',#52776); #16331=VERTEX_POINT('',#52780); #16332=VERTEX_POINT('',#52782); #16333=VERTEX_POINT('',#52786); #16334=VERTEX_POINT('',#52788); #16335=VERTEX_POINT('',#52792); #16336=VERTEX_POINT('',#52794); #16337=VERTEX_POINT('',#52801); #16338=VERTEX_POINT('',#52802); #16339=VERTEX_POINT('',#52804); #16340=VERTEX_POINT('',#52806); #16341=VERTEX_POINT('',#52810); #16342=VERTEX_POINT('',#52812); #16343=VERTEX_POINT('',#52816); #16344=VERTEX_POINT('',#52818); #16345=VERTEX_POINT('',#52822); #16346=VERTEX_POINT('',#52824); #16347=VERTEX_POINT('',#52828); #16348=VERTEX_POINT('',#52830); #16349=VERTEX_POINT('',#52834); #16350=VERTEX_POINT('',#52836); #16351=VERTEX_POINT('',#52840); #16352=VERTEX_POINT('',#52842); #16353=VERTEX_POINT('',#52849); #16354=VERTEX_POINT('',#52850); #16355=VERTEX_POINT('',#52852); #16356=VERTEX_POINT('',#52854); #16357=VERTEX_POINT('',#52858); #16358=VERTEX_POINT('',#52860); #16359=VERTEX_POINT('',#52864); #16360=VERTEX_POINT('',#52866); #16361=VERTEX_POINT('',#52870); #16362=VERTEX_POINT('',#52872); #16363=VERTEX_POINT('',#52876); #16364=VERTEX_POINT('',#52878); #16365=VERTEX_POINT('',#52882); #16366=VERTEX_POINT('',#52884); #16367=VERTEX_POINT('',#52888); #16368=VERTEX_POINT('',#52890); #16369=VERTEX_POINT('',#52897); #16370=VERTEX_POINT('',#52898); #16371=VERTEX_POINT('',#52900); #16372=VERTEX_POINT('',#52902); #16373=VERTEX_POINT('',#52906); #16374=VERTEX_POINT('',#52908); #16375=VERTEX_POINT('',#52912); #16376=VERTEX_POINT('',#52914); #16377=VERTEX_POINT('',#52918); #16378=VERTEX_POINT('',#52920); #16379=VERTEX_POINT('',#52924); #16380=VERTEX_POINT('',#52926); #16381=VERTEX_POINT('',#52930); #16382=VERTEX_POINT('',#52932); #16383=VERTEX_POINT('',#52936); #16384=VERTEX_POINT('',#52938); #16385=VERTEX_POINT('',#52945); #16386=VERTEX_POINT('',#52946); #16387=VERTEX_POINT('',#52948); #16388=VERTEX_POINT('',#52950); #16389=VERTEX_POINT('',#52954); #16390=VERTEX_POINT('',#52956); #16391=VERTEX_POINT('',#52960); #16392=VERTEX_POINT('',#52962); #16393=VERTEX_POINT('',#52966); #16394=VERTEX_POINT('',#52968); #16395=VERTEX_POINT('',#52972); #16396=VERTEX_POINT('',#52974); #16397=VERTEX_POINT('',#52978); #16398=VERTEX_POINT('',#52980); #16399=VERTEX_POINT('',#52984); #16400=VERTEX_POINT('',#52986); #16401=VERTEX_POINT('',#52993); #16402=VERTEX_POINT('',#52994); #16403=VERTEX_POINT('',#52996); #16404=VERTEX_POINT('',#52998); #16405=VERTEX_POINT('',#53002); #16406=VERTEX_POINT('',#53004); #16407=VERTEX_POINT('',#53008); #16408=VERTEX_POINT('',#53010); #16409=VERTEX_POINT('',#53014); #16410=VERTEX_POINT('',#53016); #16411=VERTEX_POINT('',#53020); #16412=VERTEX_POINT('',#53022); #16413=VERTEX_POINT('',#53026); #16414=VERTEX_POINT('',#53028); #16415=VERTEX_POINT('',#53032); #16416=VERTEX_POINT('',#53034); #16417=VERTEX_POINT('',#53041); #16418=VERTEX_POINT('',#53042); #16419=VERTEX_POINT('',#53044); #16420=VERTEX_POINT('',#53046); #16421=VERTEX_POINT('',#53050); #16422=VERTEX_POINT('',#53052); #16423=VERTEX_POINT('',#53056); #16424=VERTEX_POINT('',#53058); #16425=VERTEX_POINT('',#53062); #16426=VERTEX_POINT('',#53064); #16427=VERTEX_POINT('',#53068); #16428=VERTEX_POINT('',#53070); #16429=VERTEX_POINT('',#53074); #16430=VERTEX_POINT('',#53076); #16431=VERTEX_POINT('',#53080); #16432=VERTEX_POINT('',#53082); #16433=VERTEX_POINT('',#53089); #16434=VERTEX_POINT('',#53090); #16435=VERTEX_POINT('',#53092); #16436=VERTEX_POINT('',#53094); #16437=VERTEX_POINT('',#53098); #16438=VERTEX_POINT('',#53100); #16439=VERTEX_POINT('',#53104); #16440=VERTEX_POINT('',#53106); #16441=VERTEX_POINT('',#53110); #16442=VERTEX_POINT('',#53112); #16443=VERTEX_POINT('',#53116); #16444=VERTEX_POINT('',#53118); #16445=VERTEX_POINT('',#53122); #16446=VERTEX_POINT('',#53124); #16447=VERTEX_POINT('',#53128); #16448=VERTEX_POINT('',#53130); #16449=VERTEX_POINT('',#53137); #16450=VERTEX_POINT('',#53138); #16451=VERTEX_POINT('',#53140); #16452=VERTEX_POINT('',#53142); #16453=VERTEX_POINT('',#53146); #16454=VERTEX_POINT('',#53148); #16455=VERTEX_POINT('',#53152); #16456=VERTEX_POINT('',#53154); #16457=VERTEX_POINT('',#53158); #16458=VERTEX_POINT('',#53160); #16459=VERTEX_POINT('',#53164); #16460=VERTEX_POINT('',#53166); #16461=VERTEX_POINT('',#53170); #16462=VERTEX_POINT('',#53172); #16463=VERTEX_POINT('',#53176); #16464=VERTEX_POINT('',#53178); #16465=VERTEX_POINT('',#53185); #16466=VERTEX_POINT('',#53186); #16467=VERTEX_POINT('',#53188); #16468=VERTEX_POINT('',#53190); #16469=VERTEX_POINT('',#53194); #16470=VERTEX_POINT('',#53196); #16471=VERTEX_POINT('',#53200); #16472=VERTEX_POINT('',#53202); #16473=VERTEX_POINT('',#53206); #16474=VERTEX_POINT('',#53208); #16475=VERTEX_POINT('',#53212); #16476=VERTEX_POINT('',#53214); #16477=VERTEX_POINT('',#53218); #16478=VERTEX_POINT('',#53220); #16479=VERTEX_POINT('',#53224); #16480=VERTEX_POINT('',#53226); #16481=VERTEX_POINT('',#53233); #16482=VERTEX_POINT('',#53234); #16483=VERTEX_POINT('',#53236); #16484=VERTEX_POINT('',#53238); #16485=VERTEX_POINT('',#53242); #16486=VERTEX_POINT('',#53244); #16487=VERTEX_POINT('',#53248); #16488=VERTEX_POINT('',#53250); #16489=VERTEX_POINT('',#53254); #16490=VERTEX_POINT('',#53256); #16491=VERTEX_POINT('',#53260); #16492=VERTEX_POINT('',#53262); #16493=VERTEX_POINT('',#53266); #16494=VERTEX_POINT('',#53268); #16495=VERTEX_POINT('',#53272); #16496=VERTEX_POINT('',#53274); #16497=VERTEX_POINT('',#53281); #16498=VERTEX_POINT('',#53282); #16499=VERTEX_POINT('',#53284); #16500=VERTEX_POINT('',#53286); #16501=VERTEX_POINT('',#53290); #16502=VERTEX_POINT('',#53292); #16503=VERTEX_POINT('',#53296); #16504=VERTEX_POINT('',#53298); #16505=VERTEX_POINT('',#53302); #16506=VERTEX_POINT('',#53304); #16507=VERTEX_POINT('',#53308); #16508=VERTEX_POINT('',#53310); #16509=VERTEX_POINT('',#53314); #16510=VERTEX_POINT('',#53316); #16511=VERTEX_POINT('',#53320); #16512=VERTEX_POINT('',#53322); #16513=VERTEX_POINT('',#53329); #16514=VERTEX_POINT('',#53330); #16515=VERTEX_POINT('',#53332); #16516=VERTEX_POINT('',#53334); #16517=VERTEX_POINT('',#53338); #16518=VERTEX_POINT('',#53340); #16519=VERTEX_POINT('',#53344); #16520=VERTEX_POINT('',#53346); #16521=VERTEX_POINT('',#53350); #16522=VERTEX_POINT('',#53352); #16523=VERTEX_POINT('',#53356); #16524=VERTEX_POINT('',#53358); #16525=VERTEX_POINT('',#53362); #16526=VERTEX_POINT('',#53364); #16527=VERTEX_POINT('',#53368); #16528=VERTEX_POINT('',#53370); #16529=VERTEX_POINT('',#53377); #16530=VERTEX_POINT('',#53378); #16531=VERTEX_POINT('',#53380); #16532=VERTEX_POINT('',#53382); #16533=VERTEX_POINT('',#53386); #16534=VERTEX_POINT('',#53388); #16535=VERTEX_POINT('',#53392); #16536=VERTEX_POINT('',#53394); #16537=VERTEX_POINT('',#53398); #16538=VERTEX_POINT('',#53400); #16539=VERTEX_POINT('',#53404); #16540=VERTEX_POINT('',#53406); #16541=VERTEX_POINT('',#53410); #16542=VERTEX_POINT('',#53412); #16543=VERTEX_POINT('',#53416); #16544=VERTEX_POINT('',#53418); #16545=VERTEX_POINT('',#53425); #16546=VERTEX_POINT('',#53426); #16547=VERTEX_POINT('',#53428); #16548=VERTEX_POINT('',#53430); #16549=VERTEX_POINT('',#53434); #16550=VERTEX_POINT('',#53436); #16551=VERTEX_POINT('',#53440); #16552=VERTEX_POINT('',#53442); #16553=VERTEX_POINT('',#53446); #16554=VERTEX_POINT('',#53448); #16555=VERTEX_POINT('',#53452); #16556=VERTEX_POINT('',#53454); #16557=VERTEX_POINT('',#53458); #16558=VERTEX_POINT('',#53460); #16559=VERTEX_POINT('',#53464); #16560=VERTEX_POINT('',#53466); #16561=VERTEX_POINT('',#53473); #16562=VERTEX_POINT('',#53474); #16563=VERTEX_POINT('',#53476); #16564=VERTEX_POINT('',#53478); #16565=VERTEX_POINT('',#53482); #16566=VERTEX_POINT('',#53484); #16567=VERTEX_POINT('',#53488); #16568=VERTEX_POINT('',#53490); #16569=VERTEX_POINT('',#53494); #16570=VERTEX_POINT('',#53496); #16571=VERTEX_POINT('',#53500); #16572=VERTEX_POINT('',#53502); #16573=VERTEX_POINT('',#53506); #16574=VERTEX_POINT('',#53508); #16575=VERTEX_POINT('',#53512); #16576=VERTEX_POINT('',#53514); #16577=VERTEX_POINT('',#53518); #16578=VERTEX_POINT('',#53520); #16579=VERTEX_POINT('',#53524); #16580=VERTEX_POINT('',#53526); #16581=VERTEX_POINT('',#53530); #16582=VERTEX_POINT('',#53532); #16583=VERTEX_POINT('',#53536); #16584=VERTEX_POINT('',#53538); #16585=VERTEX_POINT('',#53542); #16586=VERTEX_POINT('',#53544); #16587=VERTEX_POINT('',#53548); #16588=VERTEX_POINT('',#53550); #16589=VERTEX_POINT('',#53554); #16590=VERTEX_POINT('',#53556); #16591=VERTEX_POINT('',#53560); #16592=VERTEX_POINT('',#53562); #16593=VERTEX_POINT('',#53566); #16594=VERTEX_POINT('',#53568); #16595=VERTEX_POINT('',#53572); #16596=VERTEX_POINT('',#53574); #16597=VERTEX_POINT('',#53590); #16598=VERTEX_POINT('',#53591); #16599=VERTEX_POINT('',#53593); #16600=VERTEX_POINT('',#53595); #16601=VERTEX_POINT('',#53599); #16602=VERTEX_POINT('',#53601); #16603=VERTEX_POINT('',#53605); #16604=VERTEX_POINT('',#53607); #16605=VERTEX_POINT('',#53611); #16606=VERTEX_POINT('',#53613); #16607=VERTEX_POINT('',#53617); #16608=VERTEX_POINT('',#53619); #16609=VERTEX_POINT('',#53623); #16610=VERTEX_POINT('',#53625); #16611=VERTEX_POINT('',#53629); #16612=VERTEX_POINT('',#53631); #16613=VERTEX_POINT('',#53638); #16614=VERTEX_POINT('',#53639); #16615=VERTEX_POINT('',#53641); #16616=VERTEX_POINT('',#53643); #16617=VERTEX_POINT('',#53647); #16618=VERTEX_POINT('',#53649); #16619=VERTEX_POINT('',#53653); #16620=VERTEX_POINT('',#53655); #16621=VERTEX_POINT('',#53662); #16622=VERTEX_POINT('',#53663); #16623=VERTEX_POINT('',#53665); #16624=VERTEX_POINT('',#53667); #16625=VERTEX_POINT('',#53671); #16626=VERTEX_POINT('',#53673); #16627=VERTEX_POINT('',#53677); #16628=VERTEX_POINT('',#53679); #16629=VERTEX_POINT('',#53683); #16630=VERTEX_POINT('',#53685); #16631=VERTEX_POINT('',#53689); #16632=VERTEX_POINT('',#53691); #16633=VERTEX_POINT('',#53695); #16634=VERTEX_POINT('',#53697); #16635=VERTEX_POINT('',#53701); #16636=VERTEX_POINT('',#53703); #16637=VERTEX_POINT('',#53710); #16638=VERTEX_POINT('',#53711); #16639=VERTEX_POINT('',#53713); #16640=VERTEX_POINT('',#53715); #16641=VERTEX_POINT('',#53719); #16642=VERTEX_POINT('',#53721); #16643=VERTEX_POINT('',#53725); #16644=VERTEX_POINT('',#53727); #16645=VERTEX_POINT('',#53734); #16646=VERTEX_POINT('',#53736); #16647=VERTEX_POINT('',#53740); #16648=VERTEX_POINT('',#53742); #16649=VERTEX_POINT('',#53746); #16650=VERTEX_POINT('',#53747); #16651=VERTEX_POINT('',#53749); #16652=VERTEX_POINT('',#53751); #16653=VERTEX_POINT('',#53755); #16654=VERTEX_POINT('',#53757); #16655=VERTEX_POINT('',#53761); #16656=VERTEX_POINT('',#53763); #16657=VERTEX_POINT('',#53770); #16658=VERTEX_POINT('',#53771); #16659=VERTEX_POINT('',#53773); #16660=VERTEX_POINT('',#53775); #16661=VERTEX_POINT('',#53779); #16662=VERTEX_POINT('',#53781); #16663=VERTEX_POINT('',#53785); #16664=VERTEX_POINT('',#53787); #16665=VERTEX_POINT('',#53794); #16666=VERTEX_POINT('',#53796); #16667=VERTEX_POINT('',#53800); #16668=VERTEX_POINT('',#53801); #16669=VERTEX_POINT('',#53803); #16670=VERTEX_POINT('',#53805); #16671=VERTEX_POINT('',#53809); #16672=VERTEX_POINT('',#53811); #16673=VERTEX_POINT('',#53815); #16674=VERTEX_POINT('',#53817); #16675=VERTEX_POINT('',#53821); #16676=VERTEX_POINT('',#53823); #16677=VERTEX_POINT('',#53827); #16678=VERTEX_POINT('',#53829); #16679=VERTEX_POINT('',#53833); #16680=VERTEX_POINT('',#53835); #16681=VERTEX_POINT('',#53839); #16682=VERTEX_POINT('',#53841); #16683=VERTEX_POINT('',#53848); #16684=VERTEX_POINT('',#53850); #16685=VERTEX_POINT('',#53854); #16686=VERTEX_POINT('',#53856); #16687=VERTEX_POINT('',#53860); #16688=VERTEX_POINT('',#53862); #16689=VERTEX_POINT('',#53866); #16690=VERTEX_POINT('',#53868); #16691=VERTEX_POINT('',#53872); #16692=VERTEX_POINT('',#53874); #16693=VERTEX_POINT('',#53878); #16694=VERTEX_POINT('',#53880); #16695=VERTEX_POINT('',#53884); #16696=VERTEX_POINT('',#53885); #16697=VERTEX_POINT('',#53887); #16698=VERTEX_POINT('',#53889); #16699=VERTEX_POINT('',#53893); #16700=VERTEX_POINT('',#53895); #16701=VERTEX_POINT('',#53899); #16702=VERTEX_POINT('',#53901); #16703=VERTEX_POINT('',#53908); #16704=VERTEX_POINT('',#53910); #16705=VERTEX_POINT('',#53914); #16706=VERTEX_POINT('',#53916); #16707=VERTEX_POINT('',#53920); #16708=VERTEX_POINT('',#53921); #16709=VERTEX_POINT('',#53923); #16710=VERTEX_POINT('',#53925); #16711=VERTEX_POINT('',#53929); #16712=VERTEX_POINT('',#53931); #16713=VERTEX_POINT('',#53935); #16714=VERTEX_POINT('',#53937); #16715=VERTEX_POINT('',#53944); #16716=VERTEX_POINT('',#53945); #16717=VERTEX_POINT('',#53947); #16718=VERTEX_POINT('',#53949); #16719=VERTEX_POINT('',#53953); #16720=VERTEX_POINT('',#53955); #16721=VERTEX_POINT('',#53959); #16722=VERTEX_POINT('',#53961); #16723=VERTEX_POINT('',#53968); #16724=VERTEX_POINT('',#53969); #16725=VERTEX_POINT('',#53971); #16726=VERTEX_POINT('',#53973); #16727=VERTEX_POINT('',#53977); #16728=VERTEX_POINT('',#53979); #16729=VERTEX_POINT('',#53983); #16730=VERTEX_POINT('',#53985); #16731=VERTEX_POINT('',#53989); #16732=VERTEX_POINT('',#53991); #16733=VERTEX_POINT('',#53995); #16734=VERTEX_POINT('',#53997); #16735=VERTEX_POINT('',#54001); #16736=VERTEX_POINT('',#54003); #16737=VERTEX_POINT('',#54007); #16738=VERTEX_POINT('',#54009); #16739=VERTEX_POINT('',#54016); #16740=VERTEX_POINT('',#54017); #16741=VERTEX_POINT('',#54019); #16742=VERTEX_POINT('',#54021); #16743=VERTEX_POINT('',#54025); #16744=VERTEX_POINT('',#54027); #16745=VERTEX_POINT('',#54031); #16746=VERTEX_POINT('',#54033); #16747=VERTEX_POINT('',#54037); #16748=VERTEX_POINT('',#54039); #16749=VERTEX_POINT('',#54043); #16750=VERTEX_POINT('',#54045); #16751=VERTEX_POINT('',#54049); #16752=VERTEX_POINT('',#54051); #16753=VERTEX_POINT('',#54055); #16754=VERTEX_POINT('',#54057); #16755=VERTEX_POINT('',#54064); #16756=VERTEX_POINT('',#54065); #16757=VERTEX_POINT('',#54067); #16758=VERTEX_POINT('',#54069); #16759=VERTEX_POINT('',#54073); #16760=VERTEX_POINT('',#54075); #16761=VERTEX_POINT('',#54079); #16762=VERTEX_POINT('',#54081); #16763=VERTEX_POINT('',#54085); #16764=VERTEX_POINT('',#54087); #16765=VERTEX_POINT('',#54091); #16766=VERTEX_POINT('',#54093); #16767=VERTEX_POINT('',#54097); #16768=VERTEX_POINT('',#54099); #16769=VERTEX_POINT('',#54103); #16770=VERTEX_POINT('',#54105); #16771=VERTEX_POINT('',#54112); #16772=VERTEX_POINT('',#54113); #16773=VERTEX_POINT('',#54115); #16774=VERTEX_POINT('',#54117); #16775=VERTEX_POINT('',#54121); #16776=VERTEX_POINT('',#54123); #16777=VERTEX_POINT('',#54127); #16778=VERTEX_POINT('',#54129); #16779=VERTEX_POINT('',#54133); #16780=VERTEX_POINT('',#54135); #16781=VERTEX_POINT('',#54139); #16782=VERTEX_POINT('',#54141); #16783=VERTEX_POINT('',#54145); #16784=VERTEX_POINT('',#54147); #16785=VERTEX_POINT('',#54151); #16786=VERTEX_POINT('',#54153); #16787=VERTEX_POINT('',#54160); #16788=VERTEX_POINT('',#54161); #16789=VERTEX_POINT('',#54163); #16790=VERTEX_POINT('',#54165); #16791=VERTEX_POINT('',#54169); #16792=VERTEX_POINT('',#54171); #16793=VERTEX_POINT('',#54175); #16794=VERTEX_POINT('',#54177); #16795=VERTEX_POINT('',#54181); #16796=VERTEX_POINT('',#54183); #16797=VERTEX_POINT('',#54187); #16798=VERTEX_POINT('',#54189); #16799=VERTEX_POINT('',#54193); #16800=VERTEX_POINT('',#54195); #16801=VERTEX_POINT('',#54199); #16802=VERTEX_POINT('',#54201); #16803=VERTEX_POINT('',#54208); #16804=VERTEX_POINT('',#54209); #16805=VERTEX_POINT('',#54211); #16806=VERTEX_POINT('',#54213); #16807=VERTEX_POINT('',#54217); #16808=VERTEX_POINT('',#54219); #16809=VERTEX_POINT('',#54223); #16810=VERTEX_POINT('',#54225); #16811=VERTEX_POINT('',#54229); #16812=VERTEX_POINT('',#54231); #16813=VERTEX_POINT('',#54235); #16814=VERTEX_POINT('',#54237); #16815=VERTEX_POINT('',#54241); #16816=VERTEX_POINT('',#54243); #16817=VERTEX_POINT('',#54247); #16818=VERTEX_POINT('',#54249); #16819=VERTEX_POINT('',#54256); #16820=VERTEX_POINT('',#54257); #16821=VERTEX_POINT('',#54259); #16822=VERTEX_POINT('',#54261); #16823=VERTEX_POINT('',#54265); #16824=VERTEX_POINT('',#54267); #16825=VERTEX_POINT('',#54271); #16826=VERTEX_POINT('',#54273); #16827=VERTEX_POINT('',#54277); #16828=VERTEX_POINT('',#54279); #16829=VERTEX_POINT('',#54283); #16830=VERTEX_POINT('',#54285); #16831=VERTEX_POINT('',#54289); #16832=VERTEX_POINT('',#54291); #16833=VERTEX_POINT('',#54295); #16834=VERTEX_POINT('',#54297); #16835=VERTEX_POINT('',#54304); #16836=VERTEX_POINT('',#54305); #16837=VERTEX_POINT('',#54307); #16838=VERTEX_POINT('',#54309); #16839=VERTEX_POINT('',#54313); #16840=VERTEX_POINT('',#54315); #16841=VERTEX_POINT('',#54319); #16842=VERTEX_POINT('',#54321); #16843=VERTEX_POINT('',#54325); #16844=VERTEX_POINT('',#54327); #16845=VERTEX_POINT('',#54331); #16846=VERTEX_POINT('',#54333); #16847=VERTEX_POINT('',#54337); #16848=VERTEX_POINT('',#54339); #16849=VERTEX_POINT('',#54343); #16850=VERTEX_POINT('',#54345); #16851=VERTEX_POINT('',#54352); #16852=VERTEX_POINT('',#54353); #16853=VERTEX_POINT('',#54355); #16854=VERTEX_POINT('',#54357); #16855=VERTEX_POINT('',#54361); #16856=VERTEX_POINT('',#54363); #16857=VERTEX_POINT('',#54367); #16858=VERTEX_POINT('',#54369); #16859=VERTEX_POINT('',#54373); #16860=VERTEX_POINT('',#54375); #16861=VERTEX_POINT('',#54379); #16862=VERTEX_POINT('',#54381); #16863=VERTEX_POINT('',#54385); #16864=VERTEX_POINT('',#54387); #16865=VERTEX_POINT('',#54391); #16866=VERTEX_POINT('',#54393); #16867=VERTEX_POINT('',#54400); #16868=VERTEX_POINT('',#54401); #16869=VERTEX_POINT('',#54403); #16870=VERTEX_POINT('',#54405); #16871=VERTEX_POINT('',#54409); #16872=VERTEX_POINT('',#54411); #16873=VERTEX_POINT('',#54415); #16874=VERTEX_POINT('',#54417); #16875=VERTEX_POINT('',#54421); #16876=VERTEX_POINT('',#54423); #16877=VERTEX_POINT('',#54427); #16878=VERTEX_POINT('',#54429); #16879=VERTEX_POINT('',#54433); #16880=VERTEX_POINT('',#54435); #16881=VERTEX_POINT('',#54439); #16882=VERTEX_POINT('',#54441); #16883=VERTEX_POINT('',#54448); #16884=VERTEX_POINT('',#54449); #16885=VERTEX_POINT('',#54451); #16886=VERTEX_POINT('',#54453); #16887=VERTEX_POINT('',#54457); #16888=VERTEX_POINT('',#54459); #16889=VERTEX_POINT('',#54463); #16890=VERTEX_POINT('',#54465); #16891=VERTEX_POINT('',#54469); #16892=VERTEX_POINT('',#54471); #16893=VERTEX_POINT('',#54475); #16894=VERTEX_POINT('',#54477); #16895=VERTEX_POINT('',#54481); #16896=VERTEX_POINT('',#54483); #16897=VERTEX_POINT('',#54487); #16898=VERTEX_POINT('',#54489); #16899=VERTEX_POINT('',#54496); #16900=VERTEX_POINT('',#54497); #16901=VERTEX_POINT('',#54499); #16902=VERTEX_POINT('',#54501); #16903=VERTEX_POINT('',#54505); #16904=VERTEX_POINT('',#54507); #16905=VERTEX_POINT('',#54511); #16906=VERTEX_POINT('',#54513); #16907=VERTEX_POINT('',#54517); #16908=VERTEX_POINT('',#54519); #16909=VERTEX_POINT('',#54523); #16910=VERTEX_POINT('',#54525); #16911=VERTEX_POINT('',#54529); #16912=VERTEX_POINT('',#54531); #16913=VERTEX_POINT('',#54535); #16914=VERTEX_POINT('',#54537); #16915=VERTEX_POINT('',#54544); #16916=VERTEX_POINT('',#54545); #16917=VERTEX_POINT('',#54547); #16918=VERTEX_POINT('',#54549); #16919=VERTEX_POINT('',#54553); #16920=VERTEX_POINT('',#54555); #16921=VERTEX_POINT('',#54559); #16922=VERTEX_POINT('',#54561); #16923=VERTEX_POINT('',#54568); #16924=VERTEX_POINT('',#54569); #16925=VERTEX_POINT('',#54571); #16926=VERTEX_POINT('',#54573); #16927=VERTEX_POINT('',#54577); #16928=VERTEX_POINT('',#54579); #16929=VERTEX_POINT('',#54583); #16930=VERTEX_POINT('',#54585); #16931=VERTEX_POINT('',#54589); #16932=VERTEX_POINT('',#54591); #16933=VERTEX_POINT('',#54595); #16934=VERTEX_POINT('',#54597); #16935=VERTEX_POINT('',#54601); #16936=VERTEX_POINT('',#54603); #16937=VERTEX_POINT('',#54607); #16938=VERTEX_POINT('',#54609); #16939=VERTEX_POINT('',#54616); #16940=VERTEX_POINT('',#54617); #16941=VERTEX_POINT('',#54619); #16942=VERTEX_POINT('',#54621); #16943=VERTEX_POINT('',#54625); #16944=VERTEX_POINT('',#54627); #16945=VERTEX_POINT('',#54631); #16946=VERTEX_POINT('',#54633); #16947=VERTEX_POINT('',#54637); #16948=VERTEX_POINT('',#54639); #16949=VERTEX_POINT('',#54643); #16950=VERTEX_POINT('',#54645); #16951=VERTEX_POINT('',#54649); #16952=VERTEX_POINT('',#54651); #16953=VERTEX_POINT('',#54655); #16954=VERTEX_POINT('',#54657); #16955=VERTEX_POINT('',#54664); #16956=VERTEX_POINT('',#54665); #16957=VERTEX_POINT('',#54667); #16958=VERTEX_POINT('',#54669); #16959=VERTEX_POINT('',#54673); #16960=VERTEX_POINT('',#54675); #16961=VERTEX_POINT('',#54679); #16962=VERTEX_POINT('',#54681); #16963=VERTEX_POINT('',#54685); #16964=VERTEX_POINT('',#54687); #16965=VERTEX_POINT('',#54691); #16966=VERTEX_POINT('',#54693); #16967=VERTEX_POINT('',#54697); #16968=VERTEX_POINT('',#54699); #16969=VERTEX_POINT('',#54703); #16970=VERTEX_POINT('',#54705); #16971=VERTEX_POINT('',#54712); #16972=VERTEX_POINT('',#54713); #16973=VERTEX_POINT('',#54715); #16974=VERTEX_POINT('',#54717); #16975=VERTEX_POINT('',#54721); #16976=VERTEX_POINT('',#54723); #16977=VERTEX_POINT('',#54727); #16978=VERTEX_POINT('',#54729); #16979=VERTEX_POINT('',#54736); #16980=VERTEX_POINT('',#54737); #16981=VERTEX_POINT('',#54739); #16982=VERTEX_POINT('',#54741); #16983=VERTEX_POINT('',#54745); #16984=VERTEX_POINT('',#54747); #16985=VERTEX_POINT('',#54751); #16986=VERTEX_POINT('',#54753); #16987=VERTEX_POINT('',#54760); #16988=VERTEX_POINT('',#54761); #16989=VERTEX_POINT('',#54763); #16990=VERTEX_POINT('',#54765); #16991=VERTEX_POINT('',#54769); #16992=VERTEX_POINT('',#54771); #16993=VERTEX_POINT('',#54775); #16994=VERTEX_POINT('',#54777); #16995=VERTEX_POINT('',#54784); #16996=VERTEX_POINT('',#54785); #16997=VERTEX_POINT('',#54787); #16998=VERTEX_POINT('',#54789); #16999=VERTEX_POINT('',#54793); #17000=VERTEX_POINT('',#54795); #17001=VERTEX_POINT('',#54799); #17002=VERTEX_POINT('',#54801); #17003=VERTEX_POINT('',#54808); #17004=VERTEX_POINT('',#54809); #17005=VERTEX_POINT('',#54811); #17006=VERTEX_POINT('',#54813); #17007=VERTEX_POINT('',#54817); #17008=VERTEX_POINT('',#54819); #17009=VERTEX_POINT('',#54823); #17010=VERTEX_POINT('',#54825); #17011=VERTEX_POINT('',#54829); #17012=VERTEX_POINT('',#54831); #17013=VERTEX_POINT('',#54835); #17014=VERTEX_POINT('',#54837); #17015=VERTEX_POINT('',#54841); #17016=VERTEX_POINT('',#54843); #17017=VERTEX_POINT('',#54847); #17018=VERTEX_POINT('',#54849); #17019=VERTEX_POINT('',#54856); #17020=VERTEX_POINT('',#54858); #17021=VERTEX_POINT('',#54862); #17022=VERTEX_POINT('',#54863); #17023=VERTEX_POINT('',#54865); #17024=VERTEX_POINT('',#54867); #17025=VERTEX_POINT('',#54871); #17026=VERTEX_POINT('',#54873); #17027=VERTEX_POINT('',#54877); #17028=VERTEX_POINT('',#54879); #17029=VERTEX_POINT('',#54883); #17030=VERTEX_POINT('',#54885); #17031=VERTEX_POINT('',#54889); #17032=VERTEX_POINT('',#54891); #17033=VERTEX_POINT('',#54895); #17034=VERTEX_POINT('',#54897); #17035=VERTEX_POINT('',#54901); #17036=VERTEX_POINT('',#54903); #17037=VERTEX_POINT('',#54910); #17038=VERTEX_POINT('',#54911); #17039=VERTEX_POINT('',#54913); #17040=VERTEX_POINT('',#54915); #17041=VERTEX_POINT('',#54919); #17042=VERTEX_POINT('',#54921); #17043=VERTEX_POINT('',#54925); #17044=VERTEX_POINT('',#54927); #17045=VERTEX_POINT('',#54934); #17046=VERTEX_POINT('',#54935); #17047=VERTEX_POINT('',#54937); #17048=VERTEX_POINT('',#54939); #17049=VERTEX_POINT('',#54943); #17050=VERTEX_POINT('',#54945); #17051=VERTEX_POINT('',#54949); #17052=VERTEX_POINT('',#54951); #17053=VERTEX_POINT('',#54958); #17054=VERTEX_POINT('',#54960); #17055=VERTEX_POINT('',#54964); #17056=VERTEX_POINT('',#54965); #17057=VERTEX_POINT('',#54967); #17058=VERTEX_POINT('',#54969); #17059=VERTEX_POINT('',#54973); #17060=VERTEX_POINT('',#54975); #17061=VERTEX_POINT('',#54979); #17062=VERTEX_POINT('',#54981); #17063=VERTEX_POINT('',#54988); #17064=VERTEX_POINT('',#54990); #17065=VERTEX_POINT('',#54994); #17066=VERTEX_POINT('',#54995); #17067=VERTEX_POINT('',#54997); #17068=VERTEX_POINT('',#54999); #17069=VERTEX_POINT('',#55003); #17070=VERTEX_POINT('',#55005); #17071=VERTEX_POINT('',#55009); #17072=VERTEX_POINT('',#55011); #17073=VERTEX_POINT('',#55018); #17074=VERTEX_POINT('',#55019); #17075=VERTEX_POINT('',#55021); #17076=VERTEX_POINT('',#55023); #17077=VERTEX_POINT('',#55027); #17078=VERTEX_POINT('',#55029); #17079=VERTEX_POINT('',#55033); #17080=VERTEX_POINT('',#55035); #17081=VERTEX_POINT('',#55039); #17082=VERTEX_POINT('',#55041); #17083=VERTEX_POINT('',#55045); #17084=VERTEX_POINT('',#55047); #17085=VERTEX_POINT('',#55051); #17086=VERTEX_POINT('',#55053); #17087=VERTEX_POINT('',#55057); #17088=VERTEX_POINT('',#55059); #17089=VERTEX_POINT('',#55070); #17090=VERTEX_POINT('',#55071); #17091=VERTEX_POINT('',#55088); #17092=VERTEX_POINT('',#55090); #17093=VERTEX_POINT('',#55111); #17094=VERTEX_POINT('',#55118); #17095=VERTEX_POINT('',#55125); #17096=VERTEX_POINT('',#55127); #17097=VERTEX_POINT('',#55129); #17098=VERTEX_POINT('',#55131); #17099=VERTEX_POINT('',#55135); #17100=VERTEX_POINT('',#55136); #17101=VERTEX_POINT('',#55138); #17102=VERTEX_POINT('',#55142); #17103=VERTEX_POINT('',#55143); #17104=VERTEX_POINT('',#55148); #17105=VERTEX_POINT('',#55149); #17106=VERTEX_POINT('',#55154); #17107=VERTEX_POINT('',#55155); #17108=VERTEX_POINT('',#55157); #17109=VERTEX_POINT('',#55161); #17110=VERTEX_POINT('',#55169); #17111=VERTEX_POINT('',#55170); #17112=VERTEX_POINT('',#55175); #17113=VERTEX_POINT('',#55178); #17114=VERTEX_POINT('',#55188); #17115=VERTEX_POINT('',#55192); #17116=VERTEX_POINT('',#55194); #17117=VERTEX_POINT('',#55196); #17118=VERTEX_POINT('',#55203); #17119=VERTEX_POINT('',#55209); #17120=VERTEX_POINT('',#55211); #17121=VERTEX_POINT('',#55213); #17122=VERTEX_POINT('',#55215); #17123=VERTEX_POINT('',#55217); #17124=VERTEX_POINT('',#55221); #17125=VERTEX_POINT('',#55222); #17126=VERTEX_POINT('',#55224); #17127=VERTEX_POINT('',#55231); #17128=VERTEX_POINT('',#55232); #17129=VERTEX_POINT('',#55234); #17130=VERTEX_POINT('',#55241); #17131=VERTEX_POINT('',#55242); #17132=VERTEX_POINT('',#55250); #17133=VERTEX_POINT('',#55251); #17134=VERTEX_POINT('',#55256); #17135=VERTEX_POINT('',#55258); #17136=VERTEX_POINT('',#55267); #17137=VERTEX_POINT('',#55271); #17138=VERTEX_POINT('',#55275); #17139=VERTEX_POINT('',#55277); #17140=VERTEX_POINT('',#55279); #17141=VERTEX_POINT('',#55289); #17142=VERTEX_POINT('',#55293); #17143=VERTEX_POINT('',#55301); #17144=VERTEX_POINT('',#55305); #17145=VERTEX_POINT('',#55311); #17146=VERTEX_POINT('',#55313); #17147=VERTEX_POINT('',#55317); #17148=VERTEX_POINT('',#55319); #17149=VERTEX_POINT('',#55323); #17150=VERTEX_POINT('',#55325); #17151=VERTEX_POINT('',#55329); #17152=VERTEX_POINT('',#55331); #17153=VERTEX_POINT('',#55335); #17154=VERTEX_POINT('',#55337); #17155=VERTEX_POINT('',#55341); #17156=VERTEX_POINT('',#55343); #17157=VERTEX_POINT('',#55347); #17158=VERTEX_POINT('',#55348); #17159=VERTEX_POINT('',#55350); #17160=VERTEX_POINT('',#55352); #17161=VERTEX_POINT('',#55356); #17162=VERTEX_POINT('',#55358); #17163=VERTEX_POINT('',#55362); #17164=VERTEX_POINT('',#55364); #17165=VERTEX_POINT('',#55368); #17166=VERTEX_POINT('',#55370); #17167=VERTEX_POINT('',#55374); #17168=VERTEX_POINT('',#55376); #17169=VERTEX_POINT('',#55380); #17170=VERTEX_POINT('',#55382); #17171=VERTEX_POINT('',#55386); #17172=VERTEX_POINT('',#55388); #17173=VERTEX_POINT('',#55392); #17174=VERTEX_POINT('',#55394); #17175=VERTEX_POINT('',#55398); #17176=VERTEX_POINT('',#55400); #17177=VERTEX_POINT('',#55404); #17178=VERTEX_POINT('',#55406); #17179=VERTEX_POINT('',#55410); #17180=VERTEX_POINT('',#55412); #17181=VERTEX_POINT('',#55422); #17182=VERTEX_POINT('',#55423); #17183=VERTEX_POINT('',#55425); #17184=VERTEX_POINT('',#55427); #17185=VERTEX_POINT('',#55429); #17186=VERTEX_POINT('',#55431); #17187=VERTEX_POINT('',#55433); #17188=VERTEX_POINT('',#55435); #17189=VERTEX_POINT('',#55437); #17190=VERTEX_POINT('',#55439); #17191=VERTEX_POINT('',#55441); #17192=VERTEX_POINT('',#55443); #17193=VERTEX_POINT('',#55445); #17194=VERTEX_POINT('',#55447); #17195=VERTEX_POINT('',#55449); #17196=VERTEX_POINT('',#55451); #17197=VERTEX_POINT('',#55453); #17198=VERTEX_POINT('',#55455); #17199=VERTEX_POINT('',#55457); #17200=VERTEX_POINT('',#55459); #17201=VERTEX_POINT('',#55461); #17202=VERTEX_POINT('',#55463); #17203=VERTEX_POINT('',#55465); #17204=VERTEX_POINT('',#55467); #17205=VERTEX_POINT('',#55469); #17206=VERTEX_POINT('',#55471); #17207=VERTEX_POINT('',#55473); #17208=VERTEX_POINT('',#55475); #17209=VERTEX_POINT('',#55477); #17210=VERTEX_POINT('',#55479); #17211=VERTEX_POINT('',#55481); #17212=VERTEX_POINT('',#55483); #17213=VERTEX_POINT('',#55485); #17214=VERTEX_POINT('',#55487); #17215=VERTEX_POINT('',#55489); #17216=VERTEX_POINT('',#55491); #17217=VERTEX_POINT('',#55493); #17218=VERTEX_POINT('',#55495); #17219=VERTEX_POINT('',#55497); #17220=VERTEX_POINT('',#55499); #17221=VERTEX_POINT('',#55501); #17222=VERTEX_POINT('',#55503); #17223=VERTEX_POINT('',#55505); #17224=VERTEX_POINT('',#55507); #17225=VERTEX_POINT('',#55509); #17226=VERTEX_POINT('',#55511); #17227=VERTEX_POINT('',#55513); #17228=VERTEX_POINT('',#55515); #17229=VERTEX_POINT('',#55517); #17230=VERTEX_POINT('',#55519); #17231=VERTEX_POINT('',#55521); #17232=VERTEX_POINT('',#55523); #17233=VERTEX_POINT('',#55525); #17234=VERTEX_POINT('',#55527); #17235=VERTEX_POINT('',#55529); #17236=VERTEX_POINT('',#55531); #17237=VERTEX_POINT('',#55533); #17238=VERTEX_POINT('',#55535); #17239=VERTEX_POINT('',#55537); #17240=VERTEX_POINT('',#55539); #17241=VERTEX_POINT('',#55541); #17242=VERTEX_POINT('',#55543); #17243=VERTEX_POINT('',#55545); #17244=VERTEX_POINT('',#55547); #17245=VERTEX_POINT('',#55549); #17246=VERTEX_POINT('',#55551); #17247=VERTEX_POINT('',#55553); #17248=VERTEX_POINT('',#55555); #17249=VERTEX_POINT('',#55557); #17250=VERTEX_POINT('',#55559); #17251=VERTEX_POINT('',#55561); #17252=VERTEX_POINT('',#55563); #17253=VERTEX_POINT('',#55565); #17254=VERTEX_POINT('',#55567); #17255=VERTEX_POINT('',#55569); #17256=VERTEX_POINT('',#55571); #17257=VERTEX_POINT('',#55573); #17258=VERTEX_POINT('',#55575); #17259=VERTEX_POINT('',#55577); #17260=VERTEX_POINT('',#55579); #17261=VERTEX_POINT('',#55581); #17262=VERTEX_POINT('',#55583); #17263=VERTEX_POINT('',#55585); #17264=VERTEX_POINT('',#55587); #17265=VERTEX_POINT('',#55589); #17266=VERTEX_POINT('',#55591); #17267=VERTEX_POINT('',#55593); #17268=VERTEX_POINT('',#55595); #17269=VERTEX_POINT('',#55597); #17270=VERTEX_POINT('',#55599); #17271=VERTEX_POINT('',#55601); #17272=VERTEX_POINT('',#55603); #17273=VERTEX_POINT('',#55605); #17274=VERTEX_POINT('',#55607); #17275=VERTEX_POINT('',#55609); #17276=VERTEX_POINT('',#55611); #17277=VERTEX_POINT('',#55613); #17278=VERTEX_POINT('',#55615); #17279=VERTEX_POINT('',#55617); #17280=VERTEX_POINT('',#55619); #17281=VERTEX_POINT('',#55621); #17282=VERTEX_POINT('',#55623); #17283=VERTEX_POINT('',#55625); #17284=VERTEX_POINT('',#55627); #17285=VERTEX_POINT('',#55629); #17286=VERTEX_POINT('',#55631); #17287=VERTEX_POINT('',#55633); #17288=VERTEX_POINT('',#55635); #17289=VERTEX_POINT('',#55637); #17290=VERTEX_POINT('',#55639); #17291=VERTEX_POINT('',#55641); #17292=VERTEX_POINT('',#55643); #17293=VERTEX_POINT('',#55645); #17294=VERTEX_POINT('',#55647); #17295=VERTEX_POINT('',#55649); #17296=VERTEX_POINT('',#55651); #17297=VERTEX_POINT('',#55653); #17298=VERTEX_POINT('',#55655); #17299=VERTEX_POINT('',#55657); #17300=VERTEX_POINT('',#55659); #17301=VERTEX_POINT('',#55661); #17302=VERTEX_POINT('',#55663); #17303=VERTEX_POINT('',#55665); #17304=VERTEX_POINT('',#55667); #17305=VERTEX_POINT('',#55669); #17306=VERTEX_POINT('',#55671); #17307=VERTEX_POINT('',#55673); #17308=VERTEX_POINT('',#55675); #17309=VERTEX_POINT('',#55679); #17310=VERTEX_POINT('',#55681); #17311=VERTEX_POINT('',#55685); #17312=VERTEX_POINT('',#55689); #17313=VERTEX_POINT('',#55693); #17314=VERTEX_POINT('',#55697); #17315=VERTEX_POINT('',#55701); #17316=VERTEX_POINT('',#55705); #17317=VERTEX_POINT('',#55709); #17318=VERTEX_POINT('',#55713); #17319=VERTEX_POINT('',#55717); #17320=VERTEX_POINT('',#55721); #17321=VERTEX_POINT('',#55725); #17322=VERTEX_POINT('',#55729); #17323=VERTEX_POINT('',#55733); #17324=VERTEX_POINT('',#55737); #17325=VERTEX_POINT('',#55741); #17326=VERTEX_POINT('',#55745); #17327=VERTEX_POINT('',#55749); #17328=VERTEX_POINT('',#55753); #17329=VERTEX_POINT('',#55757); #17330=VERTEX_POINT('',#55761); #17331=VERTEX_POINT('',#55765); #17332=VERTEX_POINT('',#55769); #17333=VERTEX_POINT('',#55773); #17334=VERTEX_POINT('',#55777); #17335=VERTEX_POINT('',#55781); #17336=VERTEX_POINT('',#55785); #17337=VERTEX_POINT('',#55789); #17338=VERTEX_POINT('',#55793); #17339=VERTEX_POINT('',#55797); #17340=VERTEX_POINT('',#55801); #17341=VERTEX_POINT('',#55805); #17342=VERTEX_POINT('',#55809); #17343=VERTEX_POINT('',#55813); #17344=VERTEX_POINT('',#55817); #17345=VERTEX_POINT('',#55821); #17346=VERTEX_POINT('',#55825); #17347=VERTEX_POINT('',#55829); #17348=VERTEX_POINT('',#55833); #17349=VERTEX_POINT('',#55837); #17350=VERTEX_POINT('',#55841); #17351=VERTEX_POINT('',#55845); #17352=VERTEX_POINT('',#55849); #17353=VERTEX_POINT('',#55853); #17354=VERTEX_POINT('',#55857); #17355=VERTEX_POINT('',#55861); #17356=VERTEX_POINT('',#55865); #17357=VERTEX_POINT('',#55869); #17358=VERTEX_POINT('',#55873); #17359=VERTEX_POINT('',#55877); #17360=VERTEX_POINT('',#55881); #17361=VERTEX_POINT('',#55885); #17362=VERTEX_POINT('',#55889); #17363=VERTEX_POINT('',#55893); #17364=VERTEX_POINT('',#55897); #17365=VERTEX_POINT('',#55901); #17366=VERTEX_POINT('',#55905); #17367=VERTEX_POINT('',#55909); #17368=VERTEX_POINT('',#55913); #17369=VERTEX_POINT('',#55917); #17370=VERTEX_POINT('',#55921); #17371=VERTEX_POINT('',#55925); #17372=VERTEX_POINT('',#55929); #17373=VERTEX_POINT('',#55933); #17374=VERTEX_POINT('',#55937); #17375=VERTEX_POINT('',#55941); #17376=VERTEX_POINT('',#55945); #17377=VERTEX_POINT('',#55949); #17378=VERTEX_POINT('',#55953); #17379=VERTEX_POINT('',#55957); #17380=VERTEX_POINT('',#55961); #17381=VERTEX_POINT('',#55965); #17382=VERTEX_POINT('',#55969); #17383=VERTEX_POINT('',#55973); #17384=VERTEX_POINT('',#55977); #17385=VERTEX_POINT('',#55981); #17386=VERTEX_POINT('',#55985); #17387=VERTEX_POINT('',#55989); #17388=VERTEX_POINT('',#55993); #17389=VERTEX_POINT('',#55997); #17390=VERTEX_POINT('',#56001); #17391=VERTEX_POINT('',#56005); #17392=VERTEX_POINT('',#56009); #17393=VERTEX_POINT('',#56013); #17394=VERTEX_POINT('',#56017); #17395=VERTEX_POINT('',#56021); #17396=VERTEX_POINT('',#56025); #17397=VERTEX_POINT('',#56029); #17398=VERTEX_POINT('',#56033); #17399=VERTEX_POINT('',#56037); #17400=VERTEX_POINT('',#56041); #17401=VERTEX_POINT('',#56045); #17402=VERTEX_POINT('',#56049); #17403=VERTEX_POINT('',#56053); #17404=VERTEX_POINT('',#56057); #17405=VERTEX_POINT('',#56061); #17406=VERTEX_POINT('',#56065); #17407=VERTEX_POINT('',#56069); #17408=VERTEX_POINT('',#56073); #17409=VERTEX_POINT('',#56077); #17410=VERTEX_POINT('',#56081); #17411=VERTEX_POINT('',#56085); #17412=VERTEX_POINT('',#56089); #17413=VERTEX_POINT('',#56093); #17414=VERTEX_POINT('',#56097); #17415=VERTEX_POINT('',#56101); #17416=VERTEX_POINT('',#56105); #17417=VERTEX_POINT('',#56109); #17418=VERTEX_POINT('',#56113); #17419=VERTEX_POINT('',#56117); #17420=VERTEX_POINT('',#56121); #17421=VERTEX_POINT('',#56125); #17422=VERTEX_POINT('',#56129); #17423=VERTEX_POINT('',#56133); #17424=VERTEX_POINT('',#56137); #17425=VERTEX_POINT('',#56141); #17426=VERTEX_POINT('',#56145); #17427=VERTEX_POINT('',#56149); #17428=VERTEX_POINT('',#56153); #17429=VERTEX_POINT('',#56157); #17430=VERTEX_POINT('',#56161); #17431=VERTEX_POINT('',#56163); #17432=VERTEX_POINT('',#56167); #17433=VERTEX_POINT('',#56171); #17434=VERTEX_POINT('',#56177); #17435=VERTEX_POINT('',#56178); #17436=VERTEX_POINT('',#56181); #17437=VERTEX_POINT('',#56185); #17438=VERTEX_POINT('',#56187); #17439=VERTEX_POINT('',#56189); #17440=VERTEX_POINT('',#56191); #17441=VERTEX_POINT('',#56193); #17442=VERTEX_POINT('',#56195); #17443=VERTEX_POINT('',#56198); #17444=VERTEX_POINT('',#56200); #17445=VERTEX_POINT('',#56202); #17446=VERTEX_POINT('',#56204); #17447=VERTEX_POINT('',#56207); #17448=VERTEX_POINT('',#56211); #17449=VERTEX_POINT('',#56213); #17450=VERTEX_POINT('',#56217); #17451=VERTEX_POINT('',#56219); #17452=VERTEX_POINT('',#56223); #17453=VERTEX_POINT('',#56225); #17454=VERTEX_POINT('',#56229); #17455=VERTEX_POINT('',#56233); #17456=VERTEX_POINT('',#56235); #17457=VERTEX_POINT('',#56239); #17458=VERTEX_POINT('',#56243); #17459=VERTEX_POINT('',#56245); #17460=VERTEX_POINT('',#56249); #17461=VERTEX_POINT('',#56253); #17462=VERTEX_POINT('',#56257); #17463=VERTEX_POINT('',#56258); #17464=VERTEX_POINT('',#56263); #17465=VERTEX_POINT('',#56267); #17466=VERTEX_POINT('',#56269); #17467=VERTEX_POINT('',#56273); #17468=VERTEX_POINT('',#56275); #17469=VERTEX_POINT('',#56279); #17470=VERTEX_POINT('',#56281); #17471=VERTEX_POINT('',#56285); #17472=VERTEX_POINT('',#56287); #17473=VERTEX_POINT('',#56291); #17474=VERTEX_POINT('',#56293); #17475=VERTEX_POINT('',#56300); #17476=VERTEX_POINT('',#56304); #17477=VERTEX_POINT('',#56314); #17478=VERTEX_POINT('',#56316); #17479=VERTEX_POINT('',#56320); #17480=VERTEX_POINT('',#56321); #17481=VERTEX_POINT('',#56323); #17482=VERTEX_POINT('',#56325); #17483=VERTEX_POINT('',#56329); #17484=VERTEX_POINT('',#56331); #17485=VERTEX_POINT('',#56335); #17486=VERTEX_POINT('',#56337); #17487=VERTEX_POINT('',#56341); #17488=VERTEX_POINT('',#56343); #17489=VERTEX_POINT('',#56347); #17490=VERTEX_POINT('',#56349); #17491=VERTEX_POINT('',#56353); #17492=VERTEX_POINT('',#56355); #17493=VERTEX_POINT('',#56359); #17494=VERTEX_POINT('',#56361); #17495=VERTEX_POINT('',#56365); #17496=VERTEX_POINT('',#56367); #17497=VERTEX_POINT('',#56371); #17498=VERTEX_POINT('',#56373); #17499=VERTEX_POINT('',#56377); #17500=VERTEX_POINT('',#56379); #17501=VERTEX_POINT('',#56383); #17502=VERTEX_POINT('',#56385); #17503=VERTEX_POINT('',#56394); #17504=VERTEX_POINT('',#56395); #17505=VERTEX_POINT('',#56397); #17506=VERTEX_POINT('',#56399); #17507=VERTEX_POINT('',#56403); #17508=VERTEX_POINT('',#56405); #17509=VERTEX_POINT('',#56409); #17510=VERTEX_POINT('',#56411); #17511=VERTEX_POINT('',#56418); #17512=VERTEX_POINT('',#56420); #17513=VERTEX_POINT('',#56424); #17514=VERTEX_POINT('',#56426); #17515=VERTEX_POINT('',#56430); #17516=VERTEX_POINT('',#56431); #17517=VERTEX_POINT('',#56433); #17518=VERTEX_POINT('',#56435); #17519=VERTEX_POINT('',#56439); #17520=VERTEX_POINT('',#56441); #17521=VERTEX_POINT('',#56445); #17522=VERTEX_POINT('',#56447); #17523=VERTEX_POINT('',#56451); #17524=VERTEX_POINT('',#56453); #17525=VERTEX_POINT('',#56457); #17526=VERTEX_POINT('',#56459); #17527=VERTEX_POINT('',#56463); #17528=VERTEX_POINT('',#56465); #17529=VERTEX_POINT('',#56469); #17530=VERTEX_POINT('',#56471); #17531=VERTEX_POINT('',#56475); #17532=VERTEX_POINT('',#56477); #17533=VERTEX_POINT('',#56481); #17534=VERTEX_POINT('',#56483); #17535=VERTEX_POINT('',#56487); #17536=VERTEX_POINT('',#56489); #17537=VERTEX_POINT('',#56493); #17538=VERTEX_POINT('',#56495); #17539=VERTEX_POINT('',#56504); #17540=VERTEX_POINT('',#56506); #17541=VERTEX_POINT('',#56510); #17542=VERTEX_POINT('',#56511); #17543=VERTEX_POINT('',#56513); #17544=VERTEX_POINT('',#56515); #17545=VERTEX_POINT('',#56519); #17546=VERTEX_POINT('',#56521); #17547=VERTEX_POINT('',#56525); #17548=VERTEX_POINT('',#56527); #17549=VERTEX_POINT('',#56531); #17550=VERTEX_POINT('',#56533); #17551=VERTEX_POINT('',#56537); #17552=VERTEX_POINT('',#56539); #17553=VERTEX_POINT('',#56543); #17554=VERTEX_POINT('',#56545); #17555=VERTEX_POINT('',#56549); #17556=VERTEX_POINT('',#56551); #17557=VERTEX_POINT('',#56555); #17558=VERTEX_POINT('',#56557); #17559=VERTEX_POINT('',#56561); #17560=VERTEX_POINT('',#56563); #17561=VERTEX_POINT('',#56567); #17562=VERTEX_POINT('',#56569); #17563=VERTEX_POINT('',#56573); #17564=VERTEX_POINT('',#56575); #17565=VERTEX_POINT('',#56584); #17566=VERTEX_POINT('',#56585); #17567=VERTEX_POINT('',#56587); #17568=VERTEX_POINT('',#56589); #17569=VERTEX_POINT('',#56593); #17570=VERTEX_POINT('',#56595); #17571=VERTEX_POINT('',#56599); #17572=VERTEX_POINT('',#56601); #17573=VERTEX_POINT('',#56608); #17574=VERTEX_POINT('',#56610); #17575=VERTEX_POINT('',#56614); #17576=VERTEX_POINT('',#56616); #17577=VERTEX_POINT('',#56620); #17578=VERTEX_POINT('',#56621); #17579=VERTEX_POINT('',#56623); #17580=VERTEX_POINT('',#56625); #17581=VERTEX_POINT('',#56629); #17582=VERTEX_POINT('',#56631); #17583=VERTEX_POINT('',#56635); #17584=VERTEX_POINT('',#56637); #17585=VERTEX_POINT('',#56641); #17586=VERTEX_POINT('',#56643); #17587=VERTEX_POINT('',#56647); #17588=VERTEX_POINT('',#56649); #17589=VERTEX_POINT('',#56653); #17590=VERTEX_POINT('',#56655); #17591=VERTEX_POINT('',#56659); #17592=VERTEX_POINT('',#56661); #17593=VERTEX_POINT('',#56665); #17594=VERTEX_POINT('',#56667); #17595=VERTEX_POINT('',#56671); #17596=VERTEX_POINT('',#56673); #17597=VERTEX_POINT('',#56677); #17598=VERTEX_POINT('',#56679); #17599=VERTEX_POINT('',#56683); #17600=VERTEX_POINT('',#56685); #17601=VERTEX_POINT('',#56694); #17602=VERTEX_POINT('',#56696); #17603=VERTEX_POINT('',#56700); #17604=VERTEX_POINT('',#56701); #17605=VERTEX_POINT('',#56703); #17606=VERTEX_POINT('',#56705); #17607=VERTEX_POINT('',#56709); #17608=VERTEX_POINT('',#56711); #17609=VERTEX_POINT('',#56715); #17610=VERTEX_POINT('',#56717); #17611=VERTEX_POINT('',#56724); #17612=VERTEX_POINT('',#56726); #17613=VERTEX_POINT('',#56730); #17614=VERTEX_POINT('',#56731); #17615=VERTEX_POINT('',#56733); #17616=VERTEX_POINT('',#56735); #17617=VERTEX_POINT('',#56739); #17618=VERTEX_POINT('',#56741); #17619=VERTEX_POINT('',#56745); #17620=VERTEX_POINT('',#56747); #17621=VERTEX_POINT('',#56751); #17622=VERTEX_POINT('',#56753); #17623=VERTEX_POINT('',#56757); #17624=VERTEX_POINT('',#56759); #17625=VERTEX_POINT('',#56763); #17626=VERTEX_POINT('',#56765); #17627=VERTEX_POINT('',#56769); #17628=VERTEX_POINT('',#56771); #17629=VERTEX_POINT('',#56775); #17630=VERTEX_POINT('',#56777); #17631=VERTEX_POINT('',#56781); #17632=VERTEX_POINT('',#56783); #17633=VERTEX_POINT('',#56787); #17634=VERTEX_POINT('',#56789); #17635=VERTEX_POINT('',#56793); #17636=VERTEX_POINT('',#56795); #17637=VERTEX_POINT('',#56804); #17638=VERTEX_POINT('',#56806); #17639=VERTEX_POINT('',#56810); #17640=VERTEX_POINT('',#56812); #17641=VERTEX_POINT('',#56816); #17642=VERTEX_POINT('',#56818); #17643=VERTEX_POINT('',#56822); #17644=VERTEX_POINT('',#56824); #17645=VERTEX_POINT('',#56828); #17646=VERTEX_POINT('',#56830); #17647=VERTEX_POINT('',#56834); #17648=VERTEX_POINT('',#56836); #17649=VERTEX_POINT('',#56840); #17650=VERTEX_POINT('',#56842); #17651=VERTEX_POINT('',#56846); #17652=VERTEX_POINT('',#56848); #17653=VERTEX_POINT('',#56852); #17654=VERTEX_POINT('',#56854); #17655=VERTEX_POINT('',#56858); #17656=VERTEX_POINT('',#56860); #17657=VERTEX_POINT('',#56864); #17658=VERTEX_POINT('',#56866); #17659=VERTEX_POINT('',#56870); #17660=VERTEX_POINT('',#56872); #17661=VERTEX_POINT('',#56876); #17662=VERTEX_POINT('',#56878); #17663=VERTEX_POINT('',#56882); #17664=VERTEX_POINT('',#56883); #17665=VERTEX_POINT('',#56885); #17666=VERTEX_POINT('',#56887); #17667=VERTEX_POINT('',#56891); #17668=VERTEX_POINT('',#56893); #17669=VERTEX_POINT('',#56897); #17670=VERTEX_POINT('',#56899); #17671=VERTEX_POINT('',#56903); #17672=VERTEX_POINT('',#56905); #17673=VERTEX_POINT('',#56909); #17674=VERTEX_POINT('',#56911); #17675=VERTEX_POINT('',#56915); #17676=VERTEX_POINT('',#56917); #17677=VERTEX_POINT('',#56921); #17678=VERTEX_POINT('',#56923); #17679=VERTEX_POINT('',#56927); #17680=VERTEX_POINT('',#56929); #17681=VERTEX_POINT('',#56933); #17682=VERTEX_POINT('',#56935); #17683=VERTEX_POINT('',#56939); #17684=VERTEX_POINT('',#56941); #17685=VERTEX_POINT('',#56945); #17686=VERTEX_POINT('',#56947); #17687=VERTEX_POINT('',#56951); #17688=VERTEX_POINT('',#56953); #17689=VERTEX_POINT('',#56957); #17690=VERTEX_POINT('',#56959); #17691=VERTEX_POINT('',#56963); #17692=VERTEX_POINT('',#56965); #17693=VERTEX_POINT('',#56969); #17694=VERTEX_POINT('',#56971); #17695=VERTEX_POINT('',#56980); #17696=VERTEX_POINT('',#56982); #17697=VERTEX_POINT('',#56986); #17698=VERTEX_POINT('',#56988); #17699=VERTEX_POINT('',#56992); #17700=VERTEX_POINT('',#56994); #17701=VERTEX_POINT('',#56998); #17702=VERTEX_POINT('',#57000); #17703=VERTEX_POINT('',#57004); #17704=VERTEX_POINT('',#57006); #17705=VERTEX_POINT('',#57010); #17706=VERTEX_POINT('',#57012); #17707=VERTEX_POINT('',#57016); #17708=VERTEX_POINT('',#57018); #17709=VERTEX_POINT('',#57022); #17710=VERTEX_POINT('',#57024); #17711=VERTEX_POINT('',#57028); #17712=VERTEX_POINT('',#57030); #17713=VERTEX_POINT('',#57034); #17714=VERTEX_POINT('',#57036); #17715=VERTEX_POINT('',#57040); #17716=VERTEX_POINT('',#57042); #17717=VERTEX_POINT('',#57046); #17718=VERTEX_POINT('',#57048); #17719=VERTEX_POINT('',#57052); #17720=VERTEX_POINT('',#57054); #17721=VERTEX_POINT('',#57058); #17722=VERTEX_POINT('',#57059); #17723=VERTEX_POINT('',#57061); #17724=VERTEX_POINT('',#57063); #17725=VERTEX_POINT('',#57067); #17726=VERTEX_POINT('',#57069); #17727=VERTEX_POINT('',#57073); #17728=VERTEX_POINT('',#57075); #17729=VERTEX_POINT('',#57079); #17730=VERTEX_POINT('',#57081); #17731=VERTEX_POINT('',#57085); #17732=VERTEX_POINT('',#57087); #17733=VERTEX_POINT('',#57091); #17734=VERTEX_POINT('',#57093); #17735=VERTEX_POINT('',#57097); #17736=VERTEX_POINT('',#57099); #17737=VERTEX_POINT('',#57103); #17738=VERTEX_POINT('',#57105); #17739=VERTEX_POINT('',#57109); #17740=VERTEX_POINT('',#57111); #17741=VERTEX_POINT('',#57115); #17742=VERTEX_POINT('',#57117); #17743=VERTEX_POINT('',#57121); #17744=VERTEX_POINT('',#57123); #17745=VERTEX_POINT('',#57127); #17746=VERTEX_POINT('',#57129); #17747=VERTEX_POINT('',#57133); #17748=VERTEX_POINT('',#57135); #17749=VERTEX_POINT('',#57139); #17750=VERTEX_POINT('',#57141); #17751=VERTEX_POINT('',#57145); #17752=VERTEX_POINT('',#57147); #17753=VERTEX_POINT('',#57156); #17754=VERTEX_POINT('',#57158); #17755=VERTEX_POINT('',#57162); #17756=VERTEX_POINT('',#57164); #17757=VERTEX_POINT('',#57168); #17758=VERTEX_POINT('',#57170); #17759=VERTEX_POINT('',#57174); #17760=VERTEX_POINT('',#57176); #17761=VERTEX_POINT('',#57180); #17762=VERTEX_POINT('',#57182); #17763=VERTEX_POINT('',#57186); #17764=VERTEX_POINT('',#57188); #17765=VERTEX_POINT('',#57192); #17766=VERTEX_POINT('',#57194); #17767=VERTEX_POINT('',#57198); #17768=VERTEX_POINT('',#57200); #17769=VERTEX_POINT('',#57204); #17770=VERTEX_POINT('',#57206); #17771=VERTEX_POINT('',#57210); #17772=VERTEX_POINT('',#57212); #17773=VERTEX_POINT('',#57216); #17774=VERTEX_POINT('',#57218); #17775=VERTEX_POINT('',#57222); #17776=VERTEX_POINT('',#57223); #17777=VERTEX_POINT('',#57225); #17778=VERTEX_POINT('',#57227); #17779=VERTEX_POINT('',#57231); #17780=VERTEX_POINT('',#57233); #17781=VERTEX_POINT('',#57237); #17782=VERTEX_POINT('',#57239); #17783=VERTEX_POINT('',#57243); #17784=VERTEX_POINT('',#57245); #17785=VERTEX_POINT('',#57249); #17786=VERTEX_POINT('',#57251); #17787=VERTEX_POINT('',#57260); #17788=VERTEX_POINT('',#57261); #17789=VERTEX_POINT('',#57263); #17790=VERTEX_POINT('',#57265); #17791=VERTEX_POINT('',#57269); #17792=VERTEX_POINT('',#57271); #17793=VERTEX_POINT('',#57275); #17794=VERTEX_POINT('',#57277); #17795=VERTEX_POINT('',#57286); #17796=VERTEX_POINT('',#57287); #17797=VERTEX_POINT('',#57289); #17798=VERTEX_POINT('',#57291); #17799=VERTEX_POINT('',#57295); #17800=VERTEX_POINT('',#57297); #17801=VERTEX_POINT('',#57301); #17802=VERTEX_POINT('',#57303); #17803=VERTEX_POINT('',#57310); #17804=VERTEX_POINT('',#57312); #17805=VERTEX_POINT('',#57316); #17806=VERTEX_POINT('',#57317); #17807=VERTEX_POINT('',#57319); #17808=VERTEX_POINT('',#57321); #17809=VERTEX_POINT('',#57325); #17810=VERTEX_POINT('',#57327); #17811=VERTEX_POINT('',#57331); #17812=VERTEX_POINT('',#57333); #17813=VERTEX_POINT('',#57340); #17814=VERTEX_POINT('',#57341); #17815=VERTEX_POINT('',#57343); #17816=VERTEX_POINT('',#57345); #17817=VERTEX_POINT('',#57349); #17818=VERTEX_POINT('',#57351); #17819=VERTEX_POINT('',#57355); #17820=VERTEX_POINT('',#57357); #17821=VERTEX_POINT('',#57364); #17822=VERTEX_POINT('',#57365); #17823=VERTEX_POINT('',#57367); #17824=VERTEX_POINT('',#57369); #17825=VERTEX_POINT('',#57373); #17826=VERTEX_POINT('',#57375); #17827=VERTEX_POINT('',#57379); #17828=VERTEX_POINT('',#57381); #17829=VERTEX_POINT('',#57385); #17830=VERTEX_POINT('',#57387); #17831=VERTEX_POINT('',#57391); #17832=VERTEX_POINT('',#57393); #17833=VERTEX_POINT('',#57397); #17834=VERTEX_POINT('',#57399); #17835=VERTEX_POINT('',#57403); #17836=VERTEX_POINT('',#57405); #17837=VERTEX_POINT('',#57409); #17838=VERTEX_POINT('',#57411); #17839=VERTEX_POINT('',#57415); #17840=VERTEX_POINT('',#57417); #17841=VERTEX_POINT('',#57421); #17842=VERTEX_POINT('',#57423); #17843=VERTEX_POINT('',#57427); #17844=VERTEX_POINT('',#57429); #17845=VERTEX_POINT('',#57433); #17846=VERTEX_POINT('',#57435); #17847=VERTEX_POINT('',#57439); #17848=VERTEX_POINT('',#57441); #17849=VERTEX_POINT('',#57445); #17850=VERTEX_POINT('',#57447); #17851=VERTEX_POINT('',#57451); #17852=VERTEX_POINT('',#57453); #17853=VERTEX_POINT('',#57457); #17854=VERTEX_POINT('',#57459); #17855=VERTEX_POINT('',#57463); #17856=VERTEX_POINT('',#57465); #17857=VERTEX_POINT('',#57469); #17858=VERTEX_POINT('',#57471); #17859=VERTEX_POINT('',#57475); #17860=VERTEX_POINT('',#57477); #17861=VERTEX_POINT('',#57481); #17862=VERTEX_POINT('',#57483); #17863=VERTEX_POINT('',#57487); #17864=VERTEX_POINT('',#57489); #17865=VERTEX_POINT('',#57493); #17866=VERTEX_POINT('',#57495); #17867=VERTEX_POINT('',#57499); #17868=VERTEX_POINT('',#57501); #17869=VERTEX_POINT('',#57505); #17870=VERTEX_POINT('',#57507); #17871=VERTEX_POINT('',#57511); #17872=VERTEX_POINT('',#57513); #17873=VERTEX_POINT('',#57517); #17874=VERTEX_POINT('',#57519); #17875=VERTEX_POINT('',#57523); #17876=VERTEX_POINT('',#57525); #17877=VERTEX_POINT('',#57529); #17878=VERTEX_POINT('',#57531); #17879=VERTEX_POINT('',#57535); #17880=VERTEX_POINT('',#57537); #17881=VERTEX_POINT('',#57541); #17882=VERTEX_POINT('',#57543); #17883=VERTEX_POINT('',#57547); #17884=VERTEX_POINT('',#57549); #17885=VERTEX_POINT('',#57553); #17886=VERTEX_POINT('',#57555); #17887=VERTEX_POINT('',#57559); #17888=VERTEX_POINT('',#57561); #17889=VERTEX_POINT('',#57565); #17890=VERTEX_POINT('',#57567); #17891=VERTEX_POINT('',#57571); #17892=VERTEX_POINT('',#57573); #17893=VERTEX_POINT('',#57582); #17894=VERTEX_POINT('',#57583); #17895=VERTEX_POINT('',#57585); #17896=VERTEX_POINT('',#57587); #17897=VERTEX_POINT('',#57591); #17898=VERTEX_POINT('',#57593); #17899=VERTEX_POINT('',#57597); #17900=VERTEX_POINT('',#57599); #17901=VERTEX_POINT('',#57606); #17902=VERTEX_POINT('',#57607); #17903=VERTEX_POINT('',#57609); #17904=VERTEX_POINT('',#57611); #17905=VERTEX_POINT('',#57615); #17906=VERTEX_POINT('',#57617); #17907=VERTEX_POINT('',#57621); #17908=VERTEX_POINT('',#57623); #17909=VERTEX_POINT('',#57630); #17910=VERTEX_POINT('',#57631); #17911=VERTEX_POINT('',#57633); #17912=VERTEX_POINT('',#57635); #17913=VERTEX_POINT('',#57639); #17914=VERTEX_POINT('',#57641); #17915=VERTEX_POINT('',#57645); #17916=VERTEX_POINT('',#57647); #17917=VERTEX_POINT('',#57651); #17918=VERTEX_POINT('',#57653); #17919=VERTEX_POINT('',#57657); #17920=VERTEX_POINT('',#57659); #17921=VERTEX_POINT('',#57663); #17922=VERTEX_POINT('',#57665); #17923=VERTEX_POINT('',#57669); #17924=VERTEX_POINT('',#57671); #17925=VERTEX_POINT('',#57675); #17926=VERTEX_POINT('',#57677); #17927=VERTEX_POINT('',#57681); #17928=VERTEX_POINT('',#57683); #17929=VERTEX_POINT('',#57687); #17930=VERTEX_POINT('',#57689); #17931=VERTEX_POINT('',#57693); #17932=VERTEX_POINT('',#57695); #17933=VERTEX_POINT('',#57699); #17934=VERTEX_POINT('',#57701); #17935=VERTEX_POINT('',#57705); #17936=VERTEX_POINT('',#57707); #17937=VERTEX_POINT('',#57711); #17938=VERTEX_POINT('',#57713); #17939=VERTEX_POINT('',#57717); #17940=VERTEX_POINT('',#57719); #17941=VERTEX_POINT('',#57723); #17942=VERTEX_POINT('',#57725); #17943=VERTEX_POINT('',#57729); #17944=VERTEX_POINT('',#57731); #17945=VERTEX_POINT('',#57740); #17946=VERTEX_POINT('',#57742); #17947=VERTEX_POINT('',#57746); #17948=VERTEX_POINT('',#57747); #17949=VERTEX_POINT('',#57749); #17950=VERTEX_POINT('',#57751); #17951=VERTEX_POINT('',#57755); #17952=VERTEX_POINT('',#57757); #17953=VERTEX_POINT('',#57761); #17954=VERTEX_POINT('',#57763); #17955=VERTEX_POINT('',#57767); #17956=VERTEX_POINT('',#57769); #17957=VERTEX_POINT('',#57773); #17958=VERTEX_POINT('',#57775); #17959=VERTEX_POINT('',#57779); #17960=VERTEX_POINT('',#57781); #17961=VERTEX_POINT('',#57785); #17962=VERTEX_POINT('',#57787); #17963=VERTEX_POINT('',#57791); #17964=VERTEX_POINT('',#57793); #17965=VERTEX_POINT('',#57797); #17966=VERTEX_POINT('',#57799); #17967=VERTEX_POINT('',#57803); #17968=VERTEX_POINT('',#57805); #17969=VERTEX_POINT('',#57809); #17970=VERTEX_POINT('',#57811); #17971=VERTEX_POINT('',#57820); #17972=VERTEX_POINT('',#57821); #17973=VERTEX_POINT('',#57823); #17974=VERTEX_POINT('',#57825); #17975=VERTEX_POINT('',#57829); #17976=VERTEX_POINT('',#57831); #17977=VERTEX_POINT('',#57835); #17978=VERTEX_POINT('',#57837); #17979=VERTEX_POINT('',#57844); #17980=VERTEX_POINT('',#57845); #17981=VERTEX_POINT('',#57847); #17982=VERTEX_POINT('',#57849); #17983=VERTEX_POINT('',#57853); #17984=VERTEX_POINT('',#57855); #17985=VERTEX_POINT('',#57859); #17986=VERTEX_POINT('',#57861); #17987=VERTEX_POINT('',#57868); #17988=VERTEX_POINT('',#57869); #17989=VERTEX_POINT('',#57871); #17990=VERTEX_POINT('',#57873); #17991=VERTEX_POINT('',#57877); #17992=VERTEX_POINT('',#57879); #17993=VERTEX_POINT('',#57883); #17994=VERTEX_POINT('',#57885); #17995=VERTEX_POINT('',#57889); #17996=VERTEX_POINT('',#57891); #17997=VERTEX_POINT('',#57895); #17998=VERTEX_POINT('',#57897); #17999=VERTEX_POINT('',#57901); #18000=VERTEX_POINT('',#57903); #18001=VERTEX_POINT('',#57907); #18002=VERTEX_POINT('',#57909); #18003=VERTEX_POINT('',#57913); #18004=VERTEX_POINT('',#57915); #18005=VERTEX_POINT('',#57919); #18006=VERTEX_POINT('',#57921); #18007=VERTEX_POINT('',#57925); #18008=VERTEX_POINT('',#57927); #18009=VERTEX_POINT('',#57931); #18010=VERTEX_POINT('',#57933); #18011=VERTEX_POINT('',#57937); #18012=VERTEX_POINT('',#57939); #18013=VERTEX_POINT('',#57943); #18014=VERTEX_POINT('',#57945); #18015=VERTEX_POINT('',#57949); #18016=VERTEX_POINT('',#57951); #18017=VERTEX_POINT('',#57955); #18018=VERTEX_POINT('',#57957); #18019=VERTEX_POINT('',#57961); #18020=VERTEX_POINT('',#57963); #18021=VERTEX_POINT('',#57967); #18022=VERTEX_POINT('',#57969); #18023=VERTEX_POINT('',#57978); #18024=VERTEX_POINT('',#57980); #18025=VERTEX_POINT('',#57984); #18026=VERTEX_POINT('',#57985); #18027=VERTEX_POINT('',#57987); #18028=VERTEX_POINT('',#57989); #18029=VERTEX_POINT('',#57993); #18030=VERTEX_POINT('',#57995); #18031=VERTEX_POINT('',#57999); #18032=VERTEX_POINT('',#58001); #18033=VERTEX_POINT('',#58005); #18034=VERTEX_POINT('',#58007); #18035=VERTEX_POINT('',#58011); #18036=VERTEX_POINT('',#58013); #18037=VERTEX_POINT('',#58017); #18038=VERTEX_POINT('',#58019); #18039=VERTEX_POINT('',#58023); #18040=VERTEX_POINT('',#58025); #18041=VERTEX_POINT('',#58029); #18042=VERTEX_POINT('',#58031); #18043=VERTEX_POINT('',#58035); #18044=VERTEX_POINT('',#58037); #18045=VERTEX_POINT('',#58041); #18046=VERTEX_POINT('',#58043); #18047=VERTEX_POINT('',#58047); #18048=VERTEX_POINT('',#58049); #18049=EDGE_CURVE('',#14753,#14753,#13654,.T.); #18050=EDGE_CURVE('',#14753,#14754,#5948,.T.); #18051=EDGE_CURVE('',#14754,#14754,#13655,.T.); #18052=EDGE_CURVE('',#14755,#14756,#5949,.T.); #18053=EDGE_CURVE('',#14755,#14757,#5950,.T.); #18054=EDGE_CURVE('',#14758,#14757,#5951,.T.); #18055=EDGE_CURVE('',#14756,#14758,#5952,.T.); #18056=EDGE_CURVE('',#14759,#14756,#5953,.T.); #18057=EDGE_CURVE('',#14760,#14758,#5954,.T.); #18058=EDGE_CURVE('',#14759,#14760,#5955,.T.); #18059=EDGE_CURVE('',#14761,#14759,#5956,.T.); #18060=EDGE_CURVE('',#14762,#14760,#5957,.T.); #18061=EDGE_CURVE('',#14761,#14762,#5958,.T.); #18062=EDGE_CURVE('',#14763,#14761,#5959,.T.); #18063=EDGE_CURVE('',#14764,#14762,#5960,.T.); #18064=EDGE_CURVE('',#14763,#14764,#5961,.T.); #18065=EDGE_CURVE('',#14765,#14763,#5962,.T.); #18066=EDGE_CURVE('',#14766,#14764,#5963,.T.); #18067=EDGE_CURVE('',#14765,#14766,#5964,.T.); #18068=EDGE_CURVE('',#14767,#14765,#5965,.T.); #18069=EDGE_CURVE('',#14768,#14766,#5966,.T.); #18070=EDGE_CURVE('',#14767,#14768,#5967,.T.); #18071=EDGE_CURVE('',#14769,#14767,#5968,.T.); #18072=EDGE_CURVE('',#14770,#14768,#5969,.T.); #18073=EDGE_CURVE('',#14769,#14770,#5970,.T.); #18074=EDGE_CURVE('',#14769,#14755,#13656,.T.); #18075=EDGE_CURVE('',#14757,#14770,#13657,.T.); #18076=EDGE_CURVE('',#14771,#14771,#13658,.T.); #18077=EDGE_CURVE('',#14771,#14772,#5971,.T.); #18078=EDGE_CURVE('',#14772,#14772,#13659,.T.); #18079=EDGE_CURVE('',#14773,#14774,#5972,.T.); #18080=EDGE_CURVE('',#14774,#14775,#5973,.T.); #18081=EDGE_CURVE('',#14775,#14776,#5974,.T.); #18082=EDGE_CURVE('',#14773,#14776,#5975,.T.); #18083=EDGE_CURVE('',#14777,#14774,#5976,.T.); #18084=EDGE_CURVE('',#14777,#14778,#5977,.T.); #18085=EDGE_CURVE('',#14778,#14775,#5978,.T.); #18086=EDGE_CURVE('',#14779,#14777,#5979,.T.); #18087=EDGE_CURVE('',#14779,#14780,#5980,.T.); #18088=EDGE_CURVE('',#14780,#14778,#5981,.T.); #18089=EDGE_CURVE('',#14781,#14779,#5982,.T.); #18090=EDGE_CURVE('',#14781,#14782,#5983,.T.); #18091=EDGE_CURVE('',#14782,#14780,#5984,.T.); #18092=EDGE_CURVE('',#14783,#14781,#5985,.T.); #18093=EDGE_CURVE('',#14783,#14784,#5986,.T.); #18094=EDGE_CURVE('',#14784,#14782,#5987,.T.); #18095=EDGE_CURVE('',#14785,#14783,#5988,.T.); #18096=EDGE_CURVE('',#14785,#14786,#5989,.T.); #18097=EDGE_CURVE('',#14786,#14784,#5990,.T.); #18098=EDGE_CURVE('',#14787,#14785,#5991,.T.); #18099=EDGE_CURVE('',#14787,#14788,#5992,.T.); #18100=EDGE_CURVE('',#14788,#14786,#5993,.T.); #18101=EDGE_CURVE('',#14787,#14773,#13660,.T.); #18102=EDGE_CURVE('',#14776,#14788,#13661,.T.); #18103=EDGE_CURVE('',#14789,#14789,#13662,.T.); #18104=EDGE_CURVE('',#14790,#14790,#13663,.T.); #18105=EDGE_CURVE('',#14791,#14791,#13664,.T.); #18106=EDGE_CURVE('',#14791,#14790,#5994,.T.); #18107=EDGE_CURVE('',#14792,#14792,#13665,.T.); #18108=EDGE_CURVE('',#14792,#14789,#5995,.T.); #18109=EDGE_CURVE('',#14793,#14794,#5996,.T.); #18110=EDGE_CURVE('',#14794,#14795,#5997,.T.); #18111=EDGE_CURVE('',#14795,#14796,#5998,.T.); #18112=EDGE_CURVE('',#14796,#14793,#5999,.T.); #18113=EDGE_CURVE('',#14797,#14797,#13666,.T.); #18114=EDGE_CURVE('',#14797,#14798,#6000,.T.); #18115=EDGE_CURVE('',#14798,#14798,#13667,.T.); #18116=EDGE_CURVE('',#14799,#14800,#13668,.T.); #18117=EDGE_CURVE('',#14800,#14801,#6001,.T.); #18118=EDGE_CURVE('',#14802,#14801,#13669,.T.); #18119=EDGE_CURVE('',#14799,#14802,#6002,.T.); #18120=EDGE_CURVE('',#14799,#14803,#6003,.T.); #18121=EDGE_CURVE('',#14804,#14802,#6004,.T.); #18122=EDGE_CURVE('',#14803,#14804,#6005,.T.); #18123=EDGE_CURVE('',#14805,#14803,#6006,.T.); #18124=EDGE_CURVE('',#14806,#14804,#6007,.T.); #18125=EDGE_CURVE('',#14805,#14806,#6008,.T.); #18126=EDGE_CURVE('',#14807,#14805,#6009,.T.); #18127=EDGE_CURVE('',#14808,#14806,#6010,.T.); #18128=EDGE_CURVE('',#14807,#14808,#6011,.T.); #18129=EDGE_CURVE('',#14809,#14807,#6012,.T.); #18130=EDGE_CURVE('',#14810,#14808,#6013,.T.); #18131=EDGE_CURVE('',#14809,#14810,#6014,.T.); #18132=EDGE_CURVE('',#14811,#14809,#6015,.T.); #18133=EDGE_CURVE('',#14812,#14810,#6016,.T.); #18134=EDGE_CURVE('',#14811,#14812,#6017,.T.); #18135=EDGE_CURVE('',#14813,#14811,#6018,.T.); #18136=EDGE_CURVE('',#14814,#14812,#6019,.T.); #18137=EDGE_CURVE('',#14813,#14814,#6020,.T.); #18138=EDGE_CURVE('',#14815,#14813,#6021,.T.); #18139=EDGE_CURVE('',#14816,#14814,#6022,.T.); #18140=EDGE_CURVE('',#14815,#14816,#6023,.T.); #18141=EDGE_CURVE('',#14817,#14815,#6024,.T.); #18142=EDGE_CURVE('',#14818,#14816,#6025,.T.); #18143=EDGE_CURVE('',#14817,#14818,#6026,.T.); #18144=EDGE_CURVE('',#14819,#14817,#6027,.T.); #18145=EDGE_CURVE('',#14820,#14818,#6028,.T.); #18146=EDGE_CURVE('',#14819,#14820,#6029,.T.); #18147=EDGE_CURVE('',#14821,#14819,#6030,.T.); #18148=EDGE_CURVE('',#14822,#14820,#6031,.T.); #18149=EDGE_CURVE('',#14821,#14822,#6032,.T.); #18150=EDGE_CURVE('',#14823,#14821,#6033,.T.); #18151=EDGE_CURVE('',#14824,#14822,#6034,.T.); #18152=EDGE_CURVE('',#14823,#14824,#6035,.T.); #18153=EDGE_CURVE('',#14825,#14823,#6036,.T.); #18154=EDGE_CURVE('',#14826,#14824,#6037,.T.); #18155=EDGE_CURVE('',#14825,#14826,#6038,.T.); #18156=EDGE_CURVE('',#14827,#14825,#6039,.T.); #18157=EDGE_CURVE('',#14828,#14826,#6040,.T.); #18158=EDGE_CURVE('',#14827,#14828,#6041,.T.); #18159=EDGE_CURVE('',#14829,#14827,#6042,.T.); #18160=EDGE_CURVE('',#14830,#14828,#6043,.T.); #18161=EDGE_CURVE('',#14829,#14830,#6044,.T.); #18162=EDGE_CURVE('',#14829,#14794,#6045,.T.); #18163=EDGE_CURVE('',#14830,#14795,#6046,.T.); #18164=EDGE_CURVE('',#14793,#14831,#6047,.T.); #18165=EDGE_CURVE('',#14832,#14796,#6048,.T.); #18166=EDGE_CURVE('',#14831,#14832,#6049,.T.); #18167=EDGE_CURVE('',#14831,#14833,#6050,.T.); #18168=EDGE_CURVE('',#14834,#14832,#6051,.T.); #18169=EDGE_CURVE('',#14833,#14834,#6052,.T.); #18170=EDGE_CURVE('',#14833,#14835,#6053,.T.); #18171=EDGE_CURVE('',#14836,#14834,#6054,.T.); #18172=EDGE_CURVE('',#14835,#14836,#6055,.T.); #18173=EDGE_CURVE('',#14835,#14837,#6056,.T.); #18174=EDGE_CURVE('',#14838,#14836,#6057,.T.); #18175=EDGE_CURVE('',#14837,#14838,#6058,.T.); #18176=EDGE_CURVE('',#14837,#14839,#6059,.T.); #18177=EDGE_CURVE('',#14840,#14838,#6060,.T.); #18178=EDGE_CURVE('',#14839,#14840,#6061,.T.); #18179=EDGE_CURVE('',#14839,#14841,#6062,.T.); #18180=EDGE_CURVE('',#14842,#14840,#6063,.T.); #18181=EDGE_CURVE('',#14841,#14842,#6064,.T.); #18182=EDGE_CURVE('',#14841,#14843,#6065,.T.); #18183=EDGE_CURVE('',#14844,#14842,#6066,.T.); #18184=EDGE_CURVE('',#14843,#14844,#6067,.T.); #18185=EDGE_CURVE('',#14843,#14845,#6068,.T.); #18186=EDGE_CURVE('',#14846,#14844,#6069,.T.); #18187=EDGE_CURVE('',#14845,#14846,#6070,.T.); #18188=EDGE_CURVE('',#14845,#14847,#6071,.T.); #18189=EDGE_CURVE('',#14848,#14846,#6072,.T.); #18190=EDGE_CURVE('',#14847,#14848,#6073,.T.); #18191=EDGE_CURVE('',#14847,#14849,#6074,.T.); #18192=EDGE_CURVE('',#14850,#14848,#6075,.T.); #18193=EDGE_CURVE('',#14849,#14850,#6076,.T.); #18194=EDGE_CURVE('',#14849,#14851,#6077,.T.); #18195=EDGE_CURVE('',#14852,#14850,#6078,.T.); #18196=EDGE_CURVE('',#14851,#14852,#6079,.T.); #18197=EDGE_CURVE('',#14851,#14853,#6080,.T.); #18198=EDGE_CURVE('',#14854,#14852,#6081,.T.); #18199=EDGE_CURVE('',#14853,#14854,#6082,.T.); #18200=EDGE_CURVE('',#14853,#14855,#6083,.T.); #18201=EDGE_CURVE('',#14856,#14854,#6084,.T.); #18202=EDGE_CURVE('',#14855,#14856,#6085,.T.); #18203=EDGE_CURVE('',#14855,#14857,#6086,.T.); #18204=EDGE_CURVE('',#14858,#14856,#6087,.T.); #18205=EDGE_CURVE('',#14857,#14858,#6088,.T.); #18206=EDGE_CURVE('',#14859,#14857,#6089,.T.); #18207=EDGE_CURVE('',#14860,#14858,#6090,.T.); #18208=EDGE_CURVE('',#14859,#14860,#6091,.T.); #18209=EDGE_CURVE('',#14861,#14859,#13670,.T.); #18210=EDGE_CURVE('',#14862,#14860,#13671,.T.); #18211=EDGE_CURVE('',#14861,#14862,#6092,.T.); #18212=EDGE_CURVE('',#14800,#14861,#6093,.T.); #18213=EDGE_CURVE('',#14801,#14862,#6094,.T.); #18214=EDGE_CURVE('',#14863,#14864,#6095,.T.); #18215=EDGE_CURVE('',#14864,#14865,#6096,.T.); #18216=EDGE_CURVE('',#14866,#14865,#6097,.T.); #18217=EDGE_CURVE('',#14863,#14866,#6098,.T.); #18218=EDGE_CURVE('',#14863,#14867,#6099,.T.); #18219=EDGE_CURVE('',#14868,#14866,#6100,.T.); #18220=EDGE_CURVE('',#14867,#14868,#6101,.T.); #18221=EDGE_CURVE('',#14869,#14867,#6102,.T.); #18222=EDGE_CURVE('',#14870,#14868,#6103,.T.); #18223=EDGE_CURVE('',#14869,#14870,#6104,.T.); #18224=EDGE_CURVE('',#14871,#14869,#13672,.T.); #18225=EDGE_CURVE('',#14872,#14870,#13673,.T.); #18226=EDGE_CURVE('',#14871,#14872,#6105,.T.); #18227=EDGE_CURVE('',#14873,#14871,#6106,.T.); #18228=EDGE_CURVE('',#14874,#14872,#6107,.T.); #18229=EDGE_CURVE('',#14873,#14874,#6108,.T.); #18230=EDGE_CURVE('',#14875,#14873,#13674,.T.); #18231=EDGE_CURVE('',#14876,#14874,#13675,.T.); #18232=EDGE_CURVE('',#14875,#14876,#6109,.T.); #18233=EDGE_CURVE('',#14877,#14875,#6110,.T.); #18234=EDGE_CURVE('',#14878,#14876,#6111,.T.); #18235=EDGE_CURVE('',#14877,#14878,#6112,.T.); #18236=EDGE_CURVE('',#14879,#14877,#6113,.T.); #18237=EDGE_CURVE('',#14880,#14878,#6114,.T.); #18238=EDGE_CURVE('',#14879,#14880,#6115,.T.); #18239=EDGE_CURVE('',#14881,#14879,#6116,.T.); #18240=EDGE_CURVE('',#14882,#14880,#6117,.T.); #18241=EDGE_CURVE('',#14881,#14882,#6118,.T.); #18242=EDGE_CURVE('',#14883,#14881,#6119,.T.); #18243=EDGE_CURVE('',#14884,#14882,#6120,.T.); #18244=EDGE_CURVE('',#14883,#14884,#6121,.T.); #18245=EDGE_CURVE('',#14885,#14883,#6122,.T.); #18246=EDGE_CURVE('',#14886,#14884,#6123,.T.); #18247=EDGE_CURVE('',#14885,#14886,#6124,.T.); #18248=EDGE_CURVE('',#14887,#14885,#6125,.T.); #18249=EDGE_CURVE('',#14888,#14886,#6126,.T.); #18250=EDGE_CURVE('',#14887,#14888,#6127,.T.); #18251=EDGE_CURVE('',#14889,#14887,#6128,.T.); #18252=EDGE_CURVE('',#14890,#14888,#6129,.T.); #18253=EDGE_CURVE('',#14889,#14890,#6130,.T.); #18254=EDGE_CURVE('',#14891,#14889,#6131,.T.); #18255=EDGE_CURVE('',#14892,#14890,#6132,.T.); #18256=EDGE_CURVE('',#14891,#14892,#6133,.T.); #18257=EDGE_CURVE('',#14891,#14893,#6134,.T.); #18258=EDGE_CURVE('',#14894,#14892,#6135,.T.); #18259=EDGE_CURVE('',#14893,#14894,#6136,.T.); #18260=EDGE_CURVE('',#14895,#14893,#6137,.T.); #18261=EDGE_CURVE('',#14896,#14894,#6138,.T.); #18262=EDGE_CURVE('',#14895,#14896,#6139,.T.); #18263=EDGE_CURVE('',#14897,#14895,#6140,.T.); #18264=EDGE_CURVE('',#14898,#14896,#6141,.T.); #18265=EDGE_CURVE('',#14897,#14898,#6142,.T.); #18266=EDGE_CURVE('',#14864,#14897,#6143,.T.); #18267=EDGE_CURVE('',#14865,#14898,#6144,.T.); #18268=EDGE_CURVE('',#14899,#14900,#6145,.T.); #18269=EDGE_CURVE('',#14899,#14901,#6146,.T.); #18270=EDGE_CURVE('',#14902,#14901,#6147,.T.); #18271=EDGE_CURVE('',#14900,#14902,#6148,.T.); #18272=EDGE_CURVE('',#14900,#14903,#6149,.T.); #18273=EDGE_CURVE('',#14904,#14902,#6150,.T.); #18274=EDGE_CURVE('',#14903,#14904,#6151,.T.); #18275=EDGE_CURVE('',#14903,#14905,#6152,.T.); #18276=EDGE_CURVE('',#14906,#14904,#6153,.T.); #18277=EDGE_CURVE('',#14905,#14906,#6154,.T.); #18278=EDGE_CURVE('',#14905,#14907,#6155,.T.); #18279=EDGE_CURVE('',#14908,#14906,#6156,.T.); #18280=EDGE_CURVE('',#14907,#14908,#6157,.T.); #18281=EDGE_CURVE('',#14907,#14909,#6158,.T.); #18282=EDGE_CURVE('',#14910,#14908,#6159,.T.); #18283=EDGE_CURVE('',#14909,#14910,#6160,.T.); #18284=EDGE_CURVE('',#14909,#14911,#6161,.T.); #18285=EDGE_CURVE('',#14912,#14910,#6162,.T.); #18286=EDGE_CURVE('',#14911,#14912,#6163,.T.); #18287=EDGE_CURVE('',#14911,#14913,#6164,.T.); #18288=EDGE_CURVE('',#14914,#14912,#6165,.T.); #18289=EDGE_CURVE('',#14913,#14914,#6166,.T.); #18290=EDGE_CURVE('',#14913,#14915,#6167,.T.); #18291=EDGE_CURVE('',#14916,#14914,#6168,.T.); #18292=EDGE_CURVE('',#14915,#14916,#6169,.T.); #18293=EDGE_CURVE('',#14915,#14917,#6170,.T.); #18294=EDGE_CURVE('',#14918,#14916,#6171,.T.); #18295=EDGE_CURVE('',#14917,#14918,#6172,.T.); #18296=EDGE_CURVE('',#14917,#14919,#6173,.T.); #18297=EDGE_CURVE('',#14920,#14918,#6174,.T.); #18298=EDGE_CURVE('',#14919,#14920,#6175,.T.); #18299=EDGE_CURVE('',#14919,#14921,#6176,.T.); #18300=EDGE_CURVE('',#14922,#14920,#6177,.T.); #18301=EDGE_CURVE('',#14921,#14922,#6178,.T.); #18302=EDGE_CURVE('',#14921,#14923,#6179,.T.); #18303=EDGE_CURVE('',#14924,#14922,#6180,.T.); #18304=EDGE_CURVE('',#14923,#14924,#6181,.T.); #18305=EDGE_CURVE('',#14923,#14925,#6182,.T.); #18306=EDGE_CURVE('',#14926,#14924,#6183,.T.); #18307=EDGE_CURVE('',#14925,#14926,#6184,.T.); #18308=EDGE_CURVE('',#14925,#14927,#6185,.T.); #18309=EDGE_CURVE('',#14928,#14926,#6186,.T.); #18310=EDGE_CURVE('',#14927,#14928,#6187,.T.); #18311=EDGE_CURVE('',#14927,#14929,#6188,.T.); #18312=EDGE_CURVE('',#14930,#14928,#6189,.T.); #18313=EDGE_CURVE('',#14929,#14930,#6190,.T.); #18314=EDGE_CURVE('',#14929,#14931,#6191,.T.); #18315=EDGE_CURVE('',#14932,#14930,#6192,.T.); #18316=EDGE_CURVE('',#14931,#14932,#6193,.T.); #18317=EDGE_CURVE('',#14933,#14931,#6194,.T.); #18318=EDGE_CURVE('',#14934,#14932,#6195,.T.); #18319=EDGE_CURVE('',#14933,#14934,#6196,.T.); #18320=EDGE_CURVE('',#14933,#14935,#13676,.T.); #18321=EDGE_CURVE('',#14936,#14934,#13677,.T.); #18322=EDGE_CURVE('',#14935,#14936,#6197,.T.); #18323=EDGE_CURVE('',#14935,#14937,#6198,.T.); #18324=EDGE_CURVE('',#14938,#14936,#6199,.T.); #18325=EDGE_CURVE('',#14937,#14938,#6200,.T.); #18326=EDGE_CURVE('',#14939,#14937,#6201,.T.); #18327=EDGE_CURVE('',#14940,#14938,#6202,.T.); #18328=EDGE_CURVE('',#14939,#14940,#6203,.T.); #18329=EDGE_CURVE('',#14941,#14939,#6204,.T.); #18330=EDGE_CURVE('',#14942,#14940,#6205,.T.); #18331=EDGE_CURVE('',#14941,#14942,#6206,.T.); #18332=EDGE_CURVE('',#14943,#14941,#6207,.T.); #18333=EDGE_CURVE('',#14944,#14942,#6208,.T.); #18334=EDGE_CURVE('',#14943,#14944,#6209,.T.); #18335=EDGE_CURVE('',#14945,#14943,#6210,.T.); #18336=EDGE_CURVE('',#14946,#14944,#6211,.T.); #18337=EDGE_CURVE('',#14945,#14946,#6212,.T.); #18338=EDGE_CURVE('',#14947,#14945,#6213,.T.); #18339=EDGE_CURVE('',#14948,#14946,#6214,.T.); #18340=EDGE_CURVE('',#14947,#14948,#6215,.T.); #18341=EDGE_CURVE('',#14949,#14947,#6216,.T.); #18342=EDGE_CURVE('',#14950,#14948,#6217,.T.); #18343=EDGE_CURVE('',#14949,#14950,#6218,.T.); #18344=EDGE_CURVE('',#14951,#14949,#6219,.T.); #18345=EDGE_CURVE('',#14952,#14950,#6220,.T.); #18346=EDGE_CURVE('',#14951,#14952,#6221,.T.); #18347=EDGE_CURVE('',#14953,#14951,#6222,.T.); #18348=EDGE_CURVE('',#14954,#14952,#6223,.T.); #18349=EDGE_CURVE('',#14953,#14954,#6224,.T.); #18350=EDGE_CURVE('',#14955,#14953,#6225,.T.); #18351=EDGE_CURVE('',#14956,#14954,#6226,.T.); #18352=EDGE_CURVE('',#14955,#14956,#6227,.T.); #18353=EDGE_CURVE('',#14957,#14955,#6228,.T.); #18354=EDGE_CURVE('',#14958,#14956,#6229,.T.); #18355=EDGE_CURVE('',#14957,#14958,#6230,.T.); #18356=EDGE_CURVE('',#14959,#14957,#6231,.T.); #18357=EDGE_CURVE('',#14960,#14958,#6232,.T.); #18358=EDGE_CURVE('',#14959,#14960,#6233,.T.); #18359=EDGE_CURVE('',#14961,#14959,#6234,.T.); #18360=EDGE_CURVE('',#14962,#14960,#6235,.T.); #18361=EDGE_CURVE('',#14961,#14962,#6236,.T.); #18362=EDGE_CURVE('',#14963,#14961,#6237,.T.); #18363=EDGE_CURVE('',#14964,#14962,#6238,.T.); #18364=EDGE_CURVE('',#14963,#14964,#6239,.T.); #18365=EDGE_CURVE('',#14965,#14963,#6240,.T.); #18366=EDGE_CURVE('',#14966,#14964,#6241,.T.); #18367=EDGE_CURVE('',#14965,#14966,#6242,.T.); #18368=EDGE_CURVE('',#14967,#14965,#6243,.T.); #18369=EDGE_CURVE('',#14968,#14966,#6244,.T.); #18370=EDGE_CURVE('',#14967,#14968,#6245,.T.); #18371=EDGE_CURVE('',#14969,#14967,#6246,.T.); #18372=EDGE_CURVE('',#14970,#14968,#6247,.T.); #18373=EDGE_CURVE('',#14969,#14970,#6248,.T.); #18374=EDGE_CURVE('',#14899,#14969,#6249,.T.); #18375=EDGE_CURVE('',#14901,#14970,#6250,.T.); #18376=EDGE_CURVE('',#14971,#14972,#6251,.T.); #18377=EDGE_CURVE('',#14971,#14973,#6252,.T.); #18378=EDGE_CURVE('',#14974,#14973,#6253,.T.); #18379=EDGE_CURVE('',#14972,#14974,#6254,.T.); #18380=EDGE_CURVE('',#14972,#14975,#6255,.T.); #18381=EDGE_CURVE('',#14976,#14974,#6256,.T.); #18382=EDGE_CURVE('',#14975,#14976,#6257,.T.); #18383=EDGE_CURVE('',#14975,#14977,#6258,.T.); #18384=EDGE_CURVE('',#14978,#14976,#6259,.T.); #18385=EDGE_CURVE('',#14977,#14978,#6260,.T.); #18386=EDGE_CURVE('',#14977,#14979,#6261,.T.); #18387=EDGE_CURVE('',#14980,#14978,#6262,.T.); #18388=EDGE_CURVE('',#14979,#14980,#6263,.T.); #18389=EDGE_CURVE('',#14979,#14981,#6264,.T.); #18390=EDGE_CURVE('',#14982,#14980,#6265,.T.); #18391=EDGE_CURVE('',#14981,#14982,#6266,.T.); #18392=EDGE_CURVE('',#14981,#14983,#6267,.T.); #18393=EDGE_CURVE('',#14984,#14982,#6268,.T.); #18394=EDGE_CURVE('',#14983,#14984,#6269,.T.); #18395=EDGE_CURVE('',#14983,#14985,#6270,.T.); #18396=EDGE_CURVE('',#14986,#14984,#6271,.T.); #18397=EDGE_CURVE('',#14985,#14986,#6272,.T.); #18398=EDGE_CURVE('',#14985,#14987,#6273,.T.); #18399=EDGE_CURVE('',#14988,#14986,#6274,.T.); #18400=EDGE_CURVE('',#14987,#14988,#6275,.T.); #18401=EDGE_CURVE('',#14987,#14989,#6276,.T.); #18402=EDGE_CURVE('',#14990,#14988,#6277,.T.); #18403=EDGE_CURVE('',#14989,#14990,#6278,.T.); #18404=EDGE_CURVE('',#14989,#14991,#6279,.T.); #18405=EDGE_CURVE('',#14992,#14990,#6280,.T.); #18406=EDGE_CURVE('',#14991,#14992,#6281,.T.); #18407=EDGE_CURVE('',#14991,#14993,#6282,.T.); #18408=EDGE_CURVE('',#14994,#14992,#6283,.T.); #18409=EDGE_CURVE('',#14993,#14994,#6284,.T.); #18410=EDGE_CURVE('',#14993,#14995,#6285,.T.); #18411=EDGE_CURVE('',#14996,#14994,#6286,.T.); #18412=EDGE_CURVE('',#14995,#14996,#6287,.T.); #18413=EDGE_CURVE('',#14995,#14997,#6288,.T.); #18414=EDGE_CURVE('',#14998,#14996,#6289,.T.); #18415=EDGE_CURVE('',#14997,#14998,#6290,.T.); #18416=EDGE_CURVE('',#14997,#14999,#6291,.T.); #18417=EDGE_CURVE('',#15000,#14998,#6292,.T.); #18418=EDGE_CURVE('',#14999,#15000,#6293,.T.); #18419=EDGE_CURVE('',#14999,#15001,#6294,.T.); #18420=EDGE_CURVE('',#15002,#15000,#6295,.T.); #18421=EDGE_CURVE('',#15001,#15002,#6296,.T.); #18422=EDGE_CURVE('',#15001,#15003,#6297,.T.); #18423=EDGE_CURVE('',#15004,#15002,#6298,.T.); #18424=EDGE_CURVE('',#15003,#15004,#6299,.T.); #18425=EDGE_CURVE('',#15003,#15005,#6300,.T.); #18426=EDGE_CURVE('',#15006,#15004,#6301,.T.); #18427=EDGE_CURVE('',#15005,#15006,#6302,.T.); #18428=EDGE_CURVE('',#15005,#15007,#6303,.T.); #18429=EDGE_CURVE('',#15008,#15006,#6304,.T.); #18430=EDGE_CURVE('',#15007,#15008,#6305,.T.); #18431=EDGE_CURVE('',#15007,#15009,#6306,.T.); #18432=EDGE_CURVE('',#15010,#15008,#6307,.T.); #18433=EDGE_CURVE('',#15009,#15010,#6308,.T.); #18434=EDGE_CURVE('',#15009,#15011,#6309,.T.); #18435=EDGE_CURVE('',#15012,#15010,#6310,.T.); #18436=EDGE_CURVE('',#15011,#15012,#6311,.T.); #18437=EDGE_CURVE('',#15011,#15013,#6312,.T.); #18438=EDGE_CURVE('',#15014,#15012,#6313,.T.); #18439=EDGE_CURVE('',#15013,#15014,#6314,.T.); #18440=EDGE_CURVE('',#15013,#15015,#6315,.T.); #18441=EDGE_CURVE('',#15016,#15014,#6316,.T.); #18442=EDGE_CURVE('',#15015,#15016,#6317,.T.); #18443=EDGE_CURVE('',#15015,#15017,#6318,.T.); #18444=EDGE_CURVE('',#15018,#15016,#6319,.T.); #18445=EDGE_CURVE('',#15017,#15018,#6320,.T.); #18446=EDGE_CURVE('',#15017,#15019,#6321,.T.); #18447=EDGE_CURVE('',#15020,#15018,#6322,.T.); #18448=EDGE_CURVE('',#15019,#15020,#6323,.T.); #18449=EDGE_CURVE('',#15019,#15021,#6324,.T.); #18450=EDGE_CURVE('',#15022,#15020,#6325,.T.); #18451=EDGE_CURVE('',#15021,#15022,#6326,.T.); #18452=EDGE_CURVE('',#15021,#15023,#6327,.T.); #18453=EDGE_CURVE('',#15024,#15022,#6328,.T.); #18454=EDGE_CURVE('',#15023,#15024,#6329,.T.); #18455=EDGE_CURVE('',#15023,#15025,#6330,.T.); #18456=EDGE_CURVE('',#15026,#15024,#6331,.T.); #18457=EDGE_CURVE('',#15025,#15026,#6332,.T.); #18458=EDGE_CURVE('',#15025,#15027,#6333,.T.); #18459=EDGE_CURVE('',#15028,#15026,#6334,.T.); #18460=EDGE_CURVE('',#15027,#15028,#6335,.T.); #18461=EDGE_CURVE('',#15027,#15029,#6336,.T.); #18462=EDGE_CURVE('',#15030,#15028,#6337,.T.); #18463=EDGE_CURVE('',#15029,#15030,#6338,.T.); #18464=EDGE_CURVE('',#15029,#15031,#6339,.T.); #18465=EDGE_CURVE('',#15032,#15030,#6340,.T.); #18466=EDGE_CURVE('',#15031,#15032,#6341,.T.); #18467=EDGE_CURVE('',#15031,#15033,#6342,.T.); #18468=EDGE_CURVE('',#15034,#15032,#6343,.T.); #18469=EDGE_CURVE('',#15033,#15034,#6344,.T.); #18470=EDGE_CURVE('',#15033,#15035,#13678,.T.); #18471=EDGE_CURVE('',#15036,#15034,#13679,.T.); #18472=EDGE_CURVE('',#15035,#15036,#6345,.T.); #18473=EDGE_CURVE('',#15035,#15037,#6346,.T.); #18474=EDGE_CURVE('',#15038,#15036,#6347,.T.); #18475=EDGE_CURVE('',#15037,#15038,#6348,.T.); #18476=EDGE_CURVE('',#15037,#14971,#13680,.T.); #18477=EDGE_CURVE('',#14973,#15038,#13681,.T.); #18478=EDGE_CURVE('',#15039,#15039,#13682,.T.); #18479=EDGE_CURVE('',#15039,#15040,#6349,.T.); #18480=EDGE_CURVE('',#15040,#15040,#13683,.T.); #18481=EDGE_CURVE('',#15041,#15042,#6350,.T.); #18482=EDGE_CURVE('',#15042,#15043,#6351,.T.); #18483=EDGE_CURVE('',#15043,#15044,#6352,.T.); #18484=EDGE_CURVE('',#15041,#15044,#6353,.T.); #18485=EDGE_CURVE('',#15045,#15042,#6354,.T.); #18486=EDGE_CURVE('',#15045,#15046,#6355,.T.); #18487=EDGE_CURVE('',#15046,#15043,#6356,.T.); #18488=EDGE_CURVE('',#15047,#15045,#6357,.T.); #18489=EDGE_CURVE('',#15047,#15048,#6358,.T.); #18490=EDGE_CURVE('',#15048,#15046,#6359,.T.); #18491=EDGE_CURVE('',#15049,#15047,#6360,.T.); #18492=EDGE_CURVE('',#15049,#15050,#6361,.T.); #18493=EDGE_CURVE('',#15050,#15048,#6362,.T.); #18494=EDGE_CURVE('',#15051,#15049,#6363,.T.); #18495=EDGE_CURVE('',#15051,#15052,#6364,.T.); #18496=EDGE_CURVE('',#15052,#15050,#6365,.T.); #18497=EDGE_CURVE('',#15053,#15051,#6366,.T.); #18498=EDGE_CURVE('',#15053,#15054,#6367,.T.); #18499=EDGE_CURVE('',#15054,#15052,#6368,.T.); #18500=EDGE_CURVE('',#15055,#15053,#6369,.T.); #18501=EDGE_CURVE('',#15055,#15056,#6370,.T.); #18502=EDGE_CURVE('',#15056,#15054,#6371,.T.); #18503=EDGE_CURVE('',#15055,#15041,#13684,.T.); #18504=EDGE_CURVE('',#15044,#15056,#13685,.T.); #18505=EDGE_CURVE('',#15057,#15057,#13686,.T.); #18506=EDGE_CURVE('',#15057,#15058,#6372,.T.); #18507=EDGE_CURVE('',#15058,#15058,#13687,.T.); #18508=EDGE_CURVE('',#15059,#15060,#6373,.T.); #18509=EDGE_CURVE('',#15059,#15061,#6374,.T.); #18510=EDGE_CURVE('',#15062,#15061,#6375,.T.); #18511=EDGE_CURVE('',#15060,#15062,#6376,.T.); #18512=EDGE_CURVE('',#15063,#15060,#6377,.T.); #18513=EDGE_CURVE('',#15064,#15062,#6378,.T.); #18514=EDGE_CURVE('',#15063,#15064,#6379,.T.); #18515=EDGE_CURVE('',#15065,#15063,#6380,.T.); #18516=EDGE_CURVE('',#15066,#15064,#6381,.T.); #18517=EDGE_CURVE('',#15065,#15066,#6382,.T.); #18518=EDGE_CURVE('',#15067,#15065,#6383,.T.); #18519=EDGE_CURVE('',#15068,#15066,#6384,.T.); #18520=EDGE_CURVE('',#15067,#15068,#6385,.T.); #18521=EDGE_CURVE('',#15069,#15067,#6386,.T.); #18522=EDGE_CURVE('',#15070,#15068,#6387,.T.); #18523=EDGE_CURVE('',#15069,#15070,#6388,.T.); #18524=EDGE_CURVE('',#15071,#15069,#6389,.T.); #18525=EDGE_CURVE('',#15072,#15070,#6390,.T.); #18526=EDGE_CURVE('',#15071,#15072,#6391,.T.); #18527=EDGE_CURVE('',#15073,#15071,#6392,.T.); #18528=EDGE_CURVE('',#15074,#15072,#6393,.T.); #18529=EDGE_CURVE('',#15073,#15074,#6394,.T.); #18530=EDGE_CURVE('',#15073,#15059,#13688,.T.); #18531=EDGE_CURVE('',#15061,#15074,#13689,.T.); #18532=EDGE_CURVE('',#15075,#15075,#13690,.T.); #18533=EDGE_CURVE('',#15076,#15076,#13691,.T.); #18534=EDGE_CURVE('',#15077,#15077,#13692,.T.); #18535=EDGE_CURVE('',#15077,#15076,#6395,.T.); #18536=EDGE_CURVE('',#15078,#15078,#13693,.T.); #18537=EDGE_CURVE('',#15078,#15075,#6396,.T.); #18538=EDGE_CURVE('',#15079,#15080,#6397,.T.); #18539=EDGE_CURVE('',#15081,#15079,#6398,.T.); #18540=EDGE_CURVE('',#15082,#15081,#6399,.T.); #18541=EDGE_CURVE('',#15080,#15082,#6400,.T.); #18542=EDGE_CURVE('',#15083,#15083,#13694,.T.); #18543=EDGE_CURVE('',#15083,#15084,#6401,.T.); #18544=EDGE_CURVE('',#15084,#15084,#13695,.T.); #18545=EDGE_CURVE('',#15085,#15086,#13696,.T.); #18546=EDGE_CURVE('',#15085,#15087,#6402,.T.); #18547=EDGE_CURVE('',#15087,#15088,#13697,.T.); #18548=EDGE_CURVE('',#15086,#15088,#6403,.T.); #18549=EDGE_CURVE('',#15085,#15089,#6404,.T.); #18550=EDGE_CURVE('',#15089,#15090,#6405,.T.); #18551=EDGE_CURVE('',#15090,#15087,#6406,.T.); #18552=EDGE_CURVE('',#15091,#15089,#6407,.T.); #18553=EDGE_CURVE('',#15091,#15092,#6408,.T.); #18554=EDGE_CURVE('',#15092,#15090,#6409,.T.); #18555=EDGE_CURVE('',#15093,#15091,#6410,.T.); #18556=EDGE_CURVE('',#15093,#15094,#6411,.T.); #18557=EDGE_CURVE('',#15094,#15092,#6412,.T.); #18558=EDGE_CURVE('',#15095,#15093,#6413,.T.); #18559=EDGE_CURVE('',#15095,#15096,#6414,.T.); #18560=EDGE_CURVE('',#15096,#15094,#6415,.T.); #18561=EDGE_CURVE('',#15097,#15095,#6416,.T.); #18562=EDGE_CURVE('',#15097,#15098,#6417,.T.); #18563=EDGE_CURVE('',#15098,#15096,#6418,.T.); #18564=EDGE_CURVE('',#15099,#15097,#6419,.T.); #18565=EDGE_CURVE('',#15099,#15100,#6420,.T.); #18566=EDGE_CURVE('',#15100,#15098,#6421,.T.); #18567=EDGE_CURVE('',#15101,#15099,#6422,.T.); #18568=EDGE_CURVE('',#15101,#15102,#6423,.T.); #18569=EDGE_CURVE('',#15102,#15100,#6424,.T.); #18570=EDGE_CURVE('',#15103,#15101,#6425,.T.); #18571=EDGE_CURVE('',#15103,#15104,#6426,.T.); #18572=EDGE_CURVE('',#15104,#15102,#6427,.T.); #18573=EDGE_CURVE('',#15105,#15103,#6428,.T.); #18574=EDGE_CURVE('',#15105,#15106,#6429,.T.); #18575=EDGE_CURVE('',#15106,#15104,#6430,.T.); #18576=EDGE_CURVE('',#15107,#15105,#6431,.T.); #18577=EDGE_CURVE('',#15107,#15108,#6432,.T.); #18578=EDGE_CURVE('',#15108,#15106,#6433,.T.); #18579=EDGE_CURVE('',#15109,#15107,#6434,.T.); #18580=EDGE_CURVE('',#15109,#15110,#6435,.T.); #18581=EDGE_CURVE('',#15110,#15108,#6436,.T.); #18582=EDGE_CURVE('',#15111,#15109,#6437,.T.); #18583=EDGE_CURVE('',#15111,#15112,#6438,.T.); #18584=EDGE_CURVE('',#15112,#15110,#6439,.T.); #18585=EDGE_CURVE('',#15113,#15111,#6440,.T.); #18586=EDGE_CURVE('',#15113,#15114,#6441,.T.); #18587=EDGE_CURVE('',#15114,#15112,#6442,.T.); #18588=EDGE_CURVE('',#15115,#15113,#6443,.T.); #18589=EDGE_CURVE('',#15115,#15116,#6444,.T.); #18590=EDGE_CURVE('',#15116,#15114,#6445,.T.); #18591=EDGE_CURVE('',#15115,#15080,#6446,.T.); #18592=EDGE_CURVE('',#15116,#15082,#6447,.T.); #18593=EDGE_CURVE('',#15079,#15117,#6448,.T.); #18594=EDGE_CURVE('',#15117,#15118,#6449,.T.); #18595=EDGE_CURVE('',#15118,#15081,#6450,.T.); #18596=EDGE_CURVE('',#15117,#15119,#6451,.T.); #18597=EDGE_CURVE('',#15119,#15120,#6452,.T.); #18598=EDGE_CURVE('',#15120,#15118,#6453,.T.); #18599=EDGE_CURVE('',#15119,#15121,#6454,.T.); #18600=EDGE_CURVE('',#15121,#15122,#6455,.T.); #18601=EDGE_CURVE('',#15122,#15120,#6456,.T.); #18602=EDGE_CURVE('',#15121,#15123,#6457,.T.); #18603=EDGE_CURVE('',#15123,#15124,#6458,.T.); #18604=EDGE_CURVE('',#15124,#15122,#6459,.T.); #18605=EDGE_CURVE('',#15123,#15125,#6460,.T.); #18606=EDGE_CURVE('',#15125,#15126,#6461,.T.); #18607=EDGE_CURVE('',#15126,#15124,#6462,.T.); #18608=EDGE_CURVE('',#15125,#15127,#6463,.T.); #18609=EDGE_CURVE('',#15127,#15128,#6464,.T.); #18610=EDGE_CURVE('',#15128,#15126,#6465,.T.); #18611=EDGE_CURVE('',#15127,#15129,#6466,.T.); #18612=EDGE_CURVE('',#15129,#15130,#6467,.T.); #18613=EDGE_CURVE('',#15130,#15128,#6468,.T.); #18614=EDGE_CURVE('',#15129,#15131,#6469,.T.); #18615=EDGE_CURVE('',#15131,#15132,#6470,.T.); #18616=EDGE_CURVE('',#15132,#15130,#6471,.T.); #18617=EDGE_CURVE('',#15131,#15133,#6472,.T.); #18618=EDGE_CURVE('',#15133,#15134,#6473,.T.); #18619=EDGE_CURVE('',#15134,#15132,#6474,.T.); #18620=EDGE_CURVE('',#15133,#15135,#6475,.T.); #18621=EDGE_CURVE('',#15135,#15136,#6476,.T.); #18622=EDGE_CURVE('',#15136,#15134,#6477,.T.); #18623=EDGE_CURVE('',#15135,#15137,#6478,.T.); #18624=EDGE_CURVE('',#15137,#15138,#6479,.T.); #18625=EDGE_CURVE('',#15138,#15136,#6480,.T.); #18626=EDGE_CURVE('',#15137,#15139,#6481,.T.); #18627=EDGE_CURVE('',#15139,#15140,#6482,.T.); #18628=EDGE_CURVE('',#15140,#15138,#6483,.T.); #18629=EDGE_CURVE('',#15139,#15141,#6484,.T.); #18630=EDGE_CURVE('',#15141,#15142,#6485,.T.); #18631=EDGE_CURVE('',#15142,#15140,#6486,.T.); #18632=EDGE_CURVE('',#15141,#15143,#6487,.T.); #18633=EDGE_CURVE('',#15143,#15144,#6488,.T.); #18634=EDGE_CURVE('',#15144,#15142,#6489,.T.); #18635=EDGE_CURVE('',#15145,#15143,#6490,.T.); #18636=EDGE_CURVE('',#15145,#15146,#6491,.T.); #18637=EDGE_CURVE('',#15146,#15144,#6492,.T.); #18638=EDGE_CURVE('',#15147,#15145,#13698,.T.); #18639=EDGE_CURVE('',#15147,#15148,#6493,.T.); #18640=EDGE_CURVE('',#15148,#15146,#13699,.T.); #18641=EDGE_CURVE('',#15086,#15147,#6494,.T.); #18642=EDGE_CURVE('',#15088,#15148,#6495,.T.); #18643=EDGE_CURVE('',#15149,#15150,#6496,.T.); #18644=EDGE_CURVE('',#15149,#15151,#6497,.T.); #18645=EDGE_CURVE('',#15151,#15152,#6498,.T.); #18646=EDGE_CURVE('',#15150,#15152,#6499,.T.); #18647=EDGE_CURVE('',#15149,#15153,#6500,.T.); #18648=EDGE_CURVE('',#15153,#15154,#6501,.T.); #18649=EDGE_CURVE('',#15154,#15151,#6502,.T.); #18650=EDGE_CURVE('',#15155,#15153,#6503,.T.); #18651=EDGE_CURVE('',#15155,#15156,#6504,.T.); #18652=EDGE_CURVE('',#15156,#15154,#6505,.T.); #18653=EDGE_CURVE('',#15157,#15155,#13700,.T.); #18654=EDGE_CURVE('',#15157,#15158,#6506,.T.); #18655=EDGE_CURVE('',#15158,#15156,#13701,.T.); #18656=EDGE_CURVE('',#15159,#15157,#6507,.T.); #18657=EDGE_CURVE('',#15159,#15160,#6508,.T.); #18658=EDGE_CURVE('',#15160,#15158,#6509,.T.); #18659=EDGE_CURVE('',#15161,#15159,#13702,.T.); #18660=EDGE_CURVE('',#15161,#15162,#6510,.T.); #18661=EDGE_CURVE('',#15162,#15160,#13703,.T.); #18662=EDGE_CURVE('',#15163,#15161,#6511,.T.); #18663=EDGE_CURVE('',#15163,#15164,#6512,.T.); #18664=EDGE_CURVE('',#15164,#15162,#6513,.T.); #18665=EDGE_CURVE('',#15165,#15163,#6514,.T.); #18666=EDGE_CURVE('',#15165,#15166,#6515,.T.); #18667=EDGE_CURVE('',#15166,#15164,#6516,.T.); #18668=EDGE_CURVE('',#15167,#15165,#6517,.T.); #18669=EDGE_CURVE('',#15167,#15168,#6518,.T.); #18670=EDGE_CURVE('',#15168,#15166,#6519,.T.); #18671=EDGE_CURVE('',#15169,#15167,#6520,.T.); #18672=EDGE_CURVE('',#15169,#15170,#6521,.T.); #18673=EDGE_CURVE('',#15170,#15168,#6522,.T.); #18674=EDGE_CURVE('',#15171,#15169,#6523,.T.); #18675=EDGE_CURVE('',#15171,#15172,#6524,.T.); #18676=EDGE_CURVE('',#15172,#15170,#6525,.T.); #18677=EDGE_CURVE('',#15173,#15171,#6526,.T.); #18678=EDGE_CURVE('',#15173,#15174,#6527,.T.); #18679=EDGE_CURVE('',#15174,#15172,#6528,.T.); #18680=EDGE_CURVE('',#15175,#15173,#6529,.T.); #18681=EDGE_CURVE('',#15175,#15176,#6530,.T.); #18682=EDGE_CURVE('',#15176,#15174,#6531,.T.); #18683=EDGE_CURVE('',#15177,#15175,#6532,.T.); #18684=EDGE_CURVE('',#15177,#15178,#6533,.T.); #18685=EDGE_CURVE('',#15178,#15176,#6534,.T.); #18686=EDGE_CURVE('',#15177,#15179,#6535,.T.); #18687=EDGE_CURVE('',#15179,#15180,#6536,.T.); #18688=EDGE_CURVE('',#15180,#15178,#6537,.T.); #18689=EDGE_CURVE('',#15181,#15179,#6538,.T.); #18690=EDGE_CURVE('',#15181,#15182,#6539,.T.); #18691=EDGE_CURVE('',#15182,#15180,#6540,.T.); #18692=EDGE_CURVE('',#15183,#15181,#6541,.T.); #18693=EDGE_CURVE('',#15183,#15184,#6542,.T.); #18694=EDGE_CURVE('',#15184,#15182,#6543,.T.); #18695=EDGE_CURVE('',#15150,#15183,#6544,.T.); #18696=EDGE_CURVE('',#15152,#15184,#6545,.T.); #18697=EDGE_CURVE('',#15185,#15186,#6546,.T.); #18698=EDGE_CURVE('',#15186,#15187,#6547,.T.); #18699=EDGE_CURVE('',#15187,#15188,#6548,.T.); #18700=EDGE_CURVE('',#15185,#15188,#6549,.T.); #18701=EDGE_CURVE('',#15186,#15189,#6550,.T.); #18702=EDGE_CURVE('',#15189,#15190,#6551,.T.); #18703=EDGE_CURVE('',#15190,#15187,#6552,.T.); #18704=EDGE_CURVE('',#15189,#15191,#6553,.T.); #18705=EDGE_CURVE('',#15191,#15192,#6554,.T.); #18706=EDGE_CURVE('',#15192,#15190,#6555,.T.); #18707=EDGE_CURVE('',#15191,#15193,#6556,.T.); #18708=EDGE_CURVE('',#15193,#15194,#6557,.T.); #18709=EDGE_CURVE('',#15194,#15192,#6558,.T.); #18710=EDGE_CURVE('',#15193,#15195,#6559,.T.); #18711=EDGE_CURVE('',#15195,#15196,#6560,.T.); #18712=EDGE_CURVE('',#15196,#15194,#6561,.T.); #18713=EDGE_CURVE('',#15195,#15197,#6562,.T.); #18714=EDGE_CURVE('',#15197,#15198,#6563,.T.); #18715=EDGE_CURVE('',#15198,#15196,#6564,.T.); #18716=EDGE_CURVE('',#15197,#15199,#6565,.T.); #18717=EDGE_CURVE('',#15199,#15200,#6566,.T.); #18718=EDGE_CURVE('',#15200,#15198,#6567,.T.); #18719=EDGE_CURVE('',#15199,#15201,#6568,.T.); #18720=EDGE_CURVE('',#15201,#15202,#6569,.T.); #18721=EDGE_CURVE('',#15202,#15200,#6570,.T.); #18722=EDGE_CURVE('',#15201,#15203,#6571,.T.); #18723=EDGE_CURVE('',#15203,#15204,#6572,.T.); #18724=EDGE_CURVE('',#15204,#15202,#6573,.T.); #18725=EDGE_CURVE('',#15203,#15205,#6574,.T.); #18726=EDGE_CURVE('',#15205,#15206,#6575,.T.); #18727=EDGE_CURVE('',#15206,#15204,#6576,.T.); #18728=EDGE_CURVE('',#15205,#15207,#6577,.T.); #18729=EDGE_CURVE('',#15207,#15208,#6578,.T.); #18730=EDGE_CURVE('',#15208,#15206,#6579,.T.); #18731=EDGE_CURVE('',#15207,#15209,#6580,.T.); #18732=EDGE_CURVE('',#15209,#15210,#6581,.T.); #18733=EDGE_CURVE('',#15210,#15208,#6582,.T.); #18734=EDGE_CURVE('',#15209,#15211,#6583,.T.); #18735=EDGE_CURVE('',#15211,#15212,#6584,.T.); #18736=EDGE_CURVE('',#15212,#15210,#6585,.T.); #18737=EDGE_CURVE('',#15211,#15213,#6586,.T.); #18738=EDGE_CURVE('',#15213,#15214,#6587,.T.); #18739=EDGE_CURVE('',#15214,#15212,#6588,.T.); #18740=EDGE_CURVE('',#15213,#15215,#6589,.T.); #18741=EDGE_CURVE('',#15215,#15216,#6590,.T.); #18742=EDGE_CURVE('',#15216,#15214,#6591,.T.); #18743=EDGE_CURVE('',#15215,#15217,#6592,.T.); #18744=EDGE_CURVE('',#15217,#15218,#6593,.T.); #18745=EDGE_CURVE('',#15218,#15216,#6594,.T.); #18746=EDGE_CURVE('',#15219,#15217,#6595,.T.); #18747=EDGE_CURVE('',#15219,#15220,#6596,.T.); #18748=EDGE_CURVE('',#15220,#15218,#6597,.T.); #18749=EDGE_CURVE('',#15219,#15221,#13704,.T.); #18750=EDGE_CURVE('',#15221,#15222,#6598,.T.); #18751=EDGE_CURVE('',#15222,#15220,#13705,.T.); #18752=EDGE_CURVE('',#15221,#15223,#6599,.T.); #18753=EDGE_CURVE('',#15223,#15224,#6600,.T.); #18754=EDGE_CURVE('',#15224,#15222,#6601,.T.); #18755=EDGE_CURVE('',#15225,#15223,#6602,.T.); #18756=EDGE_CURVE('',#15225,#15226,#6603,.T.); #18757=EDGE_CURVE('',#15226,#15224,#6604,.T.); #18758=EDGE_CURVE('',#15227,#15225,#6605,.T.); #18759=EDGE_CURVE('',#15227,#15228,#6606,.T.); #18760=EDGE_CURVE('',#15228,#15226,#6607,.T.); #18761=EDGE_CURVE('',#15229,#15227,#6608,.T.); #18762=EDGE_CURVE('',#15229,#15230,#6609,.T.); #18763=EDGE_CURVE('',#15230,#15228,#6610,.T.); #18764=EDGE_CURVE('',#15231,#15229,#6611,.T.); #18765=EDGE_CURVE('',#15231,#15232,#6612,.T.); #18766=EDGE_CURVE('',#15232,#15230,#6613,.T.); #18767=EDGE_CURVE('',#15233,#15231,#6614,.T.); #18768=EDGE_CURVE('',#15233,#15234,#6615,.T.); #18769=EDGE_CURVE('',#15234,#15232,#6616,.T.); #18770=EDGE_CURVE('',#15235,#15233,#6617,.T.); #18771=EDGE_CURVE('',#15235,#15236,#6618,.T.); #18772=EDGE_CURVE('',#15236,#15234,#6619,.T.); #18773=EDGE_CURVE('',#15237,#15235,#6620,.T.); #18774=EDGE_CURVE('',#15237,#15238,#6621,.T.); #18775=EDGE_CURVE('',#15238,#15236,#6622,.T.); #18776=EDGE_CURVE('',#15239,#15237,#6623,.T.); #18777=EDGE_CURVE('',#15239,#15240,#6624,.T.); #18778=EDGE_CURVE('',#15240,#15238,#6625,.T.); #18779=EDGE_CURVE('',#15241,#15239,#6626,.T.); #18780=EDGE_CURVE('',#15241,#15242,#6627,.T.); #18781=EDGE_CURVE('',#15242,#15240,#6628,.T.); #18782=EDGE_CURVE('',#15243,#15241,#6629,.T.); #18783=EDGE_CURVE('',#15243,#15244,#6630,.T.); #18784=EDGE_CURVE('',#15244,#15242,#6631,.T.); #18785=EDGE_CURVE('',#15245,#15243,#6632,.T.); #18786=EDGE_CURVE('',#15245,#15246,#6633,.T.); #18787=EDGE_CURVE('',#15246,#15244,#6634,.T.); #18788=EDGE_CURVE('',#15247,#15245,#6635,.T.); #18789=EDGE_CURVE('',#15247,#15248,#6636,.T.); #18790=EDGE_CURVE('',#15248,#15246,#6637,.T.); #18791=EDGE_CURVE('',#15249,#15247,#6638,.T.); #18792=EDGE_CURVE('',#15249,#15250,#6639,.T.); #18793=EDGE_CURVE('',#15250,#15248,#6640,.T.); #18794=EDGE_CURVE('',#15251,#15249,#6641,.T.); #18795=EDGE_CURVE('',#15251,#15252,#6642,.T.); #18796=EDGE_CURVE('',#15252,#15250,#6643,.T.); #18797=EDGE_CURVE('',#15253,#15251,#6644,.T.); #18798=EDGE_CURVE('',#15253,#15254,#6645,.T.); #18799=EDGE_CURVE('',#15254,#15252,#6646,.T.); #18800=EDGE_CURVE('',#15255,#15253,#6647,.T.); #18801=EDGE_CURVE('',#15255,#15256,#6648,.T.); #18802=EDGE_CURVE('',#15256,#15254,#6649,.T.); #18803=EDGE_CURVE('',#15185,#15255,#6650,.T.); #18804=EDGE_CURVE('',#15188,#15256,#6651,.T.); #18805=EDGE_CURVE('',#15257,#15257,#13706,.T.); #18806=EDGE_CURVE('',#15257,#15258,#13707,.T.); #18807=EDGE_CURVE('',#15259,#15259,#13708,.T.); #18808=EDGE_CURVE('',#15259,#15260,#13709,.T.); #18809=EDGE_CURVE('',#15260,#15261,#13710,.T.); #18810=EDGE_CURVE('',#15261,#15260,#13711,.T.); #18811=EDGE_CURVE('',#15261,#15262,#6652,.T.); #18812=EDGE_CURVE('',#15262,#15262,#13712,.T.); #18813=EDGE_CURVE('',#15263,#15263,#13713,.T.); #18814=EDGE_CURVE('',#15263,#15264,#6653,.T.); #18815=EDGE_CURVE('',#15264,#15264,#13714,.T.); #18816=EDGE_CURVE('',#15265,#15265,#13715,.T.); #18817=EDGE_CURVE('',#15265,#15266,#6654,.T.); #18818=EDGE_CURVE('',#15266,#15266,#13716,.T.); #18819=EDGE_CURVE('',#15267,#15268,#6655,.T.); #18820=EDGE_CURVE('',#15267,#15269,#6656,.T.); #18821=EDGE_CURVE('',#15270,#15269,#6657,.T.); #18822=EDGE_CURVE('',#15268,#15270,#6658,.T.); #18823=EDGE_CURVE('',#15268,#15271,#13717,.T.); #18824=EDGE_CURVE('',#15272,#15270,#13718,.T.); #18825=EDGE_CURVE('',#15271,#15272,#6659,.T.); #18826=EDGE_CURVE('',#15273,#15271,#6660,.T.); #18827=EDGE_CURVE('',#15274,#15272,#6661,.T.); #18828=EDGE_CURVE('',#15273,#15274,#6662,.T.); #18829=EDGE_CURVE('',#15273,#15275,#13719,.T.); #18830=EDGE_CURVE('',#15276,#15274,#13720,.T.); #18831=EDGE_CURVE('',#15275,#15276,#6663,.T.); #18832=EDGE_CURVE('',#15275,#15277,#6664,.T.); #18833=EDGE_CURVE('',#15278,#15276,#6665,.T.); #18834=EDGE_CURVE('',#15277,#15278,#6666,.T.); #18835=EDGE_CURVE('',#15277,#15279,#13721,.T.); #18836=EDGE_CURVE('',#15280,#15278,#13722,.T.); #18837=EDGE_CURVE('',#15279,#15280,#6667,.T.); #18838=EDGE_CURVE('',#15281,#15279,#6668,.T.); #18839=EDGE_CURVE('',#15282,#15280,#6669,.T.); #18840=EDGE_CURVE('',#15281,#15282,#6670,.T.); #18841=EDGE_CURVE('',#15281,#15267,#13723,.T.); #18842=EDGE_CURVE('',#15269,#15282,#13724,.T.); #18843=EDGE_CURVE('',#15283,#15284,#13725,.T.); #18844=EDGE_CURVE('',#15285,#15285,#13726,.T.); #18845=EDGE_CURVE('',#15285,#15286,#6671,.T.); #18846=EDGE_CURVE('',#15286,#15286,#13727,.T.); #18847=EDGE_CURVE('',#15287,#15288,#6672,.T.); #18848=EDGE_CURVE('',#15288,#15289,#6673,.T.); #18849=EDGE_CURVE('',#15290,#15289,#6674,.T.); #18850=EDGE_CURVE('',#15287,#15290,#6675,.T.); #18851=EDGE_CURVE('',#15291,#15287,#13728,.T.); #18852=EDGE_CURVE('',#15292,#15290,#13729,.T.); #18853=EDGE_CURVE('',#15291,#15292,#6676,.T.); #18854=EDGE_CURVE('',#15293,#15291,#6677,.T.); #18855=EDGE_CURVE('',#15294,#15292,#6678,.T.); #18856=EDGE_CURVE('',#15293,#15294,#6679,.T.); #18857=EDGE_CURVE('',#15295,#15293,#6680,.T.); #18858=EDGE_CURVE('',#15296,#15294,#6681,.T.); #18859=EDGE_CURVE('',#15295,#15296,#6682,.T.); #18860=EDGE_CURVE('',#15297,#15295,#6683,.T.); #18861=EDGE_CURVE('',#15298,#15296,#6684,.T.); #18862=EDGE_CURVE('',#15297,#15298,#6685,.T.); #18863=EDGE_CURVE('',#15299,#15297,#6686,.T.); #18864=EDGE_CURVE('',#15300,#15298,#6687,.T.); #18865=EDGE_CURVE('',#15299,#15300,#6688,.T.); #18866=EDGE_CURVE('',#15301,#15299,#6689,.T.); #18867=EDGE_CURVE('',#15302,#15300,#6690,.T.); #18868=EDGE_CURVE('',#15301,#15302,#6691,.T.); #18869=EDGE_CURVE('',#15303,#15301,#6692,.T.); #18870=EDGE_CURVE('',#15304,#15302,#6693,.T.); #18871=EDGE_CURVE('',#15303,#15304,#6694,.T.); #18872=EDGE_CURVE('',#15305,#15303,#6695,.T.); #18873=EDGE_CURVE('',#15306,#15304,#6696,.T.); #18874=EDGE_CURVE('',#15305,#15306,#6697,.T.); #18875=EDGE_CURVE('',#15288,#15305,#13730,.T.); #18876=EDGE_CURVE('',#15289,#15306,#13731,.T.); #18877=EDGE_CURVE('',#15307,#15307,#13732,.T.); #18878=EDGE_CURVE('',#15307,#15308,#6698,.T.); #18879=EDGE_CURVE('',#15308,#15308,#13733,.T.); #18880=EDGE_CURVE('',#15309,#15310,#6699,.T.); #18881=EDGE_CURVE('',#15309,#15311,#6700,.T.); #18882=EDGE_CURVE('',#15312,#15311,#6701,.T.); #18883=EDGE_CURVE('',#15310,#15312,#6702,.T.); #18884=EDGE_CURVE('',#15313,#15310,#13734,.T.); #18885=EDGE_CURVE('',#15314,#15312,#13735,.T.); #18886=EDGE_CURVE('',#15313,#15314,#6703,.T.); #18887=EDGE_CURVE('',#15313,#15315,#6704,.T.); #18888=EDGE_CURVE('',#15316,#15314,#6705,.T.); #18889=EDGE_CURVE('',#15315,#15316,#6706,.T.); #18890=EDGE_CURVE('',#15315,#15317,#6707,.T.); #18891=EDGE_CURVE('',#15318,#15316,#6708,.T.); #18892=EDGE_CURVE('',#15317,#15318,#6709,.T.); #18893=EDGE_CURVE('',#15317,#15319,#6710,.T.); #18894=EDGE_CURVE('',#15320,#15318,#6711,.T.); #18895=EDGE_CURVE('',#15319,#15320,#6712,.T.); #18896=EDGE_CURVE('',#15319,#15321,#6713,.T.); #18897=EDGE_CURVE('',#15322,#15320,#6714,.T.); #18898=EDGE_CURVE('',#15321,#15322,#6715,.T.); #18899=EDGE_CURVE('',#15321,#15323,#6716,.T.); #18900=EDGE_CURVE('',#15324,#15322,#6717,.T.); #18901=EDGE_CURVE('',#15323,#15324,#6718,.T.); #18902=EDGE_CURVE('',#15323,#15325,#6719,.T.); #18903=EDGE_CURVE('',#15326,#15324,#6720,.T.); #18904=EDGE_CURVE('',#15325,#15326,#6721,.T.); #18905=EDGE_CURVE('',#15325,#15327,#6722,.T.); #18906=EDGE_CURVE('',#15328,#15326,#6723,.T.); #18907=EDGE_CURVE('',#15327,#15328,#6724,.T.); #18908=EDGE_CURVE('',#15309,#15327,#13736,.T.); #18909=EDGE_CURVE('',#15311,#15328,#13737,.T.); #18910=EDGE_CURVE('',#15329,#15329,#13738,.T.); #18911=EDGE_CURVE('',#15329,#15330,#6725,.T.); #18912=EDGE_CURVE('',#15330,#15330,#13739,.T.); #18913=EDGE_CURVE('',#15331,#15331,#13740,.T.); #18914=EDGE_CURVE('',#15331,#15332,#6726,.T.); #18915=EDGE_CURVE('',#15332,#15332,#13741,.T.); #18916=EDGE_CURVE('',#15333,#15333,#13742,.T.); #18917=EDGE_CURVE('',#15333,#15334,#6727,.T.); #18918=EDGE_CURVE('',#15334,#15334,#13743,.T.); #18919=EDGE_CURVE('',#15335,#15336,#13744,.T.); #18920=EDGE_CURVE('',#15335,#15337,#6728,.T.); #18921=EDGE_CURVE('',#15338,#15337,#13745,.T.); #18922=EDGE_CURVE('',#15336,#15338,#6729,.T.); #18923=EDGE_CURVE('',#15336,#15339,#6730,.T.); #18924=EDGE_CURVE('',#15340,#15338,#6731,.T.); #18925=EDGE_CURVE('',#15339,#15340,#6732,.T.); #18926=EDGE_CURVE('',#15339,#15341,#6733,.T.); #18927=EDGE_CURVE('',#15342,#15340,#6734,.T.); #18928=EDGE_CURVE('',#15341,#15342,#6735,.T.); #18929=EDGE_CURVE('',#15341,#15343,#6736,.T.); #18930=EDGE_CURVE('',#15344,#15342,#6737,.T.); #18931=EDGE_CURVE('',#15343,#15344,#6738,.T.); #18932=EDGE_CURVE('',#15343,#15345,#6739,.T.); #18933=EDGE_CURVE('',#15346,#15344,#6740,.T.); #18934=EDGE_CURVE('',#15345,#15346,#6741,.T.); #18935=EDGE_CURVE('',#15345,#15347,#6742,.T.); #18936=EDGE_CURVE('',#15348,#15346,#6743,.T.); #18937=EDGE_CURVE('',#15347,#15348,#6744,.T.); #18938=EDGE_CURVE('',#15347,#15349,#6745,.T.); #18939=EDGE_CURVE('',#15350,#15348,#6746,.T.); #18940=EDGE_CURVE('',#15349,#15350,#6747,.T.); #18941=EDGE_CURVE('',#15349,#15351,#6748,.T.); #18942=EDGE_CURVE('',#15352,#15350,#6749,.T.); #18943=EDGE_CURVE('',#15351,#15352,#6750,.T.); #18944=EDGE_CURVE('',#15351,#15353,#13746,.T.); #18945=EDGE_CURVE('',#15354,#15352,#13747,.T.); #18946=EDGE_CURVE('',#15353,#15354,#6751,.T.); #18947=EDGE_CURVE('',#15353,#15335,#6752,.T.); #18948=EDGE_CURVE('',#15337,#15354,#6753,.T.); #18949=EDGE_CURVE('',#15355,#15355,#13748,.T.); #18950=EDGE_CURVE('',#15355,#15356,#6754,.T.); #18951=EDGE_CURVE('',#15356,#15356,#13749,.T.); #18952=EDGE_CURVE('',#15357,#15357,#13750,.T.); #18953=EDGE_CURVE('',#15357,#15358,#6755,.T.); #18954=EDGE_CURVE('',#15358,#15358,#13751,.T.); #18955=EDGE_CURVE('',#15359,#15359,#13752,.T.); #18956=EDGE_CURVE('',#15359,#15360,#6756,.T.); #18957=EDGE_CURVE('',#15360,#15360,#13753,.T.); #18958=EDGE_CURVE('',#15361,#15362,#13754,.T.); #18959=EDGE_CURVE('',#15361,#15363,#6757,.T.); #18960=EDGE_CURVE('',#15364,#15363,#13755,.T.); #18961=EDGE_CURVE('',#15362,#15364,#6758,.T.); #18962=EDGE_CURVE('',#15362,#15365,#6759,.T.); #18963=EDGE_CURVE('',#15366,#15364,#6760,.T.); #18964=EDGE_CURVE('',#15365,#15366,#6761,.T.); #18965=EDGE_CURVE('',#15365,#15367,#6762,.T.); #18966=EDGE_CURVE('',#15368,#15366,#6763,.T.); #18967=EDGE_CURVE('',#15367,#15368,#6764,.T.); #18968=EDGE_CURVE('',#15367,#15369,#6765,.T.); #18969=EDGE_CURVE('',#15370,#15368,#6766,.T.); #18970=EDGE_CURVE('',#15369,#15370,#6767,.T.); #18971=EDGE_CURVE('',#15369,#15371,#6768,.T.); #18972=EDGE_CURVE('',#15372,#15370,#6769,.T.); #18973=EDGE_CURVE('',#15371,#15372,#6770,.T.); #18974=EDGE_CURVE('',#15371,#15373,#6771,.T.); #18975=EDGE_CURVE('',#15374,#15372,#6772,.T.); #18976=EDGE_CURVE('',#15373,#15374,#6773,.T.); #18977=EDGE_CURVE('',#15373,#15375,#6774,.T.); #18978=EDGE_CURVE('',#15376,#15374,#6775,.T.); #18979=EDGE_CURVE('',#15375,#15376,#6776,.T.); #18980=EDGE_CURVE('',#15375,#15377,#6777,.T.); #18981=EDGE_CURVE('',#15378,#15376,#6778,.T.); #18982=EDGE_CURVE('',#15377,#15378,#6779,.T.); #18983=EDGE_CURVE('',#15377,#15379,#13756,.T.); #18984=EDGE_CURVE('',#15380,#15378,#13757,.T.); #18985=EDGE_CURVE('',#15379,#15380,#6780,.T.); #18986=EDGE_CURVE('',#15379,#15361,#6781,.T.); #18987=EDGE_CURVE('',#15363,#15380,#6782,.T.); #18988=EDGE_CURVE('',#15381,#15381,#13758,.T.); #18989=EDGE_CURVE('',#15381,#15382,#6783,.T.); #18990=EDGE_CURVE('',#15382,#15382,#13759,.T.); #18991=EDGE_CURVE('',#15383,#15384,#6784,.T.); #18992=EDGE_CURVE('',#15383,#15385,#6785,.T.); #18993=EDGE_CURVE('',#15385,#15386,#6786,.T.); #18994=EDGE_CURVE('',#15384,#15386,#6787,.T.); #18995=EDGE_CURVE('',#15387,#15383,#13760,.T.); #18996=EDGE_CURVE('',#15387,#15388,#6788,.T.); #18997=EDGE_CURVE('',#15388,#15385,#13761,.T.); #18998=EDGE_CURVE('',#15389,#15387,#6789,.T.); #18999=EDGE_CURVE('',#15389,#15390,#6790,.T.); #19000=EDGE_CURVE('',#15390,#15388,#6791,.T.); #19001=EDGE_CURVE('',#15391,#15389,#6792,.T.); #19002=EDGE_CURVE('',#15391,#15392,#6793,.T.); #19003=EDGE_CURVE('',#15392,#15390,#6794,.T.); #19004=EDGE_CURVE('',#15393,#15391,#6795,.T.); #19005=EDGE_CURVE('',#15393,#15394,#6796,.T.); #19006=EDGE_CURVE('',#15394,#15392,#6797,.T.); #19007=EDGE_CURVE('',#15395,#15393,#6798,.T.); #19008=EDGE_CURVE('',#15395,#15396,#6799,.T.); #19009=EDGE_CURVE('',#15396,#15394,#6800,.T.); #19010=EDGE_CURVE('',#15397,#15395,#6801,.T.); #19011=EDGE_CURVE('',#15397,#15398,#6802,.T.); #19012=EDGE_CURVE('',#15398,#15396,#6803,.T.); #19013=EDGE_CURVE('',#15399,#15397,#6804,.T.); #19014=EDGE_CURVE('',#15399,#15400,#6805,.T.); #19015=EDGE_CURVE('',#15400,#15398,#6806,.T.); #19016=EDGE_CURVE('',#15401,#15399,#6807,.T.); #19017=EDGE_CURVE('',#15401,#15402,#6808,.T.); #19018=EDGE_CURVE('',#15402,#15400,#6809,.T.); #19019=EDGE_CURVE('',#15384,#15401,#13762,.T.); #19020=EDGE_CURVE('',#15386,#15402,#13763,.T.); #19021=EDGE_CURVE('',#15403,#15403,#13764,.T.); #19022=EDGE_CURVE('',#15403,#15404,#6810,.T.); #19023=EDGE_CURVE('',#15404,#15404,#13765,.T.); #19024=EDGE_CURVE('',#15405,#15406,#6811,.T.); #19025=EDGE_CURVE('',#15406,#15407,#6812,.T.); #19026=EDGE_CURVE('',#15407,#15408,#6813,.T.); #19027=EDGE_CURVE('',#15405,#15408,#6814,.T.); #19028=EDGE_CURVE('',#15409,#15406,#13766,.T.); #19029=EDGE_CURVE('',#15409,#15410,#6815,.T.); #19030=EDGE_CURVE('',#15410,#15407,#13767,.T.); #19031=EDGE_CURVE('',#15409,#15411,#6816,.T.); #19032=EDGE_CURVE('',#15411,#15412,#6817,.T.); #19033=EDGE_CURVE('',#15412,#15410,#6818,.T.); #19034=EDGE_CURVE('',#15411,#15413,#6819,.T.); #19035=EDGE_CURVE('',#15413,#15414,#6820,.T.); #19036=EDGE_CURVE('',#15414,#15412,#6821,.T.); #19037=EDGE_CURVE('',#15413,#15415,#6822,.T.); #19038=EDGE_CURVE('',#15415,#15416,#6823,.T.); #19039=EDGE_CURVE('',#15416,#15414,#6824,.T.); #19040=EDGE_CURVE('',#15415,#15417,#6825,.T.); #19041=EDGE_CURVE('',#15417,#15418,#6826,.T.); #19042=EDGE_CURVE('',#15418,#15416,#6827,.T.); #19043=EDGE_CURVE('',#15417,#15419,#6828,.T.); #19044=EDGE_CURVE('',#15419,#15420,#6829,.T.); #19045=EDGE_CURVE('',#15420,#15418,#6830,.T.); #19046=EDGE_CURVE('',#15419,#15421,#6831,.T.); #19047=EDGE_CURVE('',#15421,#15422,#6832,.T.); #19048=EDGE_CURVE('',#15422,#15420,#6833,.T.); #19049=EDGE_CURVE('',#15421,#15423,#6834,.T.); #19050=EDGE_CURVE('',#15423,#15424,#6835,.T.); #19051=EDGE_CURVE('',#15424,#15422,#6836,.T.); #19052=EDGE_CURVE('',#15405,#15423,#13768,.T.); #19053=EDGE_CURVE('',#15408,#15424,#13769,.T.); #19054=EDGE_CURVE('',#15425,#15425,#13770,.T.); #19055=EDGE_CURVE('',#15425,#15426,#6837,.T.); #19056=EDGE_CURVE('',#15426,#15426,#13771,.T.); #19057=EDGE_CURVE('',#15427,#15427,#13772,.T.); #19058=EDGE_CURVE('',#15427,#15428,#6838,.T.); #19059=EDGE_CURVE('',#15428,#15428,#13773,.T.); #19060=EDGE_CURVE('',#15429,#15429,#13774,.T.); #19061=EDGE_CURVE('',#15429,#15430,#6839,.T.); #19062=EDGE_CURVE('',#15430,#15430,#13775,.T.); #19063=EDGE_CURVE('',#15431,#15432,#13776,.T.); #19064=EDGE_CURVE('',#15432,#15433,#6840,.T.); #19065=EDGE_CURVE('',#15433,#15434,#13777,.T.); #19066=EDGE_CURVE('',#15431,#15434,#6841,.T.); #19067=EDGE_CURVE('',#15432,#15435,#6842,.T.); #19068=EDGE_CURVE('',#15435,#15436,#6843,.T.); #19069=EDGE_CURVE('',#15436,#15433,#6844,.T.); #19070=EDGE_CURVE('',#15435,#15437,#6845,.T.); #19071=EDGE_CURVE('',#15437,#15438,#6846,.T.); #19072=EDGE_CURVE('',#15438,#15436,#6847,.T.); #19073=EDGE_CURVE('',#15437,#15439,#6848,.T.); #19074=EDGE_CURVE('',#15439,#15440,#6849,.T.); #19075=EDGE_CURVE('',#15440,#15438,#6850,.T.); #19076=EDGE_CURVE('',#15439,#15441,#6851,.T.); #19077=EDGE_CURVE('',#15441,#15442,#6852,.T.); #19078=EDGE_CURVE('',#15442,#15440,#6853,.T.); #19079=EDGE_CURVE('',#15441,#15443,#6854,.T.); #19080=EDGE_CURVE('',#15443,#15444,#6855,.T.); #19081=EDGE_CURVE('',#15444,#15442,#6856,.T.); #19082=EDGE_CURVE('',#15443,#15445,#6857,.T.); #19083=EDGE_CURVE('',#15445,#15446,#6858,.T.); #19084=EDGE_CURVE('',#15446,#15444,#6859,.T.); #19085=EDGE_CURVE('',#15445,#15447,#6860,.T.); #19086=EDGE_CURVE('',#15447,#15448,#6861,.T.); #19087=EDGE_CURVE('',#15448,#15446,#6862,.T.); #19088=EDGE_CURVE('',#15447,#15449,#13778,.T.); #19089=EDGE_CURVE('',#15449,#15450,#6863,.T.); #19090=EDGE_CURVE('',#15450,#15448,#13779,.T.); #19091=EDGE_CURVE('',#15449,#15431,#6864,.T.); #19092=EDGE_CURVE('',#15434,#15450,#6865,.T.); #19093=EDGE_CURVE('',#15451,#15451,#13780,.T.); #19094=EDGE_CURVE('',#15451,#15452,#6866,.T.); #19095=EDGE_CURVE('',#15452,#15452,#13781,.T.); #19096=EDGE_CURVE('',#15453,#15453,#13782,.T.); #19097=EDGE_CURVE('',#15453,#15454,#6867,.T.); #19098=EDGE_CURVE('',#15454,#15454,#13783,.T.); #19099=EDGE_CURVE('',#15455,#15455,#13784,.T.); #19100=EDGE_CURVE('',#15455,#15456,#6868,.T.); #19101=EDGE_CURVE('',#15456,#15456,#13785,.T.); #19102=EDGE_CURVE('',#15457,#15458,#13786,.T.); #19103=EDGE_CURVE('',#15458,#15459,#6869,.T.); #19104=EDGE_CURVE('',#15459,#15460,#13787,.T.); #19105=EDGE_CURVE('',#15457,#15460,#6870,.T.); #19106=EDGE_CURVE('',#15458,#15461,#6871,.T.); #19107=EDGE_CURVE('',#15461,#15462,#6872,.T.); #19108=EDGE_CURVE('',#15462,#15459,#6873,.T.); #19109=EDGE_CURVE('',#15461,#15463,#6874,.T.); #19110=EDGE_CURVE('',#15463,#15464,#6875,.T.); #19111=EDGE_CURVE('',#15464,#15462,#6876,.T.); #19112=EDGE_CURVE('',#15463,#15465,#6877,.T.); #19113=EDGE_CURVE('',#15465,#15466,#6878,.T.); #19114=EDGE_CURVE('',#15466,#15464,#6879,.T.); #19115=EDGE_CURVE('',#15465,#15467,#6880,.T.); #19116=EDGE_CURVE('',#15467,#15468,#6881,.T.); #19117=EDGE_CURVE('',#15468,#15466,#6882,.T.); #19118=EDGE_CURVE('',#15467,#15469,#6883,.T.); #19119=EDGE_CURVE('',#15469,#15470,#6884,.T.); #19120=EDGE_CURVE('',#15470,#15468,#6885,.T.); #19121=EDGE_CURVE('',#15469,#15471,#6886,.T.); #19122=EDGE_CURVE('',#15471,#15472,#6887,.T.); #19123=EDGE_CURVE('',#15472,#15470,#6888,.T.); #19124=EDGE_CURVE('',#15471,#15473,#6889,.T.); #19125=EDGE_CURVE('',#15473,#15474,#6890,.T.); #19126=EDGE_CURVE('',#15474,#15472,#6891,.T.); #19127=EDGE_CURVE('',#15473,#15475,#13788,.T.); #19128=EDGE_CURVE('',#15475,#15476,#6892,.T.); #19129=EDGE_CURVE('',#15476,#15474,#13789,.T.); #19130=EDGE_CURVE('',#15475,#15457,#6893,.T.); #19131=EDGE_CURVE('',#15460,#15476,#6894,.T.); #19132=EDGE_CURVE('',#15477,#15478,#6895,.T.); #19133=EDGE_CURVE('',#15477,#15479,#6896,.T.); #19134=EDGE_CURVE('',#15480,#15479,#6897,.T.); #19135=EDGE_CURVE('',#15478,#15480,#6898,.T.); #19136=EDGE_CURVE('',#15478,#15481,#6899,.T.); #19137=EDGE_CURVE('',#15482,#15480,#6900,.T.); #19138=EDGE_CURVE('',#15481,#15482,#6901,.T.); #19139=EDGE_CURVE('',#15481,#15483,#6902,.T.); #19140=EDGE_CURVE('',#15484,#15482,#6903,.T.); #19141=EDGE_CURVE('',#15483,#15484,#6904,.T.); #19142=EDGE_CURVE('',#15483,#15477,#6905,.T.); #19143=EDGE_CURVE('',#15479,#15484,#6906,.T.); #19144=EDGE_CURVE('',#15485,#15486,#6907,.T.); #19145=EDGE_CURVE('',#15485,#15487,#6908,.T.); #19146=EDGE_CURVE('',#15488,#15487,#6909,.T.); #19147=EDGE_CURVE('',#15486,#15488,#6910,.T.); #19148=EDGE_CURVE('',#15486,#15489,#6911,.T.); #19149=EDGE_CURVE('',#15490,#15488,#6912,.T.); #19150=EDGE_CURVE('',#15489,#15490,#6913,.T.); #19151=EDGE_CURVE('',#15489,#15491,#6914,.T.); #19152=EDGE_CURVE('',#15492,#15490,#6915,.T.); #19153=EDGE_CURVE('',#15491,#15492,#6916,.T.); #19154=EDGE_CURVE('',#15491,#15485,#6917,.T.); #19155=EDGE_CURVE('',#15487,#15492,#6918,.T.); #19156=EDGE_CURVE('',#15493,#15494,#6919,.T.); #19157=EDGE_CURVE('',#15493,#15495,#6920,.T.); #19158=EDGE_CURVE('',#15496,#15495,#6921,.T.); #19159=EDGE_CURVE('',#15494,#15496,#6922,.T.); #19160=EDGE_CURVE('',#15494,#15497,#6923,.T.); #19161=EDGE_CURVE('',#15498,#15496,#6924,.T.); #19162=EDGE_CURVE('',#15497,#15498,#6925,.T.); #19163=EDGE_CURVE('',#15497,#15499,#6926,.T.); #19164=EDGE_CURVE('',#15500,#15498,#6927,.T.); #19165=EDGE_CURVE('',#15499,#15500,#6928,.T.); #19166=EDGE_CURVE('',#15499,#15493,#6929,.T.); #19167=EDGE_CURVE('',#15495,#15500,#6930,.T.); #19168=EDGE_CURVE('',#15501,#15501,#13790,.T.); #19169=EDGE_CURVE('',#15501,#15502,#6931,.T.); #19170=EDGE_CURVE('',#15502,#15502,#13791,.T.); #19171=EDGE_CURVE('',#15503,#15503,#13792,.T.); #19172=EDGE_CURVE('',#15503,#15504,#6932,.T.); #19173=EDGE_CURVE('',#15504,#15504,#13793,.T.); #19174=EDGE_CURVE('',#15505,#15505,#13794,.T.); #19175=EDGE_CURVE('',#15505,#15506,#6933,.T.); #19176=EDGE_CURVE('',#15506,#15506,#13795,.T.); #19177=EDGE_CURVE('',#15507,#15507,#13796,.T.); #19178=EDGE_CURVE('',#15507,#15508,#6934,.T.); #19179=EDGE_CURVE('',#15508,#15508,#13797,.T.); #19180=EDGE_CURVE('',#15509,#15509,#13798,.T.); #19181=EDGE_CURVE('',#15509,#15510,#6935,.T.); #19182=EDGE_CURVE('',#15510,#15510,#13799,.T.); #19183=EDGE_CURVE('',#15511,#15511,#13800,.T.); #19184=EDGE_CURVE('',#15511,#15512,#6936,.T.); #19185=EDGE_CURVE('',#15512,#15512,#13801,.T.); #19186=EDGE_CURVE('',#15513,#15514,#6937,.T.); #19187=EDGE_CURVE('',#15513,#15515,#6938,.T.); #19188=EDGE_CURVE('',#15516,#15515,#6939,.T.); #19189=EDGE_CURVE('',#15514,#15516,#6940,.T.); #19190=EDGE_CURVE('',#15514,#15517,#6941,.T.); #19191=EDGE_CURVE('',#15518,#15516,#6942,.T.); #19192=EDGE_CURVE('',#15517,#15518,#6943,.T.); #19193=EDGE_CURVE('',#15517,#15519,#6944,.T.); #19194=EDGE_CURVE('',#15520,#15518,#6945,.T.); #19195=EDGE_CURVE('',#15519,#15520,#6946,.T.); #19196=EDGE_CURVE('',#15519,#15513,#6947,.T.); #19197=EDGE_CURVE('',#15515,#15520,#6948,.T.); #19198=EDGE_CURVE('',#15521,#15522,#6949,.T.); #19199=EDGE_CURVE('',#15521,#15523,#6950,.T.); #19200=EDGE_CURVE('',#15524,#15523,#6951,.T.); #19201=EDGE_CURVE('',#15522,#15524,#6952,.T.); #19202=EDGE_CURVE('',#15522,#15525,#6953,.T.); #19203=EDGE_CURVE('',#15526,#15524,#6954,.T.); #19204=EDGE_CURVE('',#15525,#15526,#6955,.T.); #19205=EDGE_CURVE('',#15525,#15527,#6956,.T.); #19206=EDGE_CURVE('',#15528,#15526,#6957,.T.); #19207=EDGE_CURVE('',#15527,#15528,#6958,.T.); #19208=EDGE_CURVE('',#15527,#15521,#6959,.T.); #19209=EDGE_CURVE('',#15523,#15528,#6960,.T.); #19210=EDGE_CURVE('',#15529,#15530,#6961,.T.); #19211=EDGE_CURVE('',#15529,#15531,#6962,.T.); #19212=EDGE_CURVE('',#15532,#15531,#6963,.T.); #19213=EDGE_CURVE('',#15530,#15532,#6964,.T.); #19214=EDGE_CURVE('',#15530,#15533,#6965,.T.); #19215=EDGE_CURVE('',#15534,#15532,#6966,.T.); #19216=EDGE_CURVE('',#15533,#15534,#6967,.T.); #19217=EDGE_CURVE('',#15533,#15535,#6968,.T.); #19218=EDGE_CURVE('',#15536,#15534,#6969,.T.); #19219=EDGE_CURVE('',#15535,#15536,#6970,.T.); #19220=EDGE_CURVE('',#15535,#15529,#6971,.T.); #19221=EDGE_CURVE('',#15531,#15536,#6972,.T.); #19222=EDGE_CURVE('',#15537,#15538,#6973,.T.); #19223=EDGE_CURVE('',#15537,#15539,#6974,.T.); #19224=EDGE_CURVE('',#15540,#15539,#6975,.T.); #19225=EDGE_CURVE('',#15538,#15540,#6976,.T.); #19226=EDGE_CURVE('',#15538,#15541,#6977,.T.); #19227=EDGE_CURVE('',#15542,#15540,#6978,.T.); #19228=EDGE_CURVE('',#15541,#15542,#6979,.T.); #19229=EDGE_CURVE('',#15541,#15543,#6980,.T.); #19230=EDGE_CURVE('',#15544,#15542,#6981,.T.); #19231=EDGE_CURVE('',#15543,#15544,#6982,.T.); #19232=EDGE_CURVE('',#15543,#15537,#6983,.T.); #19233=EDGE_CURVE('',#15539,#15544,#6984,.T.); #19234=EDGE_CURVE('',#15545,#15546,#6985,.T.); #19235=EDGE_CURVE('',#15545,#15547,#6986,.T.); #19236=EDGE_CURVE('',#15548,#15547,#6987,.T.); #19237=EDGE_CURVE('',#15546,#15548,#6988,.T.); #19238=EDGE_CURVE('',#15546,#15549,#6989,.T.); #19239=EDGE_CURVE('',#15550,#15548,#6990,.T.); #19240=EDGE_CURVE('',#15549,#15550,#6991,.T.); #19241=EDGE_CURVE('',#15549,#15551,#6992,.T.); #19242=EDGE_CURVE('',#15552,#15550,#6993,.T.); #19243=EDGE_CURVE('',#15551,#15552,#6994,.T.); #19244=EDGE_CURVE('',#15551,#15545,#6995,.T.); #19245=EDGE_CURVE('',#15547,#15552,#6996,.T.); #19246=EDGE_CURVE('',#15553,#15554,#6997,.T.); #19247=EDGE_CURVE('',#15553,#15555,#6998,.T.); #19248=EDGE_CURVE('',#15556,#15555,#6999,.T.); #19249=EDGE_CURVE('',#15554,#15556,#7000,.T.); #19250=EDGE_CURVE('',#15554,#15557,#7001,.T.); #19251=EDGE_CURVE('',#15558,#15556,#7002,.T.); #19252=EDGE_CURVE('',#15557,#15558,#7003,.T.); #19253=EDGE_CURVE('',#15557,#15559,#7004,.T.); #19254=EDGE_CURVE('',#15560,#15558,#7005,.T.); #19255=EDGE_CURVE('',#15559,#15560,#7006,.T.); #19256=EDGE_CURVE('',#15559,#15553,#7007,.T.); #19257=EDGE_CURVE('',#15555,#15560,#7008,.T.); #19258=EDGE_CURVE('',#15561,#15561,#13802,.T.); #19259=EDGE_CURVE('',#15561,#15562,#7009,.T.); #19260=EDGE_CURVE('',#15562,#15562,#13803,.T.); #19261=EDGE_CURVE('',#15563,#15564,#7010,.T.); #19262=EDGE_CURVE('',#15563,#15565,#7011,.T.); #19263=EDGE_CURVE('',#15566,#15565,#7012,.T.); #19264=EDGE_CURVE('',#15564,#15566,#7013,.T.); #19265=EDGE_CURVE('',#15564,#15567,#7014,.T.); #19266=EDGE_CURVE('',#15568,#15566,#7015,.T.); #19267=EDGE_CURVE('',#15567,#15568,#7016,.T.); #19268=EDGE_CURVE('',#15567,#15569,#7017,.T.); #19269=EDGE_CURVE('',#15570,#15568,#7018,.T.); #19270=EDGE_CURVE('',#15569,#15570,#7019,.T.); #19271=EDGE_CURVE('',#15569,#15563,#7020,.T.); #19272=EDGE_CURVE('',#15565,#15570,#7021,.T.); #19273=EDGE_CURVE('',#15571,#15572,#7022,.T.); #19274=EDGE_CURVE('',#15571,#15573,#7023,.T.); #19275=EDGE_CURVE('',#15574,#15573,#7024,.T.); #19276=EDGE_CURVE('',#15572,#15574,#7025,.T.); #19277=EDGE_CURVE('',#15572,#15575,#7026,.T.); #19278=EDGE_CURVE('',#15576,#15574,#7027,.T.); #19279=EDGE_CURVE('',#15575,#15576,#7028,.T.); #19280=EDGE_CURVE('',#15575,#15577,#7029,.T.); #19281=EDGE_CURVE('',#15578,#15576,#7030,.T.); #19282=EDGE_CURVE('',#15577,#15578,#7031,.T.); #19283=EDGE_CURVE('',#15577,#15571,#7032,.T.); #19284=EDGE_CURVE('',#15573,#15578,#7033,.T.); #19285=EDGE_CURVE('',#15579,#15580,#7034,.T.); #19286=EDGE_CURVE('',#15579,#15581,#7035,.T.); #19287=EDGE_CURVE('',#15582,#15581,#7036,.T.); #19288=EDGE_CURVE('',#15580,#15582,#7037,.T.); #19289=EDGE_CURVE('',#15580,#15583,#7038,.T.); #19290=EDGE_CURVE('',#15584,#15582,#7039,.T.); #19291=EDGE_CURVE('',#15583,#15584,#7040,.T.); #19292=EDGE_CURVE('',#15583,#15585,#7041,.T.); #19293=EDGE_CURVE('',#15586,#15584,#7042,.T.); #19294=EDGE_CURVE('',#15585,#15586,#7043,.T.); #19295=EDGE_CURVE('',#15585,#15579,#7044,.T.); #19296=EDGE_CURVE('',#15581,#15586,#7045,.T.); #19297=EDGE_CURVE('',#15587,#15588,#7046,.T.); #19298=EDGE_CURVE('',#15587,#15589,#7047,.T.); #19299=EDGE_CURVE('',#15590,#15589,#7048,.T.); #19300=EDGE_CURVE('',#15588,#15590,#7049,.T.); #19301=EDGE_CURVE('',#15588,#15591,#7050,.T.); #19302=EDGE_CURVE('',#15592,#15590,#7051,.T.); #19303=EDGE_CURVE('',#15591,#15592,#7052,.T.); #19304=EDGE_CURVE('',#15591,#15593,#7053,.T.); #19305=EDGE_CURVE('',#15594,#15592,#7054,.T.); #19306=EDGE_CURVE('',#15593,#15594,#7055,.T.); #19307=EDGE_CURVE('',#15593,#15587,#7056,.T.); #19308=EDGE_CURVE('',#15589,#15594,#7057,.T.); #19309=EDGE_CURVE('',#15595,#15596,#7058,.T.); #19310=EDGE_CURVE('',#15595,#15597,#7059,.T.); #19311=EDGE_CURVE('',#15598,#15597,#7060,.T.); #19312=EDGE_CURVE('',#15596,#15598,#7061,.T.); #19313=EDGE_CURVE('',#15596,#15599,#7062,.T.); #19314=EDGE_CURVE('',#15600,#15598,#7063,.T.); #19315=EDGE_CURVE('',#15599,#15600,#7064,.T.); #19316=EDGE_CURVE('',#15599,#15601,#7065,.T.); #19317=EDGE_CURVE('',#15602,#15600,#7066,.T.); #19318=EDGE_CURVE('',#15601,#15602,#7067,.T.); #19319=EDGE_CURVE('',#15601,#15595,#7068,.T.); #19320=EDGE_CURVE('',#15597,#15602,#7069,.T.); #19321=EDGE_CURVE('',#15603,#15603,#13804,.T.); #19322=EDGE_CURVE('',#15603,#15604,#7070,.T.); #19323=EDGE_CURVE('',#15604,#15604,#13805,.T.); #19324=EDGE_CURVE('',#15605,#15605,#13806,.T.); #19325=EDGE_CURVE('',#15605,#15606,#7071,.T.); #19326=EDGE_CURVE('',#15606,#15606,#13807,.T.); #19327=EDGE_CURVE('',#15607,#15608,#7072,.T.); #19328=EDGE_CURVE('',#15607,#15609,#7073,.T.); #19329=EDGE_CURVE('',#15610,#15609,#7074,.T.); #19330=EDGE_CURVE('',#15608,#15610,#7075,.T.); #19331=EDGE_CURVE('',#15608,#15611,#7076,.T.); #19332=EDGE_CURVE('',#15612,#15610,#7077,.T.); #19333=EDGE_CURVE('',#15611,#15612,#7078,.T.); #19334=EDGE_CURVE('',#15611,#15613,#7079,.T.); #19335=EDGE_CURVE('',#15614,#15612,#7080,.T.); #19336=EDGE_CURVE('',#15613,#15614,#7081,.T.); #19337=EDGE_CURVE('',#15613,#15607,#7082,.T.); #19338=EDGE_CURVE('',#15609,#15614,#7083,.T.); #19339=EDGE_CURVE('',#15615,#15615,#13808,.T.); #19340=EDGE_CURVE('',#15615,#15616,#7084,.T.); #19341=EDGE_CURVE('',#15616,#15616,#13809,.T.); #19342=EDGE_CURVE('',#15617,#15617,#13810,.T.); #19343=EDGE_CURVE('',#15617,#15618,#7085,.T.); #19344=EDGE_CURVE('',#15618,#15618,#13811,.T.); #19345=EDGE_CURVE('',#15619,#15619,#13812,.T.); #19346=EDGE_CURVE('',#15619,#15620,#7086,.T.); #19347=EDGE_CURVE('',#15620,#15620,#13813,.T.); #19348=EDGE_CURVE('',#15621,#15622,#7087,.T.); #19349=EDGE_CURVE('',#15621,#15623,#7088,.T.); #19350=EDGE_CURVE('',#15624,#15623,#7089,.T.); #19351=EDGE_CURVE('',#15622,#15624,#7090,.T.); #19352=EDGE_CURVE('',#15622,#15625,#7091,.T.); #19353=EDGE_CURVE('',#15626,#15624,#7092,.T.); #19354=EDGE_CURVE('',#15625,#15626,#7093,.T.); #19355=EDGE_CURVE('',#15625,#15627,#7094,.T.); #19356=EDGE_CURVE('',#15628,#15626,#7095,.T.); #19357=EDGE_CURVE('',#15627,#15628,#7096,.T.); #19358=EDGE_CURVE('',#15627,#15621,#7097,.T.); #19359=EDGE_CURVE('',#15623,#15628,#7098,.T.); #19360=EDGE_CURVE('',#15629,#15629,#13814,.T.); #19361=EDGE_CURVE('',#15629,#15630,#7099,.T.); #19362=EDGE_CURVE('',#15630,#15630,#13815,.T.); #19363=EDGE_CURVE('',#15631,#15631,#13816,.T.); #19364=EDGE_CURVE('',#15631,#15632,#7100,.T.); #19365=EDGE_CURVE('',#15632,#15632,#13817,.T.); #19366=EDGE_CURVE('',#15633,#15633,#13818,.T.); #19367=EDGE_CURVE('',#15633,#15634,#7101,.T.); #19368=EDGE_CURVE('',#15634,#15634,#13819,.T.); #19369=EDGE_CURVE('',#15635,#15636,#7102,.T.); #19370=EDGE_CURVE('',#15635,#15637,#7103,.T.); #19371=EDGE_CURVE('',#15638,#15637,#7104,.T.); #19372=EDGE_CURVE('',#15636,#15638,#7105,.T.); #19373=EDGE_CURVE('',#15636,#15639,#7106,.T.); #19374=EDGE_CURVE('',#15640,#15638,#7107,.T.); #19375=EDGE_CURVE('',#15639,#15640,#7108,.T.); #19376=EDGE_CURVE('',#15639,#15641,#7109,.T.); #19377=EDGE_CURVE('',#15642,#15640,#7110,.T.); #19378=EDGE_CURVE('',#15641,#15642,#7111,.T.); #19379=EDGE_CURVE('',#15641,#15635,#7112,.T.); #19380=EDGE_CURVE('',#15637,#15642,#7113,.T.); #19381=EDGE_CURVE('',#15643,#15644,#13820,.T.); #19382=EDGE_CURVE('',#15643,#15645,#7114,.T.); #19383=EDGE_CURVE('',#15646,#15645,#13821,.T.); #19384=EDGE_CURVE('',#15644,#15646,#7115,.T.); #19385=EDGE_CURVE('',#15644,#15647,#13822,.T.); #19386=EDGE_CURVE('',#15648,#15646,#13823,.T.); #19387=EDGE_CURVE('',#15647,#15648,#7116,.T.); #19388=EDGE_CURVE('',#15647,#15649,#7117,.T.); #19389=EDGE_CURVE('',#15650,#15648,#7118,.T.); #19390=EDGE_CURVE('',#15649,#15650,#7119,.T.); #19391=EDGE_CURVE('',#15649,#15651,#13824,.T.); #19392=EDGE_CURVE('',#15652,#15650,#13825,.T.); #19393=EDGE_CURVE('',#15651,#15652,#7120,.T.); #19394=EDGE_CURVE('',#15651,#15653,#13826,.T.); #19395=EDGE_CURVE('',#15654,#15652,#13827,.T.); #19396=EDGE_CURVE('',#15653,#15654,#7121,.T.); #19397=EDGE_CURVE('',#15653,#15655,#13828,.T.); #19398=EDGE_CURVE('',#15656,#15654,#13829,.T.); #19399=EDGE_CURVE('',#15655,#15656,#7122,.T.); #19400=EDGE_CURVE('',#15655,#15657,#7123,.T.); #19401=EDGE_CURVE('',#15658,#15656,#7124,.T.); #19402=EDGE_CURVE('',#15657,#15658,#7125,.T.); #19403=EDGE_CURVE('',#15657,#15643,#13830,.T.); #19404=EDGE_CURVE('',#15645,#15658,#13831,.T.); #19405=EDGE_CURVE('',#15659,#15660,#7126,.T.); #19406=EDGE_CURVE('',#15659,#15661,#7127,.T.); #19407=EDGE_CURVE('',#15662,#15661,#7128,.T.); #19408=EDGE_CURVE('',#15660,#15662,#7129,.T.); #19409=EDGE_CURVE('',#15660,#15663,#7130,.T.); #19410=EDGE_CURVE('',#15664,#15662,#7131,.T.); #19411=EDGE_CURVE('',#15663,#15664,#7132,.T.); #19412=EDGE_CURVE('',#15663,#15665,#7133,.T.); #19413=EDGE_CURVE('',#15666,#15664,#7134,.T.); #19414=EDGE_CURVE('',#15665,#15666,#7135,.T.); #19415=EDGE_CURVE('',#15665,#15659,#7136,.T.); #19416=EDGE_CURVE('',#15661,#15666,#7137,.T.); #19417=EDGE_CURVE('',#15667,#15667,#13832,.T.); #19418=EDGE_CURVE('',#15667,#15668,#7138,.T.); #19419=EDGE_CURVE('',#15668,#15668,#13833,.T.); #19420=EDGE_CURVE('',#15669,#15670,#7139,.T.); #19421=EDGE_CURVE('',#15669,#15671,#7140,.T.); #19422=EDGE_CURVE('',#15672,#15671,#7141,.T.); #19423=EDGE_CURVE('',#15670,#15672,#7142,.T.); #19424=EDGE_CURVE('',#15670,#15673,#7143,.T.); #19425=EDGE_CURVE('',#15674,#15672,#7144,.T.); #19426=EDGE_CURVE('',#15673,#15674,#7145,.T.); #19427=EDGE_CURVE('',#15673,#15675,#7146,.T.); #19428=EDGE_CURVE('',#15676,#15674,#7147,.T.); #19429=EDGE_CURVE('',#15675,#15676,#7148,.T.); #19430=EDGE_CURVE('',#15675,#15669,#7149,.T.); #19431=EDGE_CURVE('',#15671,#15676,#7150,.T.); #19432=EDGE_CURVE('',#15677,#15678,#7151,.T.); #19433=EDGE_CURVE('',#15677,#15679,#7152,.T.); #19434=EDGE_CURVE('',#15680,#15679,#7153,.T.); #19435=EDGE_CURVE('',#15678,#15680,#7154,.T.); #19436=EDGE_CURVE('',#15678,#15681,#7155,.T.); #19437=EDGE_CURVE('',#15682,#15680,#7156,.T.); #19438=EDGE_CURVE('',#15681,#15682,#7157,.T.); #19439=EDGE_CURVE('',#15681,#15683,#7158,.T.); #19440=EDGE_CURVE('',#15684,#15682,#7159,.T.); #19441=EDGE_CURVE('',#15683,#15684,#7160,.T.); #19442=EDGE_CURVE('',#15683,#15677,#7161,.T.); #19443=EDGE_CURVE('',#15679,#15684,#7162,.T.); #19444=EDGE_CURVE('',#15685,#15686,#7163,.T.); #19445=EDGE_CURVE('',#15685,#15687,#7164,.T.); #19446=EDGE_CURVE('',#15688,#15687,#7165,.T.); #19447=EDGE_CURVE('',#15686,#15688,#7166,.T.); #19448=EDGE_CURVE('',#15686,#15689,#7167,.T.); #19449=EDGE_CURVE('',#15690,#15688,#7168,.T.); #19450=EDGE_CURVE('',#15689,#15690,#7169,.T.); #19451=EDGE_CURVE('',#15689,#15691,#7170,.T.); #19452=EDGE_CURVE('',#15692,#15690,#7171,.T.); #19453=EDGE_CURVE('',#15691,#15692,#7172,.T.); #19454=EDGE_CURVE('',#15691,#15685,#7173,.T.); #19455=EDGE_CURVE('',#15687,#15692,#7174,.T.); #19456=EDGE_CURVE('',#15693,#15693,#13834,.T.); #19457=EDGE_CURVE('',#15693,#15694,#7175,.T.); #19458=EDGE_CURVE('',#15694,#15694,#13835,.T.); #19459=EDGE_CURVE('',#15695,#15696,#7176,.T.); #19460=EDGE_CURVE('',#15695,#15697,#7177,.T.); #19461=EDGE_CURVE('',#15698,#15697,#7178,.T.); #19462=EDGE_CURVE('',#15696,#15698,#7179,.T.); #19463=EDGE_CURVE('',#15696,#15699,#7180,.T.); #19464=EDGE_CURVE('',#15700,#15698,#7181,.T.); #19465=EDGE_CURVE('',#15699,#15700,#7182,.T.); #19466=EDGE_CURVE('',#15699,#15701,#7183,.T.); #19467=EDGE_CURVE('',#15702,#15700,#7184,.T.); #19468=EDGE_CURVE('',#15701,#15702,#7185,.T.); #19469=EDGE_CURVE('',#15701,#15703,#7186,.T.); #19470=EDGE_CURVE('',#15704,#15702,#7187,.T.); #19471=EDGE_CURVE('',#15703,#15704,#7188,.T.); #19472=EDGE_CURVE('',#15703,#15705,#7189,.T.); #19473=EDGE_CURVE('',#15706,#15704,#7190,.T.); #19474=EDGE_CURVE('',#15705,#15706,#7191,.T.); #19475=EDGE_CURVE('',#15707,#15705,#7192,.T.); #19476=EDGE_CURVE('',#15708,#15706,#7193,.T.); #19477=EDGE_CURVE('',#15707,#15708,#7194,.T.); #19478=EDGE_CURVE('',#15707,#15709,#7195,.T.); #19479=EDGE_CURVE('',#15710,#15708,#7196,.T.); #19480=EDGE_CURVE('',#15709,#15710,#7197,.T.); #19481=EDGE_CURVE('',#15711,#15709,#7198,.T.); #19482=EDGE_CURVE('',#15712,#15710,#7199,.T.); #19483=EDGE_CURVE('',#15711,#15712,#7200,.T.); #19484=EDGE_CURVE('',#15711,#15713,#13836,.T.); #19485=EDGE_CURVE('',#15714,#15712,#13837,.T.); #19486=EDGE_CURVE('',#15713,#15714,#7201,.T.); #19487=EDGE_CURVE('',#15715,#15713,#7202,.T.); #19488=EDGE_CURVE('',#15716,#15714,#7203,.T.); #19489=EDGE_CURVE('',#15715,#15716,#7204,.T.); #19490=EDGE_CURVE('',#15715,#15717,#13838,.T.); #19491=EDGE_CURVE('',#15718,#15716,#13839,.T.); #19492=EDGE_CURVE('',#15717,#15718,#7205,.T.); #19493=EDGE_CURVE('',#15719,#15717,#7206,.T.); #19494=EDGE_CURVE('',#15720,#15718,#7207,.T.); #19495=EDGE_CURVE('',#15719,#15720,#7208,.T.); #19496=EDGE_CURVE('',#15721,#15719,#7209,.T.); #19497=EDGE_CURVE('',#15722,#15720,#7210,.T.); #19498=EDGE_CURVE('',#15721,#15722,#7211,.T.); #19499=EDGE_CURVE('',#15721,#15723,#7212,.T.); #19500=EDGE_CURVE('',#15724,#15722,#7213,.T.); #19501=EDGE_CURVE('',#15723,#15724,#7214,.T.); #19502=EDGE_CURVE('',#15725,#15723,#7215,.T.); #19503=EDGE_CURVE('',#15726,#15724,#7216,.T.); #19504=EDGE_CURVE('',#15725,#15726,#7217,.T.); #19505=EDGE_CURVE('',#15727,#15725,#7218,.T.); #19506=EDGE_CURVE('',#15728,#15726,#7219,.T.); #19507=EDGE_CURVE('',#15727,#15728,#7220,.T.); #19508=EDGE_CURVE('',#15729,#15727,#7221,.T.); #19509=EDGE_CURVE('',#15730,#15728,#7222,.T.); #19510=EDGE_CURVE('',#15729,#15730,#7223,.T.); #19511=EDGE_CURVE('',#15731,#15729,#7224,.T.); #19512=EDGE_CURVE('',#15732,#15730,#7225,.T.); #19513=EDGE_CURVE('',#15731,#15732,#7226,.T.); #19514=EDGE_CURVE('',#15733,#15731,#7227,.T.); #19515=EDGE_CURVE('',#15734,#15732,#7228,.T.); #19516=EDGE_CURVE('',#15733,#15734,#7229,.T.); #19517=EDGE_CURVE('',#15735,#15733,#7230,.T.); #19518=EDGE_CURVE('',#15736,#15734,#7231,.T.); #19519=EDGE_CURVE('',#15735,#15736,#7232,.T.); #19520=EDGE_CURVE('',#15735,#15737,#7233,.T.); #19521=EDGE_CURVE('',#15738,#15736,#7234,.T.); #19522=EDGE_CURVE('',#15737,#15738,#7235,.T.); #19523=EDGE_CURVE('',#15737,#15739,#7236,.T.); #19524=EDGE_CURVE('',#15740,#15738,#7237,.T.); #19525=EDGE_CURVE('',#15739,#15740,#7238,.T.); #19526=EDGE_CURVE('',#15741,#15739,#7239,.T.); #19527=EDGE_CURVE('',#15742,#15740,#7240,.T.); #19528=EDGE_CURVE('',#15741,#15742,#7241,.T.); #19529=EDGE_CURVE('',#15743,#15741,#7242,.T.); #19530=EDGE_CURVE('',#15744,#15742,#7243,.T.); #19531=EDGE_CURVE('',#15743,#15744,#7244,.T.); #19532=EDGE_CURVE('',#15743,#15745,#13840,.T.); #19533=EDGE_CURVE('',#15746,#15744,#13841,.T.); #19534=EDGE_CURVE('',#15745,#15746,#7245,.T.); #19535=EDGE_CURVE('',#15747,#15745,#7246,.T.); #19536=EDGE_CURVE('',#15748,#15746,#7247,.T.); #19537=EDGE_CURVE('',#15747,#15748,#7248,.T.); #19538=EDGE_CURVE('',#15747,#15749,#13842,.T.); #19539=EDGE_CURVE('',#15750,#15748,#13843,.T.); #19540=EDGE_CURVE('',#15749,#15750,#7249,.T.); #19541=EDGE_CURVE('',#15751,#15749,#7250,.T.); #19542=EDGE_CURVE('',#15752,#15750,#7251,.T.); #19543=EDGE_CURVE('',#15751,#15752,#7252,.T.); #19544=EDGE_CURVE('',#15751,#15753,#7253,.T.); #19545=EDGE_CURVE('',#15754,#15752,#7254,.T.); #19546=EDGE_CURVE('',#15753,#15754,#7255,.T.); #19547=EDGE_CURVE('',#15755,#15753,#7256,.T.); #19548=EDGE_CURVE('',#15756,#15754,#7257,.T.); #19549=EDGE_CURVE('',#15755,#15756,#7258,.T.); #19550=EDGE_CURVE('',#15757,#15755,#7259,.T.); #19551=EDGE_CURVE('',#15758,#15756,#7260,.T.); #19552=EDGE_CURVE('',#15757,#15758,#7261,.T.); #19553=EDGE_CURVE('',#15757,#15695,#7262,.T.); #19554=EDGE_CURVE('',#15697,#15758,#7263,.T.); #19555=EDGE_CURVE('',#15759,#15759,#13844,.T.); #19556=EDGE_CURVE('',#15759,#15760,#7264,.T.); #19557=EDGE_CURVE('',#15760,#15760,#13845,.T.); #19558=EDGE_CURVE('',#15761,#15761,#13846,.T.); #19559=EDGE_CURVE('',#15761,#15762,#7265,.T.); #19560=EDGE_CURVE('',#15762,#15762,#13847,.T.); #19561=EDGE_CURVE('',#15763,#15763,#13848,.T.); #19562=EDGE_CURVE('',#15763,#15764,#7266,.T.); #19563=EDGE_CURVE('',#15764,#15764,#13849,.T.); #19564=EDGE_CURVE('',#15765,#15766,#7267,.T.); #19565=EDGE_CURVE('',#15766,#15767,#7268,.T.); #19566=EDGE_CURVE('',#15768,#15767,#7269,.T.); #19567=EDGE_CURVE('',#15765,#15768,#7270,.T.); #19568=EDGE_CURVE('',#15769,#15765,#13850,.T.); #19569=EDGE_CURVE('',#15770,#15768,#13851,.T.); #19570=EDGE_CURVE('',#15769,#15770,#7271,.T.); #19571=EDGE_CURVE('',#15771,#15769,#7272,.T.); #19572=EDGE_CURVE('',#15772,#15770,#7273,.T.); #19573=EDGE_CURVE('',#15771,#15772,#7274,.T.); #19574=EDGE_CURVE('',#15773,#15771,#13852,.T.); #19575=EDGE_CURVE('',#15774,#15772,#13853,.T.); #19576=EDGE_CURVE('',#15773,#15774,#7275,.T.); #19577=EDGE_CURVE('',#15775,#15773,#7276,.T.); #19578=EDGE_CURVE('',#15776,#15774,#7277,.T.); #19579=EDGE_CURVE('',#15775,#15776,#7278,.T.); #19580=EDGE_CURVE('',#15777,#15775,#13854,.T.); #19581=EDGE_CURVE('',#15778,#15776,#13855,.T.); #19582=EDGE_CURVE('',#15777,#15778,#7279,.T.); #19583=EDGE_CURVE('',#15779,#15777,#7280,.T.); #19584=EDGE_CURVE('',#15780,#15778,#7281,.T.); #19585=EDGE_CURVE('',#15779,#15780,#7282,.T.); #19586=EDGE_CURVE('',#15766,#15779,#13856,.T.); #19587=EDGE_CURVE('',#15767,#15780,#13857,.T.); #19588=EDGE_CURVE('',#15781,#15781,#13858,.T.); #19589=EDGE_CURVE('',#15781,#15782,#7283,.T.); #19590=EDGE_CURVE('',#15782,#15782,#13859,.T.); #19591=EDGE_CURVE('',#15783,#15783,#13860,.T.); #19592=EDGE_CURVE('',#15783,#15784,#7284,.T.); #19593=EDGE_CURVE('',#15784,#15784,#13861,.T.); #19594=EDGE_CURVE('',#15785,#15786,#7285,.T.); #19595=EDGE_CURVE('',#15785,#15787,#7286,.T.); #19596=EDGE_CURVE('',#15788,#15787,#7287,.T.); #19597=EDGE_CURVE('',#15786,#15788,#7288,.T.); #19598=EDGE_CURVE('',#15786,#15789,#7289,.T.); #19599=EDGE_CURVE('',#15790,#15788,#7290,.T.); #19600=EDGE_CURVE('',#15789,#15790,#7291,.T.); #19601=EDGE_CURVE('',#15789,#15791,#7292,.T.); #19602=EDGE_CURVE('',#15792,#15790,#7293,.T.); #19603=EDGE_CURVE('',#15791,#15792,#7294,.T.); #19604=EDGE_CURVE('',#15791,#15793,#7295,.T.); #19605=EDGE_CURVE('',#15794,#15792,#7296,.T.); #19606=EDGE_CURVE('',#15793,#15794,#7297,.T.); #19607=EDGE_CURVE('',#15793,#15795,#7298,.T.); #19608=EDGE_CURVE('',#15796,#15794,#7299,.T.); #19609=EDGE_CURVE('',#15795,#15796,#7300,.T.); #19610=EDGE_CURVE('',#15797,#15795,#7301,.T.); #19611=EDGE_CURVE('',#15798,#15796,#7302,.T.); #19612=EDGE_CURVE('',#15797,#15798,#7303,.T.); #19613=EDGE_CURVE('',#15799,#15797,#7304,.T.); #19614=EDGE_CURVE('',#15800,#15798,#7305,.T.); #19615=EDGE_CURVE('',#15799,#15800,#7306,.T.); #19616=EDGE_CURVE('',#15801,#15799,#7307,.T.); #19617=EDGE_CURVE('',#15802,#15800,#7308,.T.); #19618=EDGE_CURVE('',#15801,#15802,#7309,.T.); #19619=EDGE_CURVE('',#15803,#15801,#7310,.T.); #19620=EDGE_CURVE('',#15804,#15802,#7311,.T.); #19621=EDGE_CURVE('',#15803,#15804,#7312,.T.); #19622=EDGE_CURVE('',#15805,#15803,#7313,.T.); #19623=EDGE_CURVE('',#15806,#15804,#7314,.T.); #19624=EDGE_CURVE('',#15805,#15806,#7315,.T.); #19625=EDGE_CURVE('',#15807,#15805,#7316,.T.); #19626=EDGE_CURVE('',#15808,#15806,#7317,.T.); #19627=EDGE_CURVE('',#15807,#15808,#7318,.T.); #19628=EDGE_CURVE('',#15785,#15807,#7319,.T.); #19629=EDGE_CURVE('',#15787,#15808,#7320,.T.); #19630=EDGE_CURVE('',#15809,#15809,#13862,.T.); #19631=EDGE_CURVE('',#15809,#15810,#7321,.T.); #19632=EDGE_CURVE('',#15810,#15810,#13863,.T.); #19633=EDGE_CURVE('',#15811,#15811,#13864,.T.); #19634=EDGE_CURVE('',#15811,#15812,#7322,.T.); #19635=EDGE_CURVE('',#15812,#15812,#13865,.T.); #19636=EDGE_CURVE('',#15813,#15814,#7323,.T.); #19637=EDGE_CURVE('',#15813,#15815,#7324,.T.); #19638=EDGE_CURVE('',#15816,#15815,#7325,.T.); #19639=EDGE_CURVE('',#15814,#15816,#7326,.T.); #19640=EDGE_CURVE('',#15814,#15817,#7327,.T.); #19641=EDGE_CURVE('',#15818,#15816,#7328,.T.); #19642=EDGE_CURVE('',#15817,#15818,#7329,.T.); #19643=EDGE_CURVE('',#15817,#15819,#7330,.T.); #19644=EDGE_CURVE('',#15820,#15818,#7331,.T.); #19645=EDGE_CURVE('',#15819,#15820,#7332,.T.); #19646=EDGE_CURVE('',#15819,#15821,#7333,.T.); #19647=EDGE_CURVE('',#15822,#15820,#7334,.T.); #19648=EDGE_CURVE('',#15821,#15822,#7335,.T.); #19649=EDGE_CURVE('',#15821,#15823,#7336,.T.); #19650=EDGE_CURVE('',#15824,#15822,#7337,.T.); #19651=EDGE_CURVE('',#15823,#15824,#7338,.T.); #19652=EDGE_CURVE('',#15823,#15825,#7339,.T.); #19653=EDGE_CURVE('',#15826,#15824,#7340,.T.); #19654=EDGE_CURVE('',#15825,#15826,#7341,.T.); #19655=EDGE_CURVE('',#15825,#15827,#7342,.T.); #19656=EDGE_CURVE('',#15828,#15826,#7343,.T.); #19657=EDGE_CURVE('',#15827,#15828,#7344,.T.); #19658=EDGE_CURVE('',#15829,#15827,#7345,.T.); #19659=EDGE_CURVE('',#15830,#15828,#7346,.T.); #19660=EDGE_CURVE('',#15829,#15830,#7347,.T.); #19661=EDGE_CURVE('',#15831,#15829,#7348,.T.); #19662=EDGE_CURVE('',#15832,#15830,#7349,.T.); #19663=EDGE_CURVE('',#15831,#15832,#7350,.T.); #19664=EDGE_CURVE('',#15833,#15831,#7351,.T.); #19665=EDGE_CURVE('',#15834,#15832,#7352,.T.); #19666=EDGE_CURVE('',#15833,#15834,#7353,.T.); #19667=EDGE_CURVE('',#15835,#15833,#7354,.T.); #19668=EDGE_CURVE('',#15836,#15834,#7355,.T.); #19669=EDGE_CURVE('',#15835,#15836,#7356,.T.); #19670=EDGE_CURVE('',#15813,#15835,#7357,.T.); #19671=EDGE_CURVE('',#15815,#15836,#7358,.T.); #19672=EDGE_CURVE('',#15837,#15837,#13866,.T.); #19673=EDGE_CURVE('',#15837,#15838,#7359,.T.); #19674=EDGE_CURVE('',#15838,#15838,#13867,.T.); #19675=EDGE_CURVE('',#15839,#15839,#13868,.T.); #19676=EDGE_CURVE('',#15839,#15840,#7360,.T.); #19677=EDGE_CURVE('',#15840,#15840,#13869,.T.); #19678=EDGE_CURVE('',#15841,#15842,#7361,.T.); #19679=EDGE_CURVE('',#15842,#15843,#7362,.T.); #19680=EDGE_CURVE('',#15843,#15844,#7363,.T.); #19681=EDGE_CURVE('',#15841,#15844,#7364,.T.); #19682=EDGE_CURVE('',#15842,#15845,#7365,.T.); #19683=EDGE_CURVE('',#15845,#15846,#7366,.T.); #19684=EDGE_CURVE('',#15846,#15843,#7367,.T.); #19685=EDGE_CURVE('',#15845,#15847,#7368,.T.); #19686=EDGE_CURVE('',#15847,#15848,#7369,.T.); #19687=EDGE_CURVE('',#15848,#15846,#7370,.T.); #19688=EDGE_CURVE('',#15847,#15849,#7371,.T.); #19689=EDGE_CURVE('',#15849,#15850,#7372,.T.); #19690=EDGE_CURVE('',#15850,#15848,#7373,.T.); #19691=EDGE_CURVE('',#15849,#15851,#7374,.T.); #19692=EDGE_CURVE('',#15851,#15852,#7375,.T.); #19693=EDGE_CURVE('',#15852,#15850,#7376,.T.); #19694=EDGE_CURVE('',#15853,#15851,#7377,.T.); #19695=EDGE_CURVE('',#15853,#15854,#7378,.T.); #19696=EDGE_CURVE('',#15854,#15852,#7379,.T.); #19697=EDGE_CURVE('',#15855,#15853,#7380,.T.); #19698=EDGE_CURVE('',#15855,#15856,#7381,.T.); #19699=EDGE_CURVE('',#15856,#15854,#7382,.T.); #19700=EDGE_CURVE('',#15857,#15855,#7383,.T.); #19701=EDGE_CURVE('',#15857,#15858,#7384,.T.); #19702=EDGE_CURVE('',#15858,#15856,#7385,.T.); #19703=EDGE_CURVE('',#15859,#15857,#7386,.T.); #19704=EDGE_CURVE('',#15859,#15860,#7387,.T.); #19705=EDGE_CURVE('',#15860,#15858,#7388,.T.); #19706=EDGE_CURVE('',#15861,#15859,#7389,.T.); #19707=EDGE_CURVE('',#15861,#15862,#7390,.T.); #19708=EDGE_CURVE('',#15862,#15860,#7391,.T.); #19709=EDGE_CURVE('',#15863,#15861,#7392,.T.); #19710=EDGE_CURVE('',#15863,#15864,#7393,.T.); #19711=EDGE_CURVE('',#15864,#15862,#7394,.T.); #19712=EDGE_CURVE('',#15841,#15863,#7395,.T.); #19713=EDGE_CURVE('',#15844,#15864,#7396,.T.); #19714=EDGE_CURVE('',#15865,#15865,#13870,.T.); #19715=EDGE_CURVE('',#15865,#15866,#7397,.T.); #19716=EDGE_CURVE('',#15866,#15866,#13871,.T.); #19717=EDGE_CURVE('',#15867,#15867,#13872,.T.); #19718=EDGE_CURVE('',#15867,#15868,#7398,.T.); #19719=EDGE_CURVE('',#15868,#15868,#13873,.T.); #19720=EDGE_CURVE('',#15869,#15870,#7399,.T.); #19721=EDGE_CURVE('',#15870,#15871,#7400,.T.); #19722=EDGE_CURVE('',#15871,#15872,#7401,.T.); #19723=EDGE_CURVE('',#15869,#15872,#7402,.T.); #19724=EDGE_CURVE('',#15870,#15873,#7403,.T.); #19725=EDGE_CURVE('',#15873,#15874,#7404,.T.); #19726=EDGE_CURVE('',#15874,#15871,#7405,.T.); #19727=EDGE_CURVE('',#15873,#15875,#7406,.T.); #19728=EDGE_CURVE('',#15875,#15876,#7407,.T.); #19729=EDGE_CURVE('',#15876,#15874,#7408,.T.); #19730=EDGE_CURVE('',#15875,#15877,#7409,.T.); #19731=EDGE_CURVE('',#15877,#15878,#7410,.T.); #19732=EDGE_CURVE('',#15878,#15876,#7411,.T.); #19733=EDGE_CURVE('',#15877,#15879,#7412,.T.); #19734=EDGE_CURVE('',#15879,#15880,#7413,.T.); #19735=EDGE_CURVE('',#15880,#15878,#7414,.T.); #19736=EDGE_CURVE('',#15879,#15881,#7415,.T.); #19737=EDGE_CURVE('',#15881,#15882,#7416,.T.); #19738=EDGE_CURVE('',#15882,#15880,#7417,.T.); #19739=EDGE_CURVE('',#15881,#15883,#7418,.T.); #19740=EDGE_CURVE('',#15883,#15884,#7419,.T.); #19741=EDGE_CURVE('',#15884,#15882,#7420,.T.); #19742=EDGE_CURVE('',#15885,#15883,#7421,.T.); #19743=EDGE_CURVE('',#15885,#15886,#7422,.T.); #19744=EDGE_CURVE('',#15886,#15884,#7423,.T.); #19745=EDGE_CURVE('',#15887,#15885,#7424,.T.); #19746=EDGE_CURVE('',#15887,#15888,#7425,.T.); #19747=EDGE_CURVE('',#15888,#15886,#7426,.T.); #19748=EDGE_CURVE('',#15889,#15887,#7427,.T.); #19749=EDGE_CURVE('',#15889,#15890,#7428,.T.); #19750=EDGE_CURVE('',#15890,#15888,#7429,.T.); #19751=EDGE_CURVE('',#15891,#15889,#7430,.T.); #19752=EDGE_CURVE('',#15891,#15892,#7431,.T.); #19753=EDGE_CURVE('',#15892,#15890,#7432,.T.); #19754=EDGE_CURVE('',#15869,#15891,#7433,.T.); #19755=EDGE_CURVE('',#15872,#15892,#7434,.T.); #19756=EDGE_CURVE('',#15893,#15893,#13874,.T.); #19757=EDGE_CURVE('',#15893,#15894,#7435,.T.); #19758=EDGE_CURVE('',#15894,#15894,#13875,.T.); #19759=EDGE_CURVE('',#15895,#15895,#13876,.T.); #19760=EDGE_CURVE('',#15895,#15896,#7436,.T.); #19761=EDGE_CURVE('',#15896,#15896,#13877,.T.); #19762=EDGE_CURVE('',#15897,#15898,#7437,.T.); #19763=EDGE_CURVE('',#15897,#15899,#7438,.T.); #19764=EDGE_CURVE('',#15900,#15899,#7439,.T.); #19765=EDGE_CURVE('',#15898,#15900,#7440,.T.); #19766=EDGE_CURVE('',#15898,#15901,#7441,.T.); #19767=EDGE_CURVE('',#15902,#15900,#7442,.T.); #19768=EDGE_CURVE('',#15901,#15902,#7443,.T.); #19769=EDGE_CURVE('',#15901,#15903,#7444,.T.); #19770=EDGE_CURVE('',#15904,#15902,#7445,.T.); #19771=EDGE_CURVE('',#15903,#15904,#7446,.T.); #19772=EDGE_CURVE('',#15903,#15905,#7447,.T.); #19773=EDGE_CURVE('',#15906,#15904,#7448,.T.); #19774=EDGE_CURVE('',#15905,#15906,#7449,.T.); #19775=EDGE_CURVE('',#15905,#15907,#7450,.T.); #19776=EDGE_CURVE('',#15908,#15906,#7451,.T.); #19777=EDGE_CURVE('',#15907,#15908,#7452,.T.); #19778=EDGE_CURVE('',#15907,#15909,#7453,.T.); #19779=EDGE_CURVE('',#15910,#15908,#7454,.T.); #19780=EDGE_CURVE('',#15909,#15910,#7455,.T.); #19781=EDGE_CURVE('',#15909,#15911,#7456,.T.); #19782=EDGE_CURVE('',#15912,#15910,#7457,.T.); #19783=EDGE_CURVE('',#15911,#15912,#7458,.T.); #19784=EDGE_CURVE('',#15911,#15913,#7459,.T.); #19785=EDGE_CURVE('',#15914,#15912,#7460,.T.); #19786=EDGE_CURVE('',#15913,#15914,#7461,.T.); #19787=EDGE_CURVE('',#15913,#15915,#7462,.T.); #19788=EDGE_CURVE('',#15916,#15914,#7463,.T.); #19789=EDGE_CURVE('',#15915,#15916,#7464,.T.); #19790=EDGE_CURVE('',#15917,#15915,#7465,.T.); #19791=EDGE_CURVE('',#15918,#15916,#7466,.T.); #19792=EDGE_CURVE('',#15917,#15918,#7467,.T.); #19793=EDGE_CURVE('',#15919,#15917,#7468,.T.); #19794=EDGE_CURVE('',#15920,#15918,#7469,.T.); #19795=EDGE_CURVE('',#15919,#15920,#7470,.T.); #19796=EDGE_CURVE('',#15897,#15919,#7471,.T.); #19797=EDGE_CURVE('',#15899,#15920,#7472,.T.); #19798=EDGE_CURVE('',#15921,#15921,#13878,.T.); #19799=EDGE_CURVE('',#15921,#15922,#7473,.T.); #19800=EDGE_CURVE('',#15922,#15922,#13879,.T.); #19801=EDGE_CURVE('',#15923,#15923,#13880,.T.); #19802=EDGE_CURVE('',#15923,#15924,#7474,.T.); #19803=EDGE_CURVE('',#15924,#15924,#13881,.T.); #19804=EDGE_CURVE('',#15925,#15926,#7475,.T.); #19805=EDGE_CURVE('',#15926,#15927,#7476,.T.); #19806=EDGE_CURVE('',#15927,#15928,#7477,.T.); #19807=EDGE_CURVE('',#15925,#15928,#7478,.T.); #19808=EDGE_CURVE('',#15926,#15929,#7479,.T.); #19809=EDGE_CURVE('',#15929,#15930,#7480,.T.); #19810=EDGE_CURVE('',#15930,#15927,#7481,.T.); #19811=EDGE_CURVE('',#15929,#15931,#7482,.T.); #19812=EDGE_CURVE('',#15931,#15932,#7483,.T.); #19813=EDGE_CURVE('',#15932,#15930,#7484,.T.); #19814=EDGE_CURVE('',#15931,#15933,#7485,.T.); #19815=EDGE_CURVE('',#15933,#15934,#7486,.T.); #19816=EDGE_CURVE('',#15934,#15932,#7487,.T.); #19817=EDGE_CURVE('',#15933,#15935,#7488,.T.); #19818=EDGE_CURVE('',#15935,#15936,#7489,.T.); #19819=EDGE_CURVE('',#15936,#15934,#7490,.T.); #19820=EDGE_CURVE('',#15935,#15937,#7491,.T.); #19821=EDGE_CURVE('',#15937,#15938,#7492,.T.); #19822=EDGE_CURVE('',#15938,#15936,#7493,.T.); #19823=EDGE_CURVE('',#15937,#15939,#7494,.T.); #19824=EDGE_CURVE('',#15939,#15940,#7495,.T.); #19825=EDGE_CURVE('',#15940,#15938,#7496,.T.); #19826=EDGE_CURVE('',#15939,#15941,#7497,.T.); #19827=EDGE_CURVE('',#15941,#15942,#7498,.T.); #19828=EDGE_CURVE('',#15942,#15940,#7499,.T.); #19829=EDGE_CURVE('',#15941,#15943,#7500,.T.); #19830=EDGE_CURVE('',#15943,#15944,#7501,.T.); #19831=EDGE_CURVE('',#15944,#15942,#7502,.T.); #19832=EDGE_CURVE('',#15945,#15943,#7503,.T.); #19833=EDGE_CURVE('',#15945,#15946,#7504,.T.); #19834=EDGE_CURVE('',#15946,#15944,#7505,.T.); #19835=EDGE_CURVE('',#15947,#15945,#7506,.T.); #19836=EDGE_CURVE('',#15947,#15948,#7507,.T.); #19837=EDGE_CURVE('',#15948,#15946,#7508,.T.); #19838=EDGE_CURVE('',#15925,#15947,#7509,.T.); #19839=EDGE_CURVE('',#15928,#15948,#7510,.T.); #19840=EDGE_CURVE('',#15949,#15950,#7511,.T.); #19841=EDGE_CURVE('',#15950,#15951,#7512,.T.); #19842=EDGE_CURVE('',#15952,#15951,#7513,.T.); #19843=EDGE_CURVE('',#15949,#15952,#7514,.T.); #19844=EDGE_CURVE('',#15953,#15949,#13882,.T.); #19845=EDGE_CURVE('',#15954,#15952,#13883,.T.); #19846=EDGE_CURVE('',#15953,#15954,#7515,.T.); #19847=EDGE_CURVE('',#15955,#15953,#7516,.T.); #19848=EDGE_CURVE('',#15956,#15954,#7517,.T.); #19849=EDGE_CURVE('',#15955,#15956,#7518,.T.); #19850=EDGE_CURVE('',#15957,#15955,#13884,.T.); #19851=EDGE_CURVE('',#15958,#15956,#13885,.T.); #19852=EDGE_CURVE('',#15957,#15958,#7519,.T.); #19853=EDGE_CURVE('',#15959,#15957,#7520,.T.); #19854=EDGE_CURVE('',#15960,#15958,#7521,.T.); #19855=EDGE_CURVE('',#15959,#15960,#7522,.T.); #19856=EDGE_CURVE('',#15961,#15959,#13886,.T.); #19857=EDGE_CURVE('',#15962,#15960,#13887,.T.); #19858=EDGE_CURVE('',#15961,#15962,#7523,.T.); #19859=EDGE_CURVE('',#15963,#15961,#7524,.T.); #19860=EDGE_CURVE('',#15964,#15962,#7525,.T.); #19861=EDGE_CURVE('',#15963,#15964,#7526,.T.); #19862=EDGE_CURVE('',#15950,#15963,#13888,.T.); #19863=EDGE_CURVE('',#15951,#15964,#13889,.T.); #19864=EDGE_CURVE('',#15965,#15966,#7527,.T.); #19865=EDGE_CURVE('',#15966,#15967,#7528,.T.); #19866=EDGE_CURVE('',#15968,#15967,#7529,.T.); #19867=EDGE_CURVE('',#15965,#15968,#7530,.T.); #19868=EDGE_CURVE('',#15969,#15965,#13890,.T.); #19869=EDGE_CURVE('',#15970,#15968,#13891,.T.); #19870=EDGE_CURVE('',#15969,#15970,#7531,.T.); #19871=EDGE_CURVE('',#15971,#15969,#7532,.T.); #19872=EDGE_CURVE('',#15972,#15970,#7533,.T.); #19873=EDGE_CURVE('',#15971,#15972,#7534,.T.); #19874=EDGE_CURVE('',#15973,#15971,#13892,.T.); #19875=EDGE_CURVE('',#15974,#15972,#13893,.T.); #19876=EDGE_CURVE('',#15973,#15974,#7535,.T.); #19877=EDGE_CURVE('',#15975,#15973,#7536,.T.); #19878=EDGE_CURVE('',#15976,#15974,#7537,.T.); #19879=EDGE_CURVE('',#15975,#15976,#7538,.T.); #19880=EDGE_CURVE('',#15977,#15975,#13894,.T.); #19881=EDGE_CURVE('',#15978,#15976,#13895,.T.); #19882=EDGE_CURVE('',#15977,#15978,#7539,.T.); #19883=EDGE_CURVE('',#15979,#15977,#7540,.T.); #19884=EDGE_CURVE('',#15980,#15978,#7541,.T.); #19885=EDGE_CURVE('',#15979,#15980,#7542,.T.); #19886=EDGE_CURVE('',#15966,#15979,#13896,.T.); #19887=EDGE_CURVE('',#15967,#15980,#13897,.T.); #19888=EDGE_CURVE('',#15981,#15982,#7543,.T.); #19889=EDGE_CURVE('',#15982,#15983,#7544,.T.); #19890=EDGE_CURVE('',#15984,#15983,#7545,.T.); #19891=EDGE_CURVE('',#15981,#15984,#7546,.T.); #19892=EDGE_CURVE('',#15985,#15981,#13898,.T.); #19893=EDGE_CURVE('',#15986,#15984,#13899,.T.); #19894=EDGE_CURVE('',#15985,#15986,#7547,.T.); #19895=EDGE_CURVE('',#15987,#15985,#7548,.T.); #19896=EDGE_CURVE('',#15988,#15986,#7549,.T.); #19897=EDGE_CURVE('',#15987,#15988,#7550,.T.); #19898=EDGE_CURVE('',#15989,#15987,#13900,.T.); #19899=EDGE_CURVE('',#15990,#15988,#13901,.T.); #19900=EDGE_CURVE('',#15989,#15990,#7551,.T.); #19901=EDGE_CURVE('',#15991,#15989,#7552,.T.); #19902=EDGE_CURVE('',#15992,#15990,#7553,.T.); #19903=EDGE_CURVE('',#15991,#15992,#7554,.T.); #19904=EDGE_CURVE('',#15993,#15991,#13902,.T.); #19905=EDGE_CURVE('',#15994,#15992,#13903,.T.); #19906=EDGE_CURVE('',#15993,#15994,#7555,.T.); #19907=EDGE_CURVE('',#15995,#15993,#7556,.T.); #19908=EDGE_CURVE('',#15996,#15994,#7557,.T.); #19909=EDGE_CURVE('',#15995,#15996,#7558,.T.); #19910=EDGE_CURVE('',#15982,#15995,#13904,.T.); #19911=EDGE_CURVE('',#15983,#15996,#13905,.T.); #19912=EDGE_CURVE('',#15997,#15998,#7559,.T.); #19913=EDGE_CURVE('',#15998,#15999,#7560,.T.); #19914=EDGE_CURVE('',#16000,#15999,#7561,.T.); #19915=EDGE_CURVE('',#15997,#16000,#7562,.T.); #19916=EDGE_CURVE('',#16001,#15997,#13906,.T.); #19917=EDGE_CURVE('',#16002,#16000,#13907,.T.); #19918=EDGE_CURVE('',#16001,#16002,#7563,.T.); #19919=EDGE_CURVE('',#16003,#16001,#7564,.T.); #19920=EDGE_CURVE('',#16004,#16002,#7565,.T.); #19921=EDGE_CURVE('',#16003,#16004,#7566,.T.); #19922=EDGE_CURVE('',#16005,#16003,#13908,.T.); #19923=EDGE_CURVE('',#16006,#16004,#13909,.T.); #19924=EDGE_CURVE('',#16005,#16006,#7567,.T.); #19925=EDGE_CURVE('',#16007,#16005,#7568,.T.); #19926=EDGE_CURVE('',#16008,#16006,#7569,.T.); #19927=EDGE_CURVE('',#16007,#16008,#7570,.T.); #19928=EDGE_CURVE('',#16009,#16007,#13910,.T.); #19929=EDGE_CURVE('',#16010,#16008,#13911,.T.); #19930=EDGE_CURVE('',#16009,#16010,#7571,.T.); #19931=EDGE_CURVE('',#16011,#16009,#7572,.T.); #19932=EDGE_CURVE('',#16012,#16010,#7573,.T.); #19933=EDGE_CURVE('',#16011,#16012,#7574,.T.); #19934=EDGE_CURVE('',#15998,#16011,#13912,.T.); #19935=EDGE_CURVE('',#15999,#16012,#13913,.T.); #19936=EDGE_CURVE('',#16013,#16014,#7575,.T.); #19937=EDGE_CURVE('',#16014,#16015,#7576,.T.); #19938=EDGE_CURVE('',#16016,#16015,#7577,.T.); #19939=EDGE_CURVE('',#16013,#16016,#7578,.T.); #19940=EDGE_CURVE('',#16017,#16013,#13914,.T.); #19941=EDGE_CURVE('',#16018,#16016,#13915,.T.); #19942=EDGE_CURVE('',#16017,#16018,#7579,.T.); #19943=EDGE_CURVE('',#16019,#16017,#7580,.T.); #19944=EDGE_CURVE('',#16020,#16018,#7581,.T.); #19945=EDGE_CURVE('',#16019,#16020,#7582,.T.); #19946=EDGE_CURVE('',#16021,#16019,#13916,.T.); #19947=EDGE_CURVE('',#16022,#16020,#13917,.T.); #19948=EDGE_CURVE('',#16021,#16022,#7583,.T.); #19949=EDGE_CURVE('',#16023,#16021,#7584,.T.); #19950=EDGE_CURVE('',#16024,#16022,#7585,.T.); #19951=EDGE_CURVE('',#16023,#16024,#7586,.T.); #19952=EDGE_CURVE('',#16025,#16023,#13918,.T.); #19953=EDGE_CURVE('',#16026,#16024,#13919,.T.); #19954=EDGE_CURVE('',#16025,#16026,#7587,.T.); #19955=EDGE_CURVE('',#16027,#16025,#7588,.T.); #19956=EDGE_CURVE('',#16028,#16026,#7589,.T.); #19957=EDGE_CURVE('',#16027,#16028,#7590,.T.); #19958=EDGE_CURVE('',#16014,#16027,#13920,.T.); #19959=EDGE_CURVE('',#16015,#16028,#13921,.T.); #19960=EDGE_CURVE('',#16029,#16030,#7591,.T.); #19961=EDGE_CURVE('',#16030,#16031,#7592,.T.); #19962=EDGE_CURVE('',#16032,#16031,#7593,.T.); #19963=EDGE_CURVE('',#16029,#16032,#7594,.T.); #19964=EDGE_CURVE('',#16033,#16029,#13922,.T.); #19965=EDGE_CURVE('',#16034,#16032,#13923,.T.); #19966=EDGE_CURVE('',#16033,#16034,#7595,.T.); #19967=EDGE_CURVE('',#16035,#16033,#7596,.T.); #19968=EDGE_CURVE('',#16036,#16034,#7597,.T.); #19969=EDGE_CURVE('',#16035,#16036,#7598,.T.); #19970=EDGE_CURVE('',#16037,#16035,#13924,.T.); #19971=EDGE_CURVE('',#16038,#16036,#13925,.T.); #19972=EDGE_CURVE('',#16037,#16038,#7599,.T.); #19973=EDGE_CURVE('',#16039,#16037,#7600,.T.); #19974=EDGE_CURVE('',#16040,#16038,#7601,.T.); #19975=EDGE_CURVE('',#16039,#16040,#7602,.T.); #19976=EDGE_CURVE('',#16041,#16039,#13926,.T.); #19977=EDGE_CURVE('',#16042,#16040,#13927,.T.); #19978=EDGE_CURVE('',#16041,#16042,#7603,.T.); #19979=EDGE_CURVE('',#16043,#16041,#7604,.T.); #19980=EDGE_CURVE('',#16044,#16042,#7605,.T.); #19981=EDGE_CURVE('',#16043,#16044,#7606,.T.); #19982=EDGE_CURVE('',#16030,#16043,#13928,.T.); #19983=EDGE_CURVE('',#16031,#16044,#13929,.T.); #19984=EDGE_CURVE('',#16045,#16046,#7607,.T.); #19985=EDGE_CURVE('',#16046,#16047,#7608,.T.); #19986=EDGE_CURVE('',#16048,#16047,#7609,.T.); #19987=EDGE_CURVE('',#16045,#16048,#7610,.T.); #19988=EDGE_CURVE('',#16049,#16045,#13930,.T.); #19989=EDGE_CURVE('',#16050,#16048,#13931,.T.); #19990=EDGE_CURVE('',#16049,#16050,#7611,.T.); #19991=EDGE_CURVE('',#16051,#16049,#7612,.T.); #19992=EDGE_CURVE('',#16052,#16050,#7613,.T.); #19993=EDGE_CURVE('',#16051,#16052,#7614,.T.); #19994=EDGE_CURVE('',#16053,#16051,#13932,.T.); #19995=EDGE_CURVE('',#16054,#16052,#13933,.T.); #19996=EDGE_CURVE('',#16053,#16054,#7615,.T.); #19997=EDGE_CURVE('',#16055,#16053,#7616,.T.); #19998=EDGE_CURVE('',#16056,#16054,#7617,.T.); #19999=EDGE_CURVE('',#16055,#16056,#7618,.T.); #20000=EDGE_CURVE('',#16057,#16055,#13934,.T.); #20001=EDGE_CURVE('',#16058,#16056,#13935,.T.); #20002=EDGE_CURVE('',#16057,#16058,#7619,.T.); #20003=EDGE_CURVE('',#16059,#16057,#7620,.T.); #20004=EDGE_CURVE('',#16060,#16058,#7621,.T.); #20005=EDGE_CURVE('',#16059,#16060,#7622,.T.); #20006=EDGE_CURVE('',#16046,#16059,#13936,.T.); #20007=EDGE_CURVE('',#16047,#16060,#13937,.T.); #20008=EDGE_CURVE('',#16061,#16062,#7623,.T.); #20009=EDGE_CURVE('',#16062,#16063,#7624,.T.); #20010=EDGE_CURVE('',#16064,#16063,#7625,.T.); #20011=EDGE_CURVE('',#16061,#16064,#7626,.T.); #20012=EDGE_CURVE('',#16065,#16061,#13938,.T.); #20013=EDGE_CURVE('',#16066,#16064,#13939,.T.); #20014=EDGE_CURVE('',#16065,#16066,#7627,.T.); #20015=EDGE_CURVE('',#16067,#16065,#7628,.T.); #20016=EDGE_CURVE('',#16068,#16066,#7629,.T.); #20017=EDGE_CURVE('',#16067,#16068,#7630,.T.); #20018=EDGE_CURVE('',#16069,#16067,#13940,.T.); #20019=EDGE_CURVE('',#16070,#16068,#13941,.T.); #20020=EDGE_CURVE('',#16069,#16070,#7631,.T.); #20021=EDGE_CURVE('',#16071,#16069,#7632,.T.); #20022=EDGE_CURVE('',#16072,#16070,#7633,.T.); #20023=EDGE_CURVE('',#16071,#16072,#7634,.T.); #20024=EDGE_CURVE('',#16073,#16071,#13942,.T.); #20025=EDGE_CURVE('',#16074,#16072,#13943,.T.); #20026=EDGE_CURVE('',#16073,#16074,#7635,.T.); #20027=EDGE_CURVE('',#16075,#16073,#7636,.T.); #20028=EDGE_CURVE('',#16076,#16074,#7637,.T.); #20029=EDGE_CURVE('',#16075,#16076,#7638,.T.); #20030=EDGE_CURVE('',#16062,#16075,#13944,.T.); #20031=EDGE_CURVE('',#16063,#16076,#13945,.T.); #20032=EDGE_CURVE('',#16077,#16078,#7639,.T.); #20033=EDGE_CURVE('',#16078,#16079,#7640,.T.); #20034=EDGE_CURVE('',#16080,#16079,#7641,.T.); #20035=EDGE_CURVE('',#16077,#16080,#7642,.T.); #20036=EDGE_CURVE('',#16081,#16077,#13946,.T.); #20037=EDGE_CURVE('',#16082,#16080,#13947,.T.); #20038=EDGE_CURVE('',#16081,#16082,#7643,.T.); #20039=EDGE_CURVE('',#16083,#16081,#7644,.T.); #20040=EDGE_CURVE('',#16084,#16082,#7645,.T.); #20041=EDGE_CURVE('',#16083,#16084,#7646,.T.); #20042=EDGE_CURVE('',#16085,#16083,#13948,.T.); #20043=EDGE_CURVE('',#16086,#16084,#13949,.T.); #20044=EDGE_CURVE('',#16085,#16086,#7647,.T.); #20045=EDGE_CURVE('',#16087,#16085,#7648,.T.); #20046=EDGE_CURVE('',#16088,#16086,#7649,.T.); #20047=EDGE_CURVE('',#16087,#16088,#7650,.T.); #20048=EDGE_CURVE('',#16089,#16087,#13950,.T.); #20049=EDGE_CURVE('',#16090,#16088,#13951,.T.); #20050=EDGE_CURVE('',#16089,#16090,#7651,.T.); #20051=EDGE_CURVE('',#16091,#16089,#7652,.T.); #20052=EDGE_CURVE('',#16092,#16090,#7653,.T.); #20053=EDGE_CURVE('',#16091,#16092,#7654,.T.); #20054=EDGE_CURVE('',#16078,#16091,#13952,.T.); #20055=EDGE_CURVE('',#16079,#16092,#13953,.T.); #20056=EDGE_CURVE('',#16093,#16094,#7655,.T.); #20057=EDGE_CURVE('',#16094,#16095,#7656,.T.); #20058=EDGE_CURVE('',#16096,#16095,#7657,.T.); #20059=EDGE_CURVE('',#16093,#16096,#7658,.T.); #20060=EDGE_CURVE('',#16097,#16093,#13954,.T.); #20061=EDGE_CURVE('',#16098,#16096,#13955,.T.); #20062=EDGE_CURVE('',#16097,#16098,#7659,.T.); #20063=EDGE_CURVE('',#16099,#16097,#7660,.T.); #20064=EDGE_CURVE('',#16100,#16098,#7661,.T.); #20065=EDGE_CURVE('',#16099,#16100,#7662,.T.); #20066=EDGE_CURVE('',#16101,#16099,#13956,.T.); #20067=EDGE_CURVE('',#16102,#16100,#13957,.T.); #20068=EDGE_CURVE('',#16101,#16102,#7663,.T.); #20069=EDGE_CURVE('',#16103,#16101,#7664,.T.); #20070=EDGE_CURVE('',#16104,#16102,#7665,.T.); #20071=EDGE_CURVE('',#16103,#16104,#7666,.T.); #20072=EDGE_CURVE('',#16105,#16103,#13958,.T.); #20073=EDGE_CURVE('',#16106,#16104,#13959,.T.); #20074=EDGE_CURVE('',#16105,#16106,#7667,.T.); #20075=EDGE_CURVE('',#16107,#16105,#7668,.T.); #20076=EDGE_CURVE('',#16108,#16106,#7669,.T.); #20077=EDGE_CURVE('',#16107,#16108,#7670,.T.); #20078=EDGE_CURVE('',#16094,#16107,#13960,.T.); #20079=EDGE_CURVE('',#16095,#16108,#13961,.T.); #20080=EDGE_CURVE('',#16109,#16110,#7671,.T.); #20081=EDGE_CURVE('',#16110,#16111,#7672,.T.); #20082=EDGE_CURVE('',#16112,#16111,#7673,.T.); #20083=EDGE_CURVE('',#16109,#16112,#7674,.T.); #20084=EDGE_CURVE('',#16113,#16109,#13962,.T.); #20085=EDGE_CURVE('',#16114,#16112,#13963,.T.); #20086=EDGE_CURVE('',#16113,#16114,#7675,.T.); #20087=EDGE_CURVE('',#16115,#16113,#7676,.T.); #20088=EDGE_CURVE('',#16116,#16114,#7677,.T.); #20089=EDGE_CURVE('',#16115,#16116,#7678,.T.); #20090=EDGE_CURVE('',#16117,#16115,#13964,.T.); #20091=EDGE_CURVE('',#16118,#16116,#13965,.T.); #20092=EDGE_CURVE('',#16117,#16118,#7679,.T.); #20093=EDGE_CURVE('',#16119,#16117,#7680,.T.); #20094=EDGE_CURVE('',#16120,#16118,#7681,.T.); #20095=EDGE_CURVE('',#16119,#16120,#7682,.T.); #20096=EDGE_CURVE('',#16121,#16119,#13966,.T.); #20097=EDGE_CURVE('',#16122,#16120,#13967,.T.); #20098=EDGE_CURVE('',#16121,#16122,#7683,.T.); #20099=EDGE_CURVE('',#16123,#16121,#7684,.T.); #20100=EDGE_CURVE('',#16124,#16122,#7685,.T.); #20101=EDGE_CURVE('',#16123,#16124,#7686,.T.); #20102=EDGE_CURVE('',#16110,#16123,#13968,.T.); #20103=EDGE_CURVE('',#16111,#16124,#13969,.T.); #20104=EDGE_CURVE('',#16125,#16126,#7687,.T.); #20105=EDGE_CURVE('',#16126,#16127,#7688,.T.); #20106=EDGE_CURVE('',#16128,#16127,#7689,.T.); #20107=EDGE_CURVE('',#16125,#16128,#7690,.T.); #20108=EDGE_CURVE('',#16129,#16125,#13970,.T.); #20109=EDGE_CURVE('',#16130,#16128,#13971,.T.); #20110=EDGE_CURVE('',#16129,#16130,#7691,.T.); #20111=EDGE_CURVE('',#16131,#16129,#7692,.T.); #20112=EDGE_CURVE('',#16132,#16130,#7693,.T.); #20113=EDGE_CURVE('',#16131,#16132,#7694,.T.); #20114=EDGE_CURVE('',#16133,#16131,#13972,.T.); #20115=EDGE_CURVE('',#16134,#16132,#13973,.T.); #20116=EDGE_CURVE('',#16133,#16134,#7695,.T.); #20117=EDGE_CURVE('',#16135,#16133,#7696,.T.); #20118=EDGE_CURVE('',#16136,#16134,#7697,.T.); #20119=EDGE_CURVE('',#16135,#16136,#7698,.T.); #20120=EDGE_CURVE('',#16137,#16135,#13974,.T.); #20121=EDGE_CURVE('',#16138,#16136,#13975,.T.); #20122=EDGE_CURVE('',#16137,#16138,#7699,.T.); #20123=EDGE_CURVE('',#16139,#16137,#7700,.T.); #20124=EDGE_CURVE('',#16140,#16138,#7701,.T.); #20125=EDGE_CURVE('',#16139,#16140,#7702,.T.); #20126=EDGE_CURVE('',#16126,#16139,#13976,.T.); #20127=EDGE_CURVE('',#16127,#16140,#13977,.T.); #20128=EDGE_CURVE('',#16141,#16142,#7703,.T.); #20129=EDGE_CURVE('',#16142,#16143,#7704,.T.); #20130=EDGE_CURVE('',#16144,#16143,#7705,.T.); #20131=EDGE_CURVE('',#16141,#16144,#7706,.T.); #20132=EDGE_CURVE('',#16145,#16141,#13978,.T.); #20133=EDGE_CURVE('',#16146,#16144,#13979,.T.); #20134=EDGE_CURVE('',#16145,#16146,#7707,.T.); #20135=EDGE_CURVE('',#16147,#16145,#7708,.T.); #20136=EDGE_CURVE('',#16148,#16146,#7709,.T.); #20137=EDGE_CURVE('',#16147,#16148,#7710,.T.); #20138=EDGE_CURVE('',#16149,#16147,#13980,.T.); #20139=EDGE_CURVE('',#16150,#16148,#13981,.T.); #20140=EDGE_CURVE('',#16149,#16150,#7711,.T.); #20141=EDGE_CURVE('',#16151,#16149,#7712,.T.); #20142=EDGE_CURVE('',#16152,#16150,#7713,.T.); #20143=EDGE_CURVE('',#16151,#16152,#7714,.T.); #20144=EDGE_CURVE('',#16153,#16151,#13982,.T.); #20145=EDGE_CURVE('',#16154,#16152,#13983,.T.); #20146=EDGE_CURVE('',#16153,#16154,#7715,.T.); #20147=EDGE_CURVE('',#16155,#16153,#7716,.T.); #20148=EDGE_CURVE('',#16156,#16154,#7717,.T.); #20149=EDGE_CURVE('',#16155,#16156,#7718,.T.); #20150=EDGE_CURVE('',#16142,#16155,#13984,.T.); #20151=EDGE_CURVE('',#16143,#16156,#13985,.T.); #20152=EDGE_CURVE('',#16157,#16158,#7719,.T.); #20153=EDGE_CURVE('',#16158,#16159,#7720,.T.); #20154=EDGE_CURVE('',#16160,#16159,#7721,.T.); #20155=EDGE_CURVE('',#16157,#16160,#7722,.T.); #20156=EDGE_CURVE('',#16161,#16157,#13986,.T.); #20157=EDGE_CURVE('',#16162,#16160,#13987,.T.); #20158=EDGE_CURVE('',#16161,#16162,#7723,.T.); #20159=EDGE_CURVE('',#16163,#16161,#7724,.T.); #20160=EDGE_CURVE('',#16164,#16162,#7725,.T.); #20161=EDGE_CURVE('',#16163,#16164,#7726,.T.); #20162=EDGE_CURVE('',#16165,#16163,#13988,.T.); #20163=EDGE_CURVE('',#16166,#16164,#13989,.T.); #20164=EDGE_CURVE('',#16165,#16166,#7727,.T.); #20165=EDGE_CURVE('',#16167,#16165,#7728,.T.); #20166=EDGE_CURVE('',#16168,#16166,#7729,.T.); #20167=EDGE_CURVE('',#16167,#16168,#7730,.T.); #20168=EDGE_CURVE('',#16169,#16167,#13990,.T.); #20169=EDGE_CURVE('',#16170,#16168,#13991,.T.); #20170=EDGE_CURVE('',#16169,#16170,#7731,.T.); #20171=EDGE_CURVE('',#16171,#16169,#7732,.T.); #20172=EDGE_CURVE('',#16172,#16170,#7733,.T.); #20173=EDGE_CURVE('',#16171,#16172,#7734,.T.); #20174=EDGE_CURVE('',#16158,#16171,#13992,.T.); #20175=EDGE_CURVE('',#16159,#16172,#13993,.T.); #20176=EDGE_CURVE('',#16173,#16174,#7735,.T.); #20177=EDGE_CURVE('',#16174,#16175,#7736,.T.); #20178=EDGE_CURVE('',#16176,#16175,#7737,.T.); #20179=EDGE_CURVE('',#16173,#16176,#7738,.T.); #20180=EDGE_CURVE('',#16177,#16173,#13994,.T.); #20181=EDGE_CURVE('',#16178,#16176,#13995,.T.); #20182=EDGE_CURVE('',#16177,#16178,#7739,.T.); #20183=EDGE_CURVE('',#16179,#16177,#7740,.T.); #20184=EDGE_CURVE('',#16180,#16178,#7741,.T.); #20185=EDGE_CURVE('',#16179,#16180,#7742,.T.); #20186=EDGE_CURVE('',#16181,#16179,#13996,.T.); #20187=EDGE_CURVE('',#16182,#16180,#13997,.T.); #20188=EDGE_CURVE('',#16181,#16182,#7743,.T.); #20189=EDGE_CURVE('',#16183,#16181,#7744,.T.); #20190=EDGE_CURVE('',#16184,#16182,#7745,.T.); #20191=EDGE_CURVE('',#16183,#16184,#7746,.T.); #20192=EDGE_CURVE('',#16185,#16183,#13998,.T.); #20193=EDGE_CURVE('',#16186,#16184,#13999,.T.); #20194=EDGE_CURVE('',#16185,#16186,#7747,.T.); #20195=EDGE_CURVE('',#16187,#16185,#7748,.T.); #20196=EDGE_CURVE('',#16188,#16186,#7749,.T.); #20197=EDGE_CURVE('',#16187,#16188,#7750,.T.); #20198=EDGE_CURVE('',#16174,#16187,#14000,.T.); #20199=EDGE_CURVE('',#16175,#16188,#14001,.T.); #20200=EDGE_CURVE('',#16189,#16190,#7751,.T.); #20201=EDGE_CURVE('',#16190,#16191,#7752,.T.); #20202=EDGE_CURVE('',#16192,#16191,#7753,.T.); #20203=EDGE_CURVE('',#16189,#16192,#7754,.T.); #20204=EDGE_CURVE('',#16193,#16189,#14002,.T.); #20205=EDGE_CURVE('',#16194,#16192,#14003,.T.); #20206=EDGE_CURVE('',#16193,#16194,#7755,.T.); #20207=EDGE_CURVE('',#16195,#16193,#7756,.T.); #20208=EDGE_CURVE('',#16196,#16194,#7757,.T.); #20209=EDGE_CURVE('',#16195,#16196,#7758,.T.); #20210=EDGE_CURVE('',#16197,#16195,#14004,.T.); #20211=EDGE_CURVE('',#16198,#16196,#14005,.T.); #20212=EDGE_CURVE('',#16197,#16198,#7759,.T.); #20213=EDGE_CURVE('',#16199,#16197,#7760,.T.); #20214=EDGE_CURVE('',#16200,#16198,#7761,.T.); #20215=EDGE_CURVE('',#16199,#16200,#7762,.T.); #20216=EDGE_CURVE('',#16201,#16199,#14006,.T.); #20217=EDGE_CURVE('',#16202,#16200,#14007,.T.); #20218=EDGE_CURVE('',#16201,#16202,#7763,.T.); #20219=EDGE_CURVE('',#16203,#16201,#7764,.T.); #20220=EDGE_CURVE('',#16204,#16202,#7765,.T.); #20221=EDGE_CURVE('',#16203,#16204,#7766,.T.); #20222=EDGE_CURVE('',#16190,#16203,#14008,.T.); #20223=EDGE_CURVE('',#16191,#16204,#14009,.T.); #20224=EDGE_CURVE('',#16205,#16206,#7767,.T.); #20225=EDGE_CURVE('',#16206,#16207,#7768,.T.); #20226=EDGE_CURVE('',#16208,#16207,#7769,.T.); #20227=EDGE_CURVE('',#16205,#16208,#7770,.T.); #20228=EDGE_CURVE('',#16209,#16205,#14010,.T.); #20229=EDGE_CURVE('',#16210,#16208,#14011,.T.); #20230=EDGE_CURVE('',#16209,#16210,#7771,.T.); #20231=EDGE_CURVE('',#16211,#16209,#7772,.T.); #20232=EDGE_CURVE('',#16212,#16210,#7773,.T.); #20233=EDGE_CURVE('',#16211,#16212,#7774,.T.); #20234=EDGE_CURVE('',#16213,#16211,#14012,.T.); #20235=EDGE_CURVE('',#16214,#16212,#14013,.T.); #20236=EDGE_CURVE('',#16213,#16214,#7775,.T.); #20237=EDGE_CURVE('',#16215,#16213,#7776,.T.); #20238=EDGE_CURVE('',#16216,#16214,#7777,.T.); #20239=EDGE_CURVE('',#16215,#16216,#7778,.T.); #20240=EDGE_CURVE('',#16217,#16215,#14014,.T.); #20241=EDGE_CURVE('',#16218,#16216,#14015,.T.); #20242=EDGE_CURVE('',#16217,#16218,#7779,.T.); #20243=EDGE_CURVE('',#16219,#16217,#7780,.T.); #20244=EDGE_CURVE('',#16220,#16218,#7781,.T.); #20245=EDGE_CURVE('',#16219,#16220,#7782,.T.); #20246=EDGE_CURVE('',#16206,#16219,#14016,.T.); #20247=EDGE_CURVE('',#16207,#16220,#14017,.T.); #20248=EDGE_CURVE('',#16221,#16222,#7783,.T.); #20249=EDGE_CURVE('',#16222,#16223,#7784,.T.); #20250=EDGE_CURVE('',#16224,#16223,#7785,.T.); #20251=EDGE_CURVE('',#16221,#16224,#7786,.T.); #20252=EDGE_CURVE('',#16225,#16221,#14018,.T.); #20253=EDGE_CURVE('',#16226,#16224,#14019,.T.); #20254=EDGE_CURVE('',#16225,#16226,#7787,.T.); #20255=EDGE_CURVE('',#16227,#16225,#7788,.T.); #20256=EDGE_CURVE('',#16228,#16226,#7789,.T.); #20257=EDGE_CURVE('',#16227,#16228,#7790,.T.); #20258=EDGE_CURVE('',#16229,#16227,#14020,.T.); #20259=EDGE_CURVE('',#16230,#16228,#14021,.T.); #20260=EDGE_CURVE('',#16229,#16230,#7791,.T.); #20261=EDGE_CURVE('',#16231,#16229,#7792,.T.); #20262=EDGE_CURVE('',#16232,#16230,#7793,.T.); #20263=EDGE_CURVE('',#16231,#16232,#7794,.T.); #20264=EDGE_CURVE('',#16233,#16231,#14022,.T.); #20265=EDGE_CURVE('',#16234,#16232,#14023,.T.); #20266=EDGE_CURVE('',#16233,#16234,#7795,.T.); #20267=EDGE_CURVE('',#16235,#16233,#7796,.T.); #20268=EDGE_CURVE('',#16236,#16234,#7797,.T.); #20269=EDGE_CURVE('',#16235,#16236,#7798,.T.); #20270=EDGE_CURVE('',#16222,#16235,#14024,.T.); #20271=EDGE_CURVE('',#16223,#16236,#14025,.T.); #20272=EDGE_CURVE('',#16237,#16238,#7799,.T.); #20273=EDGE_CURVE('',#16237,#16239,#7800,.T.); #20274=EDGE_CURVE('',#16240,#16239,#7801,.T.); #20275=EDGE_CURVE('',#16238,#16240,#7802,.T.); #20276=EDGE_CURVE('',#16241,#16238,#7803,.T.); #20277=EDGE_CURVE('',#16242,#16240,#7804,.T.); #20278=EDGE_CURVE('',#16241,#16242,#7805,.T.); #20279=EDGE_CURVE('',#16243,#16241,#7806,.T.); #20280=EDGE_CURVE('',#16244,#16242,#7807,.T.); #20281=EDGE_CURVE('',#16243,#16244,#7808,.T.); #20282=EDGE_CURVE('',#16245,#16243,#14026,.T.); #20283=EDGE_CURVE('',#16246,#16244,#14027,.T.); #20284=EDGE_CURVE('',#16245,#16246,#7809,.T.); #20285=EDGE_CURVE('',#16245,#16247,#7810,.T.); #20286=EDGE_CURVE('',#16248,#16246,#7811,.T.); #20287=EDGE_CURVE('',#16247,#16248,#7812,.T.); #20288=EDGE_CURVE('',#16249,#16247,#14028,.T.); #20289=EDGE_CURVE('',#16250,#16248,#14029,.T.); #20290=EDGE_CURVE('',#16249,#16250,#7813,.T.); #20291=EDGE_CURVE('',#16249,#16251,#7814,.T.); #20292=EDGE_CURVE('',#16252,#16250,#7815,.T.); #20293=EDGE_CURVE('',#16251,#16252,#7816,.T.); #20294=EDGE_CURVE('',#16253,#16251,#7817,.T.); #20295=EDGE_CURVE('',#16254,#16252,#7818,.T.); #20296=EDGE_CURVE('',#16253,#16254,#7819,.T.); #20297=EDGE_CURVE('',#16255,#16253,#7820,.T.); #20298=EDGE_CURVE('',#16256,#16254,#7821,.T.); #20299=EDGE_CURVE('',#16255,#16256,#7822,.T.); #20300=EDGE_CURVE('',#16257,#16255,#7823,.T.); #20301=EDGE_CURVE('',#16258,#16256,#7824,.T.); #20302=EDGE_CURVE('',#16257,#16258,#7825,.T.); #20303=EDGE_CURVE('',#16259,#16257,#14030,.T.); #20304=EDGE_CURVE('',#16260,#16258,#14031,.T.); #20305=EDGE_CURVE('',#16259,#16260,#7826,.T.); #20306=EDGE_CURVE('',#16259,#16261,#7827,.T.); #20307=EDGE_CURVE('',#16262,#16260,#7828,.T.); #20308=EDGE_CURVE('',#16261,#16262,#7829,.T.); #20309=EDGE_CURVE('',#16261,#16263,#7830,.T.); #20310=EDGE_CURVE('',#16264,#16262,#7831,.T.); #20311=EDGE_CURVE('',#16263,#16264,#7832,.T.); #20312=EDGE_CURVE('',#16265,#16263,#7833,.T.); #20313=EDGE_CURVE('',#16266,#16264,#7834,.T.); #20314=EDGE_CURVE('',#16265,#16266,#7835,.T.); #20315=EDGE_CURVE('',#16267,#16265,#7836,.T.); #20316=EDGE_CURVE('',#16268,#16266,#7837,.T.); #20317=EDGE_CURVE('',#16267,#16268,#7838,.T.); #20318=EDGE_CURVE('',#16269,#16267,#7839,.T.); #20319=EDGE_CURVE('',#16270,#16268,#7840,.T.); #20320=EDGE_CURVE('',#16269,#16270,#7841,.T.); #20321=EDGE_CURVE('',#16271,#16269,#14032,.T.); #20322=EDGE_CURVE('',#16272,#16270,#14033,.T.); #20323=EDGE_CURVE('',#16271,#16272,#7842,.T.); #20324=EDGE_CURVE('',#16271,#16237,#7843,.T.); #20325=EDGE_CURVE('',#16239,#16272,#7844,.T.); #20326=EDGE_CURVE('',#16273,#16274,#7845,.T.); #20327=EDGE_CURVE('',#16273,#16275,#7846,.T.); #20328=EDGE_CURVE('',#16275,#16276,#7847,.T.); #20329=EDGE_CURVE('',#16274,#16276,#7848,.T.); #20330=EDGE_CURVE('',#16277,#16273,#14034,.T.); #20331=EDGE_CURVE('',#16277,#16278,#7849,.T.); #20332=EDGE_CURVE('',#16278,#16275,#14035,.T.); #20333=EDGE_CURVE('',#16279,#16277,#7850,.T.); #20334=EDGE_CURVE('',#16279,#16280,#7851,.T.); #20335=EDGE_CURVE('',#16280,#16278,#7852,.T.); #20336=EDGE_CURVE('',#16281,#16279,#14036,.T.); #20337=EDGE_CURVE('',#16281,#16282,#7853,.T.); #20338=EDGE_CURVE('',#16282,#16280,#14037,.T.); #20339=EDGE_CURVE('',#16283,#16281,#7854,.T.); #20340=EDGE_CURVE('',#16283,#16284,#7855,.T.); #20341=EDGE_CURVE('',#16284,#16282,#7856,.T.); #20342=EDGE_CURVE('',#16285,#16283,#14038,.T.); #20343=EDGE_CURVE('',#16285,#16286,#7857,.T.); #20344=EDGE_CURVE('',#16286,#16284,#14039,.T.); #20345=EDGE_CURVE('',#16287,#16285,#7858,.T.); #20346=EDGE_CURVE('',#16287,#16288,#7859,.T.); #20347=EDGE_CURVE('',#16288,#16286,#7860,.T.); #20348=EDGE_CURVE('',#16274,#16287,#14040,.T.); #20349=EDGE_CURVE('',#16276,#16288,#14041,.T.); #20350=EDGE_CURVE('',#16289,#16290,#7861,.T.); #20351=EDGE_CURVE('',#16289,#16291,#7862,.T.); #20352=EDGE_CURVE('',#16291,#16292,#7863,.T.); #20353=EDGE_CURVE('',#16290,#16292,#7864,.T.); #20354=EDGE_CURVE('',#16293,#16289,#14042,.T.); #20355=EDGE_CURVE('',#16293,#16294,#7865,.T.); #20356=EDGE_CURVE('',#16294,#16291,#14043,.T.); #20357=EDGE_CURVE('',#16295,#16293,#7866,.T.); #20358=EDGE_CURVE('',#16295,#16296,#7867,.T.); #20359=EDGE_CURVE('',#16296,#16294,#7868,.T.); #20360=EDGE_CURVE('',#16297,#16295,#14044,.T.); #20361=EDGE_CURVE('',#16297,#16298,#7869,.T.); #20362=EDGE_CURVE('',#16298,#16296,#14045,.T.); #20363=EDGE_CURVE('',#16299,#16297,#7870,.T.); #20364=EDGE_CURVE('',#16299,#16300,#7871,.T.); #20365=EDGE_CURVE('',#16300,#16298,#7872,.T.); #20366=EDGE_CURVE('',#16301,#16299,#14046,.T.); #20367=EDGE_CURVE('',#16301,#16302,#7873,.T.); #20368=EDGE_CURVE('',#16302,#16300,#14047,.T.); #20369=EDGE_CURVE('',#16303,#16301,#7874,.T.); #20370=EDGE_CURVE('',#16303,#16304,#7875,.T.); #20371=EDGE_CURVE('',#16304,#16302,#7876,.T.); #20372=EDGE_CURVE('',#16290,#16303,#14048,.T.); #20373=EDGE_CURVE('',#16292,#16304,#14049,.T.); #20374=EDGE_CURVE('',#16305,#16306,#7877,.T.); #20375=EDGE_CURVE('',#16305,#16307,#7878,.T.); #20376=EDGE_CURVE('',#16307,#16308,#7879,.T.); #20377=EDGE_CURVE('',#16306,#16308,#7880,.T.); #20378=EDGE_CURVE('',#16309,#16305,#14050,.T.); #20379=EDGE_CURVE('',#16309,#16310,#7881,.T.); #20380=EDGE_CURVE('',#16310,#16307,#14051,.T.); #20381=EDGE_CURVE('',#16311,#16309,#7882,.T.); #20382=EDGE_CURVE('',#16311,#16312,#7883,.T.); #20383=EDGE_CURVE('',#16312,#16310,#7884,.T.); #20384=EDGE_CURVE('',#16313,#16311,#14052,.T.); #20385=EDGE_CURVE('',#16313,#16314,#7885,.T.); #20386=EDGE_CURVE('',#16314,#16312,#14053,.T.); #20387=EDGE_CURVE('',#16315,#16313,#7886,.T.); #20388=EDGE_CURVE('',#16315,#16316,#7887,.T.); #20389=EDGE_CURVE('',#16316,#16314,#7888,.T.); #20390=EDGE_CURVE('',#16317,#16315,#14054,.T.); #20391=EDGE_CURVE('',#16317,#16318,#7889,.T.); #20392=EDGE_CURVE('',#16318,#16316,#14055,.T.); #20393=EDGE_CURVE('',#16319,#16317,#7890,.T.); #20394=EDGE_CURVE('',#16319,#16320,#7891,.T.); #20395=EDGE_CURVE('',#16320,#16318,#7892,.T.); #20396=EDGE_CURVE('',#16306,#16319,#14056,.T.); #20397=EDGE_CURVE('',#16308,#16320,#14057,.T.); #20398=EDGE_CURVE('',#16321,#16322,#7893,.T.); #20399=EDGE_CURVE('',#16321,#16323,#7894,.T.); #20400=EDGE_CURVE('',#16323,#16324,#7895,.T.); #20401=EDGE_CURVE('',#16322,#16324,#7896,.T.); #20402=EDGE_CURVE('',#16325,#16321,#14058,.T.); #20403=EDGE_CURVE('',#16325,#16326,#7897,.T.); #20404=EDGE_CURVE('',#16326,#16323,#14059,.T.); #20405=EDGE_CURVE('',#16327,#16325,#7898,.T.); #20406=EDGE_CURVE('',#16327,#16328,#7899,.T.); #20407=EDGE_CURVE('',#16328,#16326,#7900,.T.); #20408=EDGE_CURVE('',#16329,#16327,#14060,.T.); #20409=EDGE_CURVE('',#16329,#16330,#7901,.T.); #20410=EDGE_CURVE('',#16330,#16328,#14061,.T.); #20411=EDGE_CURVE('',#16331,#16329,#7902,.T.); #20412=EDGE_CURVE('',#16331,#16332,#7903,.T.); #20413=EDGE_CURVE('',#16332,#16330,#7904,.T.); #20414=EDGE_CURVE('',#16333,#16331,#14062,.T.); #20415=EDGE_CURVE('',#16333,#16334,#7905,.T.); #20416=EDGE_CURVE('',#16334,#16332,#14063,.T.); #20417=EDGE_CURVE('',#16335,#16333,#7906,.T.); #20418=EDGE_CURVE('',#16335,#16336,#7907,.T.); #20419=EDGE_CURVE('',#16336,#16334,#7908,.T.); #20420=EDGE_CURVE('',#16322,#16335,#14064,.T.); #20421=EDGE_CURVE('',#16324,#16336,#14065,.T.); #20422=EDGE_CURVE('',#16337,#16338,#7909,.T.); #20423=EDGE_CURVE('',#16337,#16339,#7910,.T.); #20424=EDGE_CURVE('',#16339,#16340,#7911,.T.); #20425=EDGE_CURVE('',#16338,#16340,#7912,.T.); #20426=EDGE_CURVE('',#16341,#16337,#14066,.T.); #20427=EDGE_CURVE('',#16341,#16342,#7913,.T.); #20428=EDGE_CURVE('',#16342,#16339,#14067,.T.); #20429=EDGE_CURVE('',#16343,#16341,#7914,.T.); #20430=EDGE_CURVE('',#16343,#16344,#7915,.T.); #20431=EDGE_CURVE('',#16344,#16342,#7916,.T.); #20432=EDGE_CURVE('',#16345,#16343,#14068,.T.); #20433=EDGE_CURVE('',#16345,#16346,#7917,.T.); #20434=EDGE_CURVE('',#16346,#16344,#14069,.T.); #20435=EDGE_CURVE('',#16347,#16345,#7918,.T.); #20436=EDGE_CURVE('',#16347,#16348,#7919,.T.); #20437=EDGE_CURVE('',#16348,#16346,#7920,.T.); #20438=EDGE_CURVE('',#16349,#16347,#14070,.T.); #20439=EDGE_CURVE('',#16349,#16350,#7921,.T.); #20440=EDGE_CURVE('',#16350,#16348,#14071,.T.); #20441=EDGE_CURVE('',#16351,#16349,#7922,.T.); #20442=EDGE_CURVE('',#16351,#16352,#7923,.T.); #20443=EDGE_CURVE('',#16352,#16350,#7924,.T.); #20444=EDGE_CURVE('',#16338,#16351,#14072,.T.); #20445=EDGE_CURVE('',#16340,#16352,#14073,.T.); #20446=EDGE_CURVE('',#16353,#16354,#7925,.T.); #20447=EDGE_CURVE('',#16353,#16355,#7926,.T.); #20448=EDGE_CURVE('',#16355,#16356,#7927,.T.); #20449=EDGE_CURVE('',#16354,#16356,#7928,.T.); #20450=EDGE_CURVE('',#16357,#16353,#14074,.T.); #20451=EDGE_CURVE('',#16357,#16358,#7929,.T.); #20452=EDGE_CURVE('',#16358,#16355,#14075,.T.); #20453=EDGE_CURVE('',#16359,#16357,#7930,.T.); #20454=EDGE_CURVE('',#16359,#16360,#7931,.T.); #20455=EDGE_CURVE('',#16360,#16358,#7932,.T.); #20456=EDGE_CURVE('',#16361,#16359,#14076,.T.); #20457=EDGE_CURVE('',#16361,#16362,#7933,.T.); #20458=EDGE_CURVE('',#16362,#16360,#14077,.T.); #20459=EDGE_CURVE('',#16363,#16361,#7934,.T.); #20460=EDGE_CURVE('',#16363,#16364,#7935,.T.); #20461=EDGE_CURVE('',#16364,#16362,#7936,.T.); #20462=EDGE_CURVE('',#16365,#16363,#14078,.T.); #20463=EDGE_CURVE('',#16365,#16366,#7937,.T.); #20464=EDGE_CURVE('',#16366,#16364,#14079,.T.); #20465=EDGE_CURVE('',#16367,#16365,#7938,.T.); #20466=EDGE_CURVE('',#16367,#16368,#7939,.T.); #20467=EDGE_CURVE('',#16368,#16366,#7940,.T.); #20468=EDGE_CURVE('',#16354,#16367,#14080,.T.); #20469=EDGE_CURVE('',#16356,#16368,#14081,.T.); #20470=EDGE_CURVE('',#16369,#16370,#7941,.T.); #20471=EDGE_CURVE('',#16369,#16371,#7942,.T.); #20472=EDGE_CURVE('',#16371,#16372,#7943,.T.); #20473=EDGE_CURVE('',#16370,#16372,#7944,.T.); #20474=EDGE_CURVE('',#16373,#16369,#14082,.T.); #20475=EDGE_CURVE('',#16373,#16374,#7945,.T.); #20476=EDGE_CURVE('',#16374,#16371,#14083,.T.); #20477=EDGE_CURVE('',#16375,#16373,#7946,.T.); #20478=EDGE_CURVE('',#16375,#16376,#7947,.T.); #20479=EDGE_CURVE('',#16376,#16374,#7948,.T.); #20480=EDGE_CURVE('',#16377,#16375,#14084,.T.); #20481=EDGE_CURVE('',#16377,#16378,#7949,.T.); #20482=EDGE_CURVE('',#16378,#16376,#14085,.T.); #20483=EDGE_CURVE('',#16379,#16377,#7950,.T.); #20484=EDGE_CURVE('',#16379,#16380,#7951,.T.); #20485=EDGE_CURVE('',#16380,#16378,#7952,.T.); #20486=EDGE_CURVE('',#16381,#16379,#14086,.T.); #20487=EDGE_CURVE('',#16381,#16382,#7953,.T.); #20488=EDGE_CURVE('',#16382,#16380,#14087,.T.); #20489=EDGE_CURVE('',#16383,#16381,#7954,.T.); #20490=EDGE_CURVE('',#16383,#16384,#7955,.T.); #20491=EDGE_CURVE('',#16384,#16382,#7956,.T.); #20492=EDGE_CURVE('',#16370,#16383,#14088,.T.); #20493=EDGE_CURVE('',#16372,#16384,#14089,.T.); #20494=EDGE_CURVE('',#16385,#16386,#7957,.T.); #20495=EDGE_CURVE('',#16385,#16387,#7958,.T.); #20496=EDGE_CURVE('',#16387,#16388,#7959,.T.); #20497=EDGE_CURVE('',#16386,#16388,#7960,.T.); #20498=EDGE_CURVE('',#16389,#16385,#14090,.T.); #20499=EDGE_CURVE('',#16389,#16390,#7961,.T.); #20500=EDGE_CURVE('',#16390,#16387,#14091,.T.); #20501=EDGE_CURVE('',#16391,#16389,#7962,.T.); #20502=EDGE_CURVE('',#16391,#16392,#7963,.T.); #20503=EDGE_CURVE('',#16392,#16390,#7964,.T.); #20504=EDGE_CURVE('',#16393,#16391,#14092,.T.); #20505=EDGE_CURVE('',#16393,#16394,#7965,.T.); #20506=EDGE_CURVE('',#16394,#16392,#14093,.T.); #20507=EDGE_CURVE('',#16395,#16393,#7966,.T.); #20508=EDGE_CURVE('',#16395,#16396,#7967,.T.); #20509=EDGE_CURVE('',#16396,#16394,#7968,.T.); #20510=EDGE_CURVE('',#16397,#16395,#14094,.T.); #20511=EDGE_CURVE('',#16397,#16398,#7969,.T.); #20512=EDGE_CURVE('',#16398,#16396,#14095,.T.); #20513=EDGE_CURVE('',#16399,#16397,#7970,.T.); #20514=EDGE_CURVE('',#16399,#16400,#7971,.T.); #20515=EDGE_CURVE('',#16400,#16398,#7972,.T.); #20516=EDGE_CURVE('',#16386,#16399,#14096,.T.); #20517=EDGE_CURVE('',#16388,#16400,#14097,.T.); #20518=EDGE_CURVE('',#16401,#16402,#7973,.T.); #20519=EDGE_CURVE('',#16401,#16403,#7974,.T.); #20520=EDGE_CURVE('',#16403,#16404,#7975,.T.); #20521=EDGE_CURVE('',#16402,#16404,#7976,.T.); #20522=EDGE_CURVE('',#16405,#16401,#14098,.T.); #20523=EDGE_CURVE('',#16405,#16406,#7977,.T.); #20524=EDGE_CURVE('',#16406,#16403,#14099,.T.); #20525=EDGE_CURVE('',#16407,#16405,#7978,.T.); #20526=EDGE_CURVE('',#16407,#16408,#7979,.T.); #20527=EDGE_CURVE('',#16408,#16406,#7980,.T.); #20528=EDGE_CURVE('',#16409,#16407,#14100,.T.); #20529=EDGE_CURVE('',#16409,#16410,#7981,.T.); #20530=EDGE_CURVE('',#16410,#16408,#14101,.T.); #20531=EDGE_CURVE('',#16411,#16409,#7982,.T.); #20532=EDGE_CURVE('',#16411,#16412,#7983,.T.); #20533=EDGE_CURVE('',#16412,#16410,#7984,.T.); #20534=EDGE_CURVE('',#16413,#16411,#14102,.T.); #20535=EDGE_CURVE('',#16413,#16414,#7985,.T.); #20536=EDGE_CURVE('',#16414,#16412,#14103,.T.); #20537=EDGE_CURVE('',#16415,#16413,#7986,.T.); #20538=EDGE_CURVE('',#16415,#16416,#7987,.T.); #20539=EDGE_CURVE('',#16416,#16414,#7988,.T.); #20540=EDGE_CURVE('',#16402,#16415,#14104,.T.); #20541=EDGE_CURVE('',#16404,#16416,#14105,.T.); #20542=EDGE_CURVE('',#16417,#16418,#7989,.T.); #20543=EDGE_CURVE('',#16417,#16419,#7990,.T.); #20544=EDGE_CURVE('',#16419,#16420,#7991,.T.); #20545=EDGE_CURVE('',#16418,#16420,#7992,.T.); #20546=EDGE_CURVE('',#16421,#16417,#14106,.T.); #20547=EDGE_CURVE('',#16421,#16422,#7993,.T.); #20548=EDGE_CURVE('',#16422,#16419,#14107,.T.); #20549=EDGE_CURVE('',#16423,#16421,#7994,.T.); #20550=EDGE_CURVE('',#16423,#16424,#7995,.T.); #20551=EDGE_CURVE('',#16424,#16422,#7996,.T.); #20552=EDGE_CURVE('',#16425,#16423,#14108,.T.); #20553=EDGE_CURVE('',#16425,#16426,#7997,.T.); #20554=EDGE_CURVE('',#16426,#16424,#14109,.T.); #20555=EDGE_CURVE('',#16427,#16425,#7998,.T.); #20556=EDGE_CURVE('',#16427,#16428,#7999,.T.); #20557=EDGE_CURVE('',#16428,#16426,#8000,.T.); #20558=EDGE_CURVE('',#16429,#16427,#14110,.T.); #20559=EDGE_CURVE('',#16429,#16430,#8001,.T.); #20560=EDGE_CURVE('',#16430,#16428,#14111,.T.); #20561=EDGE_CURVE('',#16431,#16429,#8002,.T.); #20562=EDGE_CURVE('',#16431,#16432,#8003,.T.); #20563=EDGE_CURVE('',#16432,#16430,#8004,.T.); #20564=EDGE_CURVE('',#16418,#16431,#14112,.T.); #20565=EDGE_CURVE('',#16420,#16432,#14113,.T.); #20566=EDGE_CURVE('',#16433,#16434,#8005,.T.); #20567=EDGE_CURVE('',#16433,#16435,#8006,.T.); #20568=EDGE_CURVE('',#16435,#16436,#8007,.T.); #20569=EDGE_CURVE('',#16434,#16436,#8008,.T.); #20570=EDGE_CURVE('',#16437,#16433,#14114,.T.); #20571=EDGE_CURVE('',#16437,#16438,#8009,.T.); #20572=EDGE_CURVE('',#16438,#16435,#14115,.T.); #20573=EDGE_CURVE('',#16439,#16437,#8010,.T.); #20574=EDGE_CURVE('',#16439,#16440,#8011,.T.); #20575=EDGE_CURVE('',#16440,#16438,#8012,.T.); #20576=EDGE_CURVE('',#16441,#16439,#14116,.T.); #20577=EDGE_CURVE('',#16441,#16442,#8013,.T.); #20578=EDGE_CURVE('',#16442,#16440,#14117,.T.); #20579=EDGE_CURVE('',#16443,#16441,#8014,.T.); #20580=EDGE_CURVE('',#16443,#16444,#8015,.T.); #20581=EDGE_CURVE('',#16444,#16442,#8016,.T.); #20582=EDGE_CURVE('',#16445,#16443,#14118,.T.); #20583=EDGE_CURVE('',#16445,#16446,#8017,.T.); #20584=EDGE_CURVE('',#16446,#16444,#14119,.T.); #20585=EDGE_CURVE('',#16447,#16445,#8018,.T.); #20586=EDGE_CURVE('',#16447,#16448,#8019,.T.); #20587=EDGE_CURVE('',#16448,#16446,#8020,.T.); #20588=EDGE_CURVE('',#16434,#16447,#14120,.T.); #20589=EDGE_CURVE('',#16436,#16448,#14121,.T.); #20590=EDGE_CURVE('',#16449,#16450,#8021,.T.); #20591=EDGE_CURVE('',#16449,#16451,#8022,.T.); #20592=EDGE_CURVE('',#16451,#16452,#8023,.T.); #20593=EDGE_CURVE('',#16450,#16452,#8024,.T.); #20594=EDGE_CURVE('',#16453,#16449,#14122,.T.); #20595=EDGE_CURVE('',#16453,#16454,#8025,.T.); #20596=EDGE_CURVE('',#16454,#16451,#14123,.T.); #20597=EDGE_CURVE('',#16455,#16453,#8026,.T.); #20598=EDGE_CURVE('',#16455,#16456,#8027,.T.); #20599=EDGE_CURVE('',#16456,#16454,#8028,.T.); #20600=EDGE_CURVE('',#16457,#16455,#14124,.T.); #20601=EDGE_CURVE('',#16457,#16458,#8029,.T.); #20602=EDGE_CURVE('',#16458,#16456,#14125,.T.); #20603=EDGE_CURVE('',#16459,#16457,#8030,.T.); #20604=EDGE_CURVE('',#16459,#16460,#8031,.T.); #20605=EDGE_CURVE('',#16460,#16458,#8032,.T.); #20606=EDGE_CURVE('',#16461,#16459,#14126,.T.); #20607=EDGE_CURVE('',#16461,#16462,#8033,.T.); #20608=EDGE_CURVE('',#16462,#16460,#14127,.T.); #20609=EDGE_CURVE('',#16463,#16461,#8034,.T.); #20610=EDGE_CURVE('',#16463,#16464,#8035,.T.); #20611=EDGE_CURVE('',#16464,#16462,#8036,.T.); #20612=EDGE_CURVE('',#16450,#16463,#14128,.T.); #20613=EDGE_CURVE('',#16452,#16464,#14129,.T.); #20614=EDGE_CURVE('',#16465,#16466,#8037,.T.); #20615=EDGE_CURVE('',#16465,#16467,#8038,.T.); #20616=EDGE_CURVE('',#16467,#16468,#8039,.T.); #20617=EDGE_CURVE('',#16466,#16468,#8040,.T.); #20618=EDGE_CURVE('',#16469,#16465,#14130,.T.); #20619=EDGE_CURVE('',#16469,#16470,#8041,.T.); #20620=EDGE_CURVE('',#16470,#16467,#14131,.T.); #20621=EDGE_CURVE('',#16471,#16469,#8042,.T.); #20622=EDGE_CURVE('',#16471,#16472,#8043,.T.); #20623=EDGE_CURVE('',#16472,#16470,#8044,.T.); #20624=EDGE_CURVE('',#16473,#16471,#14132,.T.); #20625=EDGE_CURVE('',#16473,#16474,#8045,.T.); #20626=EDGE_CURVE('',#16474,#16472,#14133,.T.); #20627=EDGE_CURVE('',#16475,#16473,#8046,.T.); #20628=EDGE_CURVE('',#16475,#16476,#8047,.T.); #20629=EDGE_CURVE('',#16476,#16474,#8048,.T.); #20630=EDGE_CURVE('',#16477,#16475,#14134,.T.); #20631=EDGE_CURVE('',#16477,#16478,#8049,.T.); #20632=EDGE_CURVE('',#16478,#16476,#14135,.T.); #20633=EDGE_CURVE('',#16479,#16477,#8050,.T.); #20634=EDGE_CURVE('',#16479,#16480,#8051,.T.); #20635=EDGE_CURVE('',#16480,#16478,#8052,.T.); #20636=EDGE_CURVE('',#16466,#16479,#14136,.T.); #20637=EDGE_CURVE('',#16468,#16480,#14137,.T.); #20638=EDGE_CURVE('',#16481,#16482,#8053,.T.); #20639=EDGE_CURVE('',#16481,#16483,#8054,.T.); #20640=EDGE_CURVE('',#16483,#16484,#8055,.T.); #20641=EDGE_CURVE('',#16482,#16484,#8056,.T.); #20642=EDGE_CURVE('',#16485,#16481,#14138,.T.); #20643=EDGE_CURVE('',#16485,#16486,#8057,.T.); #20644=EDGE_CURVE('',#16486,#16483,#14139,.T.); #20645=EDGE_CURVE('',#16487,#16485,#8058,.T.); #20646=EDGE_CURVE('',#16487,#16488,#8059,.T.); #20647=EDGE_CURVE('',#16488,#16486,#8060,.T.); #20648=EDGE_CURVE('',#16489,#16487,#14140,.T.); #20649=EDGE_CURVE('',#16489,#16490,#8061,.T.); #20650=EDGE_CURVE('',#16490,#16488,#14141,.T.); #20651=EDGE_CURVE('',#16491,#16489,#8062,.T.); #20652=EDGE_CURVE('',#16491,#16492,#8063,.T.); #20653=EDGE_CURVE('',#16492,#16490,#8064,.T.); #20654=EDGE_CURVE('',#16493,#16491,#14142,.T.); #20655=EDGE_CURVE('',#16493,#16494,#8065,.T.); #20656=EDGE_CURVE('',#16494,#16492,#14143,.T.); #20657=EDGE_CURVE('',#16495,#16493,#8066,.T.); #20658=EDGE_CURVE('',#16495,#16496,#8067,.T.); #20659=EDGE_CURVE('',#16496,#16494,#8068,.T.); #20660=EDGE_CURVE('',#16482,#16495,#14144,.T.); #20661=EDGE_CURVE('',#16484,#16496,#14145,.T.); #20662=EDGE_CURVE('',#16497,#16498,#8069,.T.); #20663=EDGE_CURVE('',#16497,#16499,#8070,.T.); #20664=EDGE_CURVE('',#16499,#16500,#8071,.T.); #20665=EDGE_CURVE('',#16498,#16500,#8072,.T.); #20666=EDGE_CURVE('',#16501,#16497,#14146,.T.); #20667=EDGE_CURVE('',#16501,#16502,#8073,.T.); #20668=EDGE_CURVE('',#16502,#16499,#14147,.T.); #20669=EDGE_CURVE('',#16503,#16501,#8074,.T.); #20670=EDGE_CURVE('',#16503,#16504,#8075,.T.); #20671=EDGE_CURVE('',#16504,#16502,#8076,.T.); #20672=EDGE_CURVE('',#16505,#16503,#14148,.T.); #20673=EDGE_CURVE('',#16505,#16506,#8077,.T.); #20674=EDGE_CURVE('',#16506,#16504,#14149,.T.); #20675=EDGE_CURVE('',#16507,#16505,#8078,.T.); #20676=EDGE_CURVE('',#16507,#16508,#8079,.T.); #20677=EDGE_CURVE('',#16508,#16506,#8080,.T.); #20678=EDGE_CURVE('',#16509,#16507,#14150,.T.); #20679=EDGE_CURVE('',#16509,#16510,#8081,.T.); #20680=EDGE_CURVE('',#16510,#16508,#14151,.T.); #20681=EDGE_CURVE('',#16511,#16509,#8082,.T.); #20682=EDGE_CURVE('',#16511,#16512,#8083,.T.); #20683=EDGE_CURVE('',#16512,#16510,#8084,.T.); #20684=EDGE_CURVE('',#16498,#16511,#14152,.T.); #20685=EDGE_CURVE('',#16500,#16512,#14153,.T.); #20686=EDGE_CURVE('',#16513,#16514,#8085,.T.); #20687=EDGE_CURVE('',#16513,#16515,#8086,.T.); #20688=EDGE_CURVE('',#16515,#16516,#8087,.T.); #20689=EDGE_CURVE('',#16514,#16516,#8088,.T.); #20690=EDGE_CURVE('',#16517,#16513,#14154,.T.); #20691=EDGE_CURVE('',#16517,#16518,#8089,.T.); #20692=EDGE_CURVE('',#16518,#16515,#14155,.T.); #20693=EDGE_CURVE('',#16519,#16517,#8090,.T.); #20694=EDGE_CURVE('',#16519,#16520,#8091,.T.); #20695=EDGE_CURVE('',#16520,#16518,#8092,.T.); #20696=EDGE_CURVE('',#16521,#16519,#14156,.T.); #20697=EDGE_CURVE('',#16521,#16522,#8093,.T.); #20698=EDGE_CURVE('',#16522,#16520,#14157,.T.); #20699=EDGE_CURVE('',#16523,#16521,#8094,.T.); #20700=EDGE_CURVE('',#16523,#16524,#8095,.T.); #20701=EDGE_CURVE('',#16524,#16522,#8096,.T.); #20702=EDGE_CURVE('',#16525,#16523,#14158,.T.); #20703=EDGE_CURVE('',#16525,#16526,#8097,.T.); #20704=EDGE_CURVE('',#16526,#16524,#14159,.T.); #20705=EDGE_CURVE('',#16527,#16525,#8098,.T.); #20706=EDGE_CURVE('',#16527,#16528,#8099,.T.); #20707=EDGE_CURVE('',#16528,#16526,#8100,.T.); #20708=EDGE_CURVE('',#16514,#16527,#14160,.T.); #20709=EDGE_CURVE('',#16516,#16528,#14161,.T.); #20710=EDGE_CURVE('',#16529,#16530,#8101,.T.); #20711=EDGE_CURVE('',#16529,#16531,#8102,.T.); #20712=EDGE_CURVE('',#16531,#16532,#8103,.T.); #20713=EDGE_CURVE('',#16530,#16532,#8104,.T.); #20714=EDGE_CURVE('',#16533,#16529,#14162,.T.); #20715=EDGE_CURVE('',#16533,#16534,#8105,.T.); #20716=EDGE_CURVE('',#16534,#16531,#14163,.T.); #20717=EDGE_CURVE('',#16535,#16533,#8106,.T.); #20718=EDGE_CURVE('',#16535,#16536,#8107,.T.); #20719=EDGE_CURVE('',#16536,#16534,#8108,.T.); #20720=EDGE_CURVE('',#16537,#16535,#14164,.T.); #20721=EDGE_CURVE('',#16537,#16538,#8109,.T.); #20722=EDGE_CURVE('',#16538,#16536,#14165,.T.); #20723=EDGE_CURVE('',#16539,#16537,#8110,.T.); #20724=EDGE_CURVE('',#16539,#16540,#8111,.T.); #20725=EDGE_CURVE('',#16540,#16538,#8112,.T.); #20726=EDGE_CURVE('',#16541,#16539,#14166,.T.); #20727=EDGE_CURVE('',#16541,#16542,#8113,.T.); #20728=EDGE_CURVE('',#16542,#16540,#14167,.T.); #20729=EDGE_CURVE('',#16543,#16541,#8114,.T.); #20730=EDGE_CURVE('',#16543,#16544,#8115,.T.); #20731=EDGE_CURVE('',#16544,#16542,#8116,.T.); #20732=EDGE_CURVE('',#16530,#16543,#14168,.T.); #20733=EDGE_CURVE('',#16532,#16544,#14169,.T.); #20734=EDGE_CURVE('',#16545,#16546,#8117,.T.); #20735=EDGE_CURVE('',#16545,#16547,#8118,.T.); #20736=EDGE_CURVE('',#16547,#16548,#8119,.T.); #20737=EDGE_CURVE('',#16546,#16548,#8120,.T.); #20738=EDGE_CURVE('',#16549,#16545,#14170,.T.); #20739=EDGE_CURVE('',#16549,#16550,#8121,.T.); #20740=EDGE_CURVE('',#16550,#16547,#14171,.T.); #20741=EDGE_CURVE('',#16551,#16549,#8122,.T.); #20742=EDGE_CURVE('',#16551,#16552,#8123,.T.); #20743=EDGE_CURVE('',#16552,#16550,#8124,.T.); #20744=EDGE_CURVE('',#16553,#16551,#14172,.T.); #20745=EDGE_CURVE('',#16553,#16554,#8125,.T.); #20746=EDGE_CURVE('',#16554,#16552,#14173,.T.); #20747=EDGE_CURVE('',#16555,#16553,#8126,.T.); #20748=EDGE_CURVE('',#16555,#16556,#8127,.T.); #20749=EDGE_CURVE('',#16556,#16554,#8128,.T.); #20750=EDGE_CURVE('',#16557,#16555,#14174,.T.); #20751=EDGE_CURVE('',#16557,#16558,#8129,.T.); #20752=EDGE_CURVE('',#16558,#16556,#14175,.T.); #20753=EDGE_CURVE('',#16559,#16557,#8130,.T.); #20754=EDGE_CURVE('',#16559,#16560,#8131,.T.); #20755=EDGE_CURVE('',#16560,#16558,#8132,.T.); #20756=EDGE_CURVE('',#16546,#16559,#14176,.T.); #20757=EDGE_CURVE('',#16548,#16560,#14177,.T.); #20758=EDGE_CURVE('',#16561,#16562,#8133,.T.); #20759=EDGE_CURVE('',#16562,#16563,#8134,.T.); #20760=EDGE_CURVE('',#16563,#16564,#8135,.T.); #20761=EDGE_CURVE('',#16561,#16564,#8136,.T.); #20762=EDGE_CURVE('',#16565,#16562,#8137,.T.); #20763=EDGE_CURVE('',#16565,#16566,#8138,.T.); #20764=EDGE_CURVE('',#16566,#16563,#8139,.T.); #20765=EDGE_CURVE('',#16567,#16565,#8140,.T.); #20766=EDGE_CURVE('',#16567,#16568,#8141,.T.); #20767=EDGE_CURVE('',#16568,#16566,#8142,.T.); #20768=EDGE_CURVE('',#16569,#16567,#14178,.T.); #20769=EDGE_CURVE('',#16569,#16570,#8143,.T.); #20770=EDGE_CURVE('',#16570,#16568,#14179,.T.); #20771=EDGE_CURVE('',#16569,#16571,#8144,.T.); #20772=EDGE_CURVE('',#16571,#16572,#8145,.T.); #20773=EDGE_CURVE('',#16572,#16570,#8146,.T.); #20774=EDGE_CURVE('',#16573,#16571,#14180,.T.); #20775=EDGE_CURVE('',#16573,#16574,#8147,.T.); #20776=EDGE_CURVE('',#16574,#16572,#14181,.T.); #20777=EDGE_CURVE('',#16573,#16575,#8148,.T.); #20778=EDGE_CURVE('',#16575,#16576,#8149,.T.); #20779=EDGE_CURVE('',#16576,#16574,#8150,.T.); #20780=EDGE_CURVE('',#16577,#16575,#8151,.T.); #20781=EDGE_CURVE('',#16577,#16578,#8152,.T.); #20782=EDGE_CURVE('',#16578,#16576,#8153,.T.); #20783=EDGE_CURVE('',#16579,#16577,#8154,.T.); #20784=EDGE_CURVE('',#16579,#16580,#8155,.T.); #20785=EDGE_CURVE('',#16580,#16578,#8156,.T.); #20786=EDGE_CURVE('',#16581,#16579,#8157,.T.); #20787=EDGE_CURVE('',#16581,#16582,#8158,.T.); #20788=EDGE_CURVE('',#16582,#16580,#8159,.T.); #20789=EDGE_CURVE('',#16583,#16581,#14182,.T.); #20790=EDGE_CURVE('',#16583,#16584,#8160,.T.); #20791=EDGE_CURVE('',#16584,#16582,#14183,.T.); #20792=EDGE_CURVE('',#16583,#16585,#8161,.T.); #20793=EDGE_CURVE('',#16585,#16586,#8162,.T.); #20794=EDGE_CURVE('',#16586,#16584,#8163,.T.); #20795=EDGE_CURVE('',#16585,#16587,#8164,.T.); #20796=EDGE_CURVE('',#16587,#16588,#8165,.T.); #20797=EDGE_CURVE('',#16588,#16586,#8166,.T.); #20798=EDGE_CURVE('',#16589,#16587,#8167,.T.); #20799=EDGE_CURVE('',#16589,#16590,#8168,.T.); #20800=EDGE_CURVE('',#16590,#16588,#8169,.T.); #20801=EDGE_CURVE('',#16591,#16589,#8170,.T.); #20802=EDGE_CURVE('',#16591,#16592,#8171,.T.); #20803=EDGE_CURVE('',#16592,#16590,#8172,.T.); #20804=EDGE_CURVE('',#16593,#16591,#8173,.T.); #20805=EDGE_CURVE('',#16593,#16594,#8174,.T.); #20806=EDGE_CURVE('',#16594,#16592,#8175,.T.); #20807=EDGE_CURVE('',#16595,#16593,#14184,.T.); #20808=EDGE_CURVE('',#16595,#16596,#8176,.T.); #20809=EDGE_CURVE('',#16596,#16594,#14185,.T.); #20810=EDGE_CURVE('',#16595,#16561,#8177,.T.); #20811=EDGE_CURVE('',#16564,#16596,#8178,.T.); #20812=EDGE_CURVE('',#16597,#16598,#14186,.T.); #20813=EDGE_CURVE('',#16598,#16599,#8179,.T.); #20814=EDGE_CURVE('',#16600,#16599,#14187,.T.); #20815=EDGE_CURVE('',#16597,#16600,#8180,.T.); #20816=EDGE_CURVE('',#16601,#16597,#8181,.T.); #20817=EDGE_CURVE('',#16602,#16600,#8182,.T.); #20818=EDGE_CURVE('',#16601,#16602,#8183,.T.); #20819=EDGE_CURVE('',#16603,#16601,#14188,.T.); #20820=EDGE_CURVE('',#16604,#16602,#14189,.T.); #20821=EDGE_CURVE('',#16603,#16604,#8184,.T.); #20822=EDGE_CURVE('',#16605,#16603,#8185,.T.); #20823=EDGE_CURVE('',#16606,#16604,#8186,.T.); #20824=EDGE_CURVE('',#16605,#16606,#8187,.T.); #20825=EDGE_CURVE('',#16607,#16605,#14190,.T.); #20826=EDGE_CURVE('',#16608,#16606,#14191,.T.); #20827=EDGE_CURVE('',#16607,#16608,#8188,.T.); #20828=EDGE_CURVE('',#16609,#16607,#8189,.T.); #20829=EDGE_CURVE('',#16610,#16608,#8190,.T.); #20830=EDGE_CURVE('',#16609,#16610,#8191,.T.); #20831=EDGE_CURVE('',#16611,#16609,#14192,.T.); #20832=EDGE_CURVE('',#16612,#16610,#14193,.T.); #20833=EDGE_CURVE('',#16611,#16612,#8192,.T.); #20834=EDGE_CURVE('',#16598,#16611,#8193,.T.); #20835=EDGE_CURVE('',#16599,#16612,#8194,.T.); #20836=EDGE_CURVE('',#16613,#16614,#8195,.T.); #20837=EDGE_CURVE('',#16614,#16615,#8196,.T.); #20838=EDGE_CURVE('',#16616,#16615,#8197,.T.); #20839=EDGE_CURVE('',#16613,#16616,#8198,.T.); #20840=EDGE_CURVE('',#16617,#16613,#8199,.T.); #20841=EDGE_CURVE('',#16618,#16616,#8200,.T.); #20842=EDGE_CURVE('',#16617,#16618,#8201,.T.); #20843=EDGE_CURVE('',#16619,#16617,#8202,.T.); #20844=EDGE_CURVE('',#16620,#16618,#8203,.T.); #20845=EDGE_CURVE('',#16619,#16620,#8204,.T.); #20846=EDGE_CURVE('',#16614,#16619,#8205,.T.); #20847=EDGE_CURVE('',#16615,#16620,#8206,.T.); #20848=EDGE_CURVE('',#16621,#16622,#8207,.T.); #20849=EDGE_CURVE('',#16622,#16623,#8208,.T.); #20850=EDGE_CURVE('',#16624,#16623,#8209,.T.); #20851=EDGE_CURVE('',#16621,#16624,#8210,.T.); #20852=EDGE_CURVE('',#16625,#16621,#14194,.T.); #20853=EDGE_CURVE('',#16626,#16624,#14195,.T.); #20854=EDGE_CURVE('',#16625,#16626,#8211,.T.); #20855=EDGE_CURVE('',#16627,#16625,#8212,.T.); #20856=EDGE_CURVE('',#16628,#16626,#8213,.T.); #20857=EDGE_CURVE('',#16627,#16628,#8214,.T.); #20858=EDGE_CURVE('',#16629,#16627,#14196,.T.); #20859=EDGE_CURVE('',#16630,#16628,#14197,.T.); #20860=EDGE_CURVE('',#16629,#16630,#8215,.T.); #20861=EDGE_CURVE('',#16631,#16629,#8216,.T.); #20862=EDGE_CURVE('',#16632,#16630,#8217,.T.); #20863=EDGE_CURVE('',#16631,#16632,#8218,.T.); #20864=EDGE_CURVE('',#16633,#16631,#14198,.T.); #20865=EDGE_CURVE('',#16634,#16632,#14199,.T.); #20866=EDGE_CURVE('',#16633,#16634,#8219,.T.); #20867=EDGE_CURVE('',#16635,#16633,#8220,.T.); #20868=EDGE_CURVE('',#16636,#16634,#8221,.T.); #20869=EDGE_CURVE('',#16635,#16636,#8222,.T.); #20870=EDGE_CURVE('',#16622,#16635,#14200,.T.); #20871=EDGE_CURVE('',#16623,#16636,#14201,.T.); #20872=EDGE_CURVE('',#16637,#16638,#8223,.T.); #20873=EDGE_CURVE('',#16638,#16639,#8224,.T.); #20874=EDGE_CURVE('',#16640,#16639,#8225,.T.); #20875=EDGE_CURVE('',#16637,#16640,#8226,.T.); #20876=EDGE_CURVE('',#16641,#16637,#8227,.T.); #20877=EDGE_CURVE('',#16642,#16640,#8228,.T.); #20878=EDGE_CURVE('',#16641,#16642,#8229,.T.); #20879=EDGE_CURVE('',#16643,#16641,#8230,.T.); #20880=EDGE_CURVE('',#16644,#16642,#8231,.T.); #20881=EDGE_CURVE('',#16643,#16644,#8232,.T.); #20882=EDGE_CURVE('',#16638,#16643,#8233,.T.); #20883=EDGE_CURVE('',#16639,#16644,#8234,.T.); #20884=EDGE_CURVE('',#16645,#16645,#14202,.T.); #20885=EDGE_CURVE('',#16645,#16646,#8235,.T.); #20886=EDGE_CURVE('',#16646,#16646,#14203,.T.); #20887=EDGE_CURVE('',#16647,#16647,#14204,.T.); #20888=EDGE_CURVE('',#16647,#16648,#8236,.T.); #20889=EDGE_CURVE('',#16648,#16648,#14205,.T.); #20890=EDGE_CURVE('',#16649,#16650,#8237,.T.); #20891=EDGE_CURVE('',#16650,#16651,#8238,.T.); #20892=EDGE_CURVE('',#16652,#16651,#8239,.T.); #20893=EDGE_CURVE('',#16649,#16652,#8240,.T.); #20894=EDGE_CURVE('',#16653,#16649,#8241,.T.); #20895=EDGE_CURVE('',#16654,#16652,#8242,.T.); #20896=EDGE_CURVE('',#16653,#16654,#8243,.T.); #20897=EDGE_CURVE('',#16655,#16653,#8244,.T.); #20898=EDGE_CURVE('',#16656,#16654,#8245,.T.); #20899=EDGE_CURVE('',#16655,#16656,#8246,.T.); #20900=EDGE_CURVE('',#16650,#16655,#8247,.T.); #20901=EDGE_CURVE('',#16651,#16656,#8248,.T.); #20902=EDGE_CURVE('',#16657,#16658,#8249,.T.); #20903=EDGE_CURVE('',#16658,#16659,#8250,.T.); #20904=EDGE_CURVE('',#16660,#16659,#8251,.T.); #20905=EDGE_CURVE('',#16657,#16660,#8252,.T.); #20906=EDGE_CURVE('',#16661,#16657,#8253,.T.); #20907=EDGE_CURVE('',#16662,#16660,#8254,.T.); #20908=EDGE_CURVE('',#16661,#16662,#8255,.T.); #20909=EDGE_CURVE('',#16663,#16661,#8256,.T.); #20910=EDGE_CURVE('',#16664,#16662,#8257,.T.); #20911=EDGE_CURVE('',#16663,#16664,#8258,.T.); #20912=EDGE_CURVE('',#16658,#16663,#8259,.T.); #20913=EDGE_CURVE('',#16659,#16664,#8260,.T.); #20914=EDGE_CURVE('',#16665,#16665,#14206,.T.); #20915=EDGE_CURVE('',#16665,#16666,#8261,.T.); #20916=EDGE_CURVE('',#16666,#16666,#14207,.T.); #20917=EDGE_CURVE('',#16667,#16668,#14208,.T.); #20918=EDGE_CURVE('',#16668,#16669,#8262,.T.); #20919=EDGE_CURVE('',#16670,#16669,#14209,.T.); #20920=EDGE_CURVE('',#16667,#16670,#8263,.T.); #20921=EDGE_CURVE('',#16671,#16667,#8264,.T.); #20922=EDGE_CURVE('',#16672,#16670,#8265,.T.); #20923=EDGE_CURVE('',#16671,#16672,#8266,.T.); #20924=EDGE_CURVE('',#16673,#16671,#14210,.T.); #20925=EDGE_CURVE('',#16674,#16672,#14211,.T.); #20926=EDGE_CURVE('',#16673,#16674,#8267,.T.); #20927=EDGE_CURVE('',#16675,#16673,#8268,.T.); #20928=EDGE_CURVE('',#16676,#16674,#8269,.T.); #20929=EDGE_CURVE('',#16675,#16676,#8270,.T.); #20930=EDGE_CURVE('',#16677,#16675,#14212,.T.); #20931=EDGE_CURVE('',#16678,#16676,#14213,.T.); #20932=EDGE_CURVE('',#16677,#16678,#8271,.T.); #20933=EDGE_CURVE('',#16679,#16677,#8272,.T.); #20934=EDGE_CURVE('',#16680,#16678,#8273,.T.); #20935=EDGE_CURVE('',#16679,#16680,#8274,.T.); #20936=EDGE_CURVE('',#16681,#16679,#14214,.T.); #20937=EDGE_CURVE('',#16682,#16680,#14215,.T.); #20938=EDGE_CURVE('',#16681,#16682,#8275,.T.); #20939=EDGE_CURVE('',#16668,#16681,#8276,.T.); #20940=EDGE_CURVE('',#16669,#16682,#8277,.T.); #20941=EDGE_CURVE('',#16683,#16683,#14216,.T.); #20942=EDGE_CURVE('',#16683,#16684,#8278,.T.); #20943=EDGE_CURVE('',#16684,#16684,#14217,.T.); #20944=EDGE_CURVE('',#16685,#16685,#14218,.T.); #20945=EDGE_CURVE('',#16685,#16686,#8279,.T.); #20946=EDGE_CURVE('',#16686,#16686,#14219,.T.); #20947=EDGE_CURVE('',#16687,#16687,#14220,.T.); #20948=EDGE_CURVE('',#16687,#16688,#8280,.T.); #20949=EDGE_CURVE('',#16688,#16688,#14221,.T.); #20950=EDGE_CURVE('',#16689,#16689,#14222,.T.); #20951=EDGE_CURVE('',#16689,#16690,#8281,.T.); #20952=EDGE_CURVE('',#16690,#16690,#14223,.T.); #20953=EDGE_CURVE('',#16691,#16691,#14224,.T.); #20954=EDGE_CURVE('',#16691,#16692,#8282,.T.); #20955=EDGE_CURVE('',#16692,#16692,#14225,.T.); #20956=EDGE_CURVE('',#16693,#16693,#14226,.T.); #20957=EDGE_CURVE('',#16693,#16694,#8283,.T.); #20958=EDGE_CURVE('',#16694,#16694,#14227,.T.); #20959=EDGE_CURVE('',#16695,#16696,#8284,.T.); #20960=EDGE_CURVE('',#16696,#16697,#8285,.T.); #20961=EDGE_CURVE('',#16698,#16697,#8286,.T.); #20962=EDGE_CURVE('',#16695,#16698,#8287,.T.); #20963=EDGE_CURVE('',#16699,#16695,#8288,.T.); #20964=EDGE_CURVE('',#16700,#16698,#8289,.T.); #20965=EDGE_CURVE('',#16699,#16700,#8290,.T.); #20966=EDGE_CURVE('',#16701,#16699,#8291,.T.); #20967=EDGE_CURVE('',#16702,#16700,#8292,.T.); #20968=EDGE_CURVE('',#16701,#16702,#8293,.T.); #20969=EDGE_CURVE('',#16696,#16701,#8294,.T.); #20970=EDGE_CURVE('',#16697,#16702,#8295,.T.); #20971=EDGE_CURVE('',#16703,#16703,#14228,.T.); #20972=EDGE_CURVE('',#16703,#16704,#8296,.T.); #20973=EDGE_CURVE('',#16704,#16704,#14229,.T.); #20974=EDGE_CURVE('',#16705,#16705,#14230,.T.); #20975=EDGE_CURVE('',#16705,#16706,#8297,.T.); #20976=EDGE_CURVE('',#16706,#16706,#14231,.T.); #20977=EDGE_CURVE('',#16707,#16708,#8298,.T.); #20978=EDGE_CURVE('',#16708,#16709,#8299,.T.); #20979=EDGE_CURVE('',#16710,#16709,#8300,.T.); #20980=EDGE_CURVE('',#16707,#16710,#8301,.T.); #20981=EDGE_CURVE('',#16711,#16707,#8302,.T.); #20982=EDGE_CURVE('',#16712,#16710,#8303,.T.); #20983=EDGE_CURVE('',#16711,#16712,#8304,.T.); #20984=EDGE_CURVE('',#16713,#16711,#8305,.T.); #20985=EDGE_CURVE('',#16714,#16712,#8306,.T.); #20986=EDGE_CURVE('',#16713,#16714,#8307,.T.); #20987=EDGE_CURVE('',#16708,#16713,#8308,.T.); #20988=EDGE_CURVE('',#16709,#16714,#8309,.T.); #20989=EDGE_CURVE('',#16715,#16716,#8310,.T.); #20990=EDGE_CURVE('',#16716,#16717,#8311,.T.); #20991=EDGE_CURVE('',#16718,#16717,#8312,.T.); #20992=EDGE_CURVE('',#16715,#16718,#8313,.T.); #20993=EDGE_CURVE('',#16719,#16715,#8314,.T.); #20994=EDGE_CURVE('',#16720,#16718,#8315,.T.); #20995=EDGE_CURVE('',#16719,#16720,#8316,.T.); #20996=EDGE_CURVE('',#16721,#16719,#8317,.T.); #20997=EDGE_CURVE('',#16722,#16720,#8318,.T.); #20998=EDGE_CURVE('',#16721,#16722,#8319,.T.); #20999=EDGE_CURVE('',#16716,#16721,#8320,.T.); #21000=EDGE_CURVE('',#16717,#16722,#8321,.T.); #21001=EDGE_CURVE('',#16723,#16724,#8322,.T.); #21002=EDGE_CURVE('',#16724,#16725,#8323,.T.); #21003=EDGE_CURVE('',#16726,#16725,#8324,.T.); #21004=EDGE_CURVE('',#16723,#16726,#8325,.T.); #21005=EDGE_CURVE('',#16727,#16723,#14232,.T.); #21006=EDGE_CURVE('',#16728,#16726,#14233,.T.); #21007=EDGE_CURVE('',#16727,#16728,#8326,.T.); #21008=EDGE_CURVE('',#16729,#16727,#8327,.T.); #21009=EDGE_CURVE('',#16730,#16728,#8328,.T.); #21010=EDGE_CURVE('',#16729,#16730,#8329,.T.); #21011=EDGE_CURVE('',#16731,#16729,#14234,.T.); #21012=EDGE_CURVE('',#16732,#16730,#14235,.T.); #21013=EDGE_CURVE('',#16731,#16732,#8330,.T.); #21014=EDGE_CURVE('',#16733,#16731,#8331,.T.); #21015=EDGE_CURVE('',#16734,#16732,#8332,.T.); #21016=EDGE_CURVE('',#16733,#16734,#8333,.T.); #21017=EDGE_CURVE('',#16735,#16733,#14236,.T.); #21018=EDGE_CURVE('',#16736,#16734,#14237,.T.); #21019=EDGE_CURVE('',#16735,#16736,#8334,.T.); #21020=EDGE_CURVE('',#16737,#16735,#8335,.T.); #21021=EDGE_CURVE('',#16738,#16736,#8336,.T.); #21022=EDGE_CURVE('',#16737,#16738,#8337,.T.); #21023=EDGE_CURVE('',#16724,#16737,#14238,.T.); #21024=EDGE_CURVE('',#16725,#16738,#14239,.T.); #21025=EDGE_CURVE('',#16739,#16740,#8338,.T.); #21026=EDGE_CURVE('',#16740,#16741,#8339,.T.); #21027=EDGE_CURVE('',#16742,#16741,#8340,.T.); #21028=EDGE_CURVE('',#16739,#16742,#8341,.T.); #21029=EDGE_CURVE('',#16743,#16739,#14240,.T.); #21030=EDGE_CURVE('',#16744,#16742,#14241,.T.); #21031=EDGE_CURVE('',#16743,#16744,#8342,.T.); #21032=EDGE_CURVE('',#16745,#16743,#8343,.T.); #21033=EDGE_CURVE('',#16746,#16744,#8344,.T.); #21034=EDGE_CURVE('',#16745,#16746,#8345,.T.); #21035=EDGE_CURVE('',#16747,#16745,#14242,.T.); #21036=EDGE_CURVE('',#16748,#16746,#14243,.T.); #21037=EDGE_CURVE('',#16747,#16748,#8346,.T.); #21038=EDGE_CURVE('',#16749,#16747,#8347,.T.); #21039=EDGE_CURVE('',#16750,#16748,#8348,.T.); #21040=EDGE_CURVE('',#16749,#16750,#8349,.T.); #21041=EDGE_CURVE('',#16751,#16749,#14244,.T.); #21042=EDGE_CURVE('',#16752,#16750,#14245,.T.); #21043=EDGE_CURVE('',#16751,#16752,#8350,.T.); #21044=EDGE_CURVE('',#16753,#16751,#8351,.T.); #21045=EDGE_CURVE('',#16754,#16752,#8352,.T.); #21046=EDGE_CURVE('',#16753,#16754,#8353,.T.); #21047=EDGE_CURVE('',#16740,#16753,#14246,.T.); #21048=EDGE_CURVE('',#16741,#16754,#14247,.T.); #21049=EDGE_CURVE('',#16755,#16756,#8354,.T.); #21050=EDGE_CURVE('',#16756,#16757,#8355,.T.); #21051=EDGE_CURVE('',#16758,#16757,#8356,.T.); #21052=EDGE_CURVE('',#16755,#16758,#8357,.T.); #21053=EDGE_CURVE('',#16759,#16755,#14248,.T.); #21054=EDGE_CURVE('',#16760,#16758,#14249,.T.); #21055=EDGE_CURVE('',#16759,#16760,#8358,.T.); #21056=EDGE_CURVE('',#16761,#16759,#8359,.T.); #21057=EDGE_CURVE('',#16762,#16760,#8360,.T.); #21058=EDGE_CURVE('',#16761,#16762,#8361,.T.); #21059=EDGE_CURVE('',#16763,#16761,#14250,.T.); #21060=EDGE_CURVE('',#16764,#16762,#14251,.T.); #21061=EDGE_CURVE('',#16763,#16764,#8362,.T.); #21062=EDGE_CURVE('',#16765,#16763,#8363,.T.); #21063=EDGE_CURVE('',#16766,#16764,#8364,.T.); #21064=EDGE_CURVE('',#16765,#16766,#8365,.T.); #21065=EDGE_CURVE('',#16767,#16765,#14252,.T.); #21066=EDGE_CURVE('',#16768,#16766,#14253,.T.); #21067=EDGE_CURVE('',#16767,#16768,#8366,.T.); #21068=EDGE_CURVE('',#16769,#16767,#8367,.T.); #21069=EDGE_CURVE('',#16770,#16768,#8368,.T.); #21070=EDGE_CURVE('',#16769,#16770,#8369,.T.); #21071=EDGE_CURVE('',#16756,#16769,#14254,.T.); #21072=EDGE_CURVE('',#16757,#16770,#14255,.T.); #21073=EDGE_CURVE('',#16771,#16772,#8370,.T.); #21074=EDGE_CURVE('',#16772,#16773,#8371,.T.); #21075=EDGE_CURVE('',#16774,#16773,#8372,.T.); #21076=EDGE_CURVE('',#16771,#16774,#8373,.T.); #21077=EDGE_CURVE('',#16775,#16771,#14256,.T.); #21078=EDGE_CURVE('',#16776,#16774,#14257,.T.); #21079=EDGE_CURVE('',#16775,#16776,#8374,.T.); #21080=EDGE_CURVE('',#16777,#16775,#8375,.T.); #21081=EDGE_CURVE('',#16778,#16776,#8376,.T.); #21082=EDGE_CURVE('',#16777,#16778,#8377,.T.); #21083=EDGE_CURVE('',#16779,#16777,#14258,.T.); #21084=EDGE_CURVE('',#16780,#16778,#14259,.T.); #21085=EDGE_CURVE('',#16779,#16780,#8378,.T.); #21086=EDGE_CURVE('',#16781,#16779,#8379,.T.); #21087=EDGE_CURVE('',#16782,#16780,#8380,.T.); #21088=EDGE_CURVE('',#16781,#16782,#8381,.T.); #21089=EDGE_CURVE('',#16783,#16781,#14260,.T.); #21090=EDGE_CURVE('',#16784,#16782,#14261,.T.); #21091=EDGE_CURVE('',#16783,#16784,#8382,.T.); #21092=EDGE_CURVE('',#16785,#16783,#8383,.T.); #21093=EDGE_CURVE('',#16786,#16784,#8384,.T.); #21094=EDGE_CURVE('',#16785,#16786,#8385,.T.); #21095=EDGE_CURVE('',#16772,#16785,#14262,.T.); #21096=EDGE_CURVE('',#16773,#16786,#14263,.T.); #21097=EDGE_CURVE('',#16787,#16788,#8386,.T.); #21098=EDGE_CURVE('',#16788,#16789,#8387,.T.); #21099=EDGE_CURVE('',#16790,#16789,#8388,.T.); #21100=EDGE_CURVE('',#16787,#16790,#8389,.T.); #21101=EDGE_CURVE('',#16791,#16787,#14264,.T.); #21102=EDGE_CURVE('',#16792,#16790,#14265,.T.); #21103=EDGE_CURVE('',#16791,#16792,#8390,.T.); #21104=EDGE_CURVE('',#16793,#16791,#8391,.T.); #21105=EDGE_CURVE('',#16794,#16792,#8392,.T.); #21106=EDGE_CURVE('',#16793,#16794,#8393,.T.); #21107=EDGE_CURVE('',#16795,#16793,#14266,.T.); #21108=EDGE_CURVE('',#16796,#16794,#14267,.T.); #21109=EDGE_CURVE('',#16795,#16796,#8394,.T.); #21110=EDGE_CURVE('',#16797,#16795,#8395,.T.); #21111=EDGE_CURVE('',#16798,#16796,#8396,.T.); #21112=EDGE_CURVE('',#16797,#16798,#8397,.T.); #21113=EDGE_CURVE('',#16799,#16797,#14268,.T.); #21114=EDGE_CURVE('',#16800,#16798,#14269,.T.); #21115=EDGE_CURVE('',#16799,#16800,#8398,.T.); #21116=EDGE_CURVE('',#16801,#16799,#8399,.T.); #21117=EDGE_CURVE('',#16802,#16800,#8400,.T.); #21118=EDGE_CURVE('',#16801,#16802,#8401,.T.); #21119=EDGE_CURVE('',#16788,#16801,#14270,.T.); #21120=EDGE_CURVE('',#16789,#16802,#14271,.T.); #21121=EDGE_CURVE('',#16803,#16804,#14272,.T.); #21122=EDGE_CURVE('',#16804,#16805,#8402,.T.); #21123=EDGE_CURVE('',#16806,#16805,#14273,.T.); #21124=EDGE_CURVE('',#16803,#16806,#8403,.T.); #21125=EDGE_CURVE('',#16807,#16803,#8404,.T.); #21126=EDGE_CURVE('',#16808,#16806,#8405,.T.); #21127=EDGE_CURVE('',#16807,#16808,#8406,.T.); #21128=EDGE_CURVE('',#16809,#16807,#14274,.T.); #21129=EDGE_CURVE('',#16810,#16808,#14275,.T.); #21130=EDGE_CURVE('',#16809,#16810,#8407,.T.); #21131=EDGE_CURVE('',#16811,#16809,#8408,.T.); #21132=EDGE_CURVE('',#16812,#16810,#8409,.T.); #21133=EDGE_CURVE('',#16811,#16812,#8410,.T.); #21134=EDGE_CURVE('',#16813,#16811,#14276,.T.); #21135=EDGE_CURVE('',#16814,#16812,#14277,.T.); #21136=EDGE_CURVE('',#16813,#16814,#8411,.T.); #21137=EDGE_CURVE('',#16815,#16813,#8412,.T.); #21138=EDGE_CURVE('',#16816,#16814,#8413,.T.); #21139=EDGE_CURVE('',#16815,#16816,#8414,.T.); #21140=EDGE_CURVE('',#16817,#16815,#14278,.T.); #21141=EDGE_CURVE('',#16818,#16816,#14279,.T.); #21142=EDGE_CURVE('',#16817,#16818,#8415,.T.); #21143=EDGE_CURVE('',#16804,#16817,#8416,.T.); #21144=EDGE_CURVE('',#16805,#16818,#8417,.T.); #21145=EDGE_CURVE('',#16819,#16820,#14280,.T.); #21146=EDGE_CURVE('',#16820,#16821,#8418,.T.); #21147=EDGE_CURVE('',#16822,#16821,#14281,.T.); #21148=EDGE_CURVE('',#16819,#16822,#8419,.T.); #21149=EDGE_CURVE('',#16823,#16819,#8420,.T.); #21150=EDGE_CURVE('',#16824,#16822,#8421,.T.); #21151=EDGE_CURVE('',#16823,#16824,#8422,.T.); #21152=EDGE_CURVE('',#16825,#16823,#14282,.T.); #21153=EDGE_CURVE('',#16826,#16824,#14283,.T.); #21154=EDGE_CURVE('',#16825,#16826,#8423,.T.); #21155=EDGE_CURVE('',#16827,#16825,#8424,.T.); #21156=EDGE_CURVE('',#16828,#16826,#8425,.T.); #21157=EDGE_CURVE('',#16827,#16828,#8426,.T.); #21158=EDGE_CURVE('',#16829,#16827,#14284,.T.); #21159=EDGE_CURVE('',#16830,#16828,#14285,.T.); #21160=EDGE_CURVE('',#16829,#16830,#8427,.T.); #21161=EDGE_CURVE('',#16831,#16829,#8428,.T.); #21162=EDGE_CURVE('',#16832,#16830,#8429,.T.); #21163=EDGE_CURVE('',#16831,#16832,#8430,.T.); #21164=EDGE_CURVE('',#16833,#16831,#14286,.T.); #21165=EDGE_CURVE('',#16834,#16832,#14287,.T.); #21166=EDGE_CURVE('',#16833,#16834,#8431,.T.); #21167=EDGE_CURVE('',#16820,#16833,#8432,.T.); #21168=EDGE_CURVE('',#16821,#16834,#8433,.T.); #21169=EDGE_CURVE('',#16835,#16836,#14288,.T.); #21170=EDGE_CURVE('',#16836,#16837,#8434,.T.); #21171=EDGE_CURVE('',#16838,#16837,#14289,.T.); #21172=EDGE_CURVE('',#16835,#16838,#8435,.T.); #21173=EDGE_CURVE('',#16839,#16835,#8436,.T.); #21174=EDGE_CURVE('',#16840,#16838,#8437,.T.); #21175=EDGE_CURVE('',#16839,#16840,#8438,.T.); #21176=EDGE_CURVE('',#16841,#16839,#14290,.T.); #21177=EDGE_CURVE('',#16842,#16840,#14291,.T.); #21178=EDGE_CURVE('',#16841,#16842,#8439,.T.); #21179=EDGE_CURVE('',#16843,#16841,#8440,.T.); #21180=EDGE_CURVE('',#16844,#16842,#8441,.T.); #21181=EDGE_CURVE('',#16843,#16844,#8442,.T.); #21182=EDGE_CURVE('',#16845,#16843,#14292,.T.); #21183=EDGE_CURVE('',#16846,#16844,#14293,.T.); #21184=EDGE_CURVE('',#16845,#16846,#8443,.T.); #21185=EDGE_CURVE('',#16847,#16845,#8444,.T.); #21186=EDGE_CURVE('',#16848,#16846,#8445,.T.); #21187=EDGE_CURVE('',#16847,#16848,#8446,.T.); #21188=EDGE_CURVE('',#16849,#16847,#14294,.T.); #21189=EDGE_CURVE('',#16850,#16848,#14295,.T.); #21190=EDGE_CURVE('',#16849,#16850,#8447,.T.); #21191=EDGE_CURVE('',#16836,#16849,#8448,.T.); #21192=EDGE_CURVE('',#16837,#16850,#8449,.T.); #21193=EDGE_CURVE('',#16851,#16852,#14296,.T.); #21194=EDGE_CURVE('',#16852,#16853,#8450,.T.); #21195=EDGE_CURVE('',#16854,#16853,#14297,.T.); #21196=EDGE_CURVE('',#16851,#16854,#8451,.T.); #21197=EDGE_CURVE('',#16855,#16851,#8452,.T.); #21198=EDGE_CURVE('',#16856,#16854,#8453,.T.); #21199=EDGE_CURVE('',#16855,#16856,#8454,.T.); #21200=EDGE_CURVE('',#16857,#16855,#14298,.T.); #21201=EDGE_CURVE('',#16858,#16856,#14299,.T.); #21202=EDGE_CURVE('',#16857,#16858,#8455,.T.); #21203=EDGE_CURVE('',#16859,#16857,#8456,.T.); #21204=EDGE_CURVE('',#16860,#16858,#8457,.T.); #21205=EDGE_CURVE('',#16859,#16860,#8458,.T.); #21206=EDGE_CURVE('',#16861,#16859,#14300,.T.); #21207=EDGE_CURVE('',#16862,#16860,#14301,.T.); #21208=EDGE_CURVE('',#16861,#16862,#8459,.T.); #21209=EDGE_CURVE('',#16863,#16861,#8460,.T.); #21210=EDGE_CURVE('',#16864,#16862,#8461,.T.); #21211=EDGE_CURVE('',#16863,#16864,#8462,.T.); #21212=EDGE_CURVE('',#16865,#16863,#14302,.T.); #21213=EDGE_CURVE('',#16866,#16864,#14303,.T.); #21214=EDGE_CURVE('',#16865,#16866,#8463,.T.); #21215=EDGE_CURVE('',#16852,#16865,#8464,.T.); #21216=EDGE_CURVE('',#16853,#16866,#8465,.T.); #21217=EDGE_CURVE('',#16867,#16868,#8466,.T.); #21218=EDGE_CURVE('',#16868,#16869,#8467,.T.); #21219=EDGE_CURVE('',#16870,#16869,#8468,.T.); #21220=EDGE_CURVE('',#16867,#16870,#8469,.T.); #21221=EDGE_CURVE('',#16871,#16867,#14304,.T.); #21222=EDGE_CURVE('',#16872,#16870,#14305,.T.); #21223=EDGE_CURVE('',#16871,#16872,#8470,.T.); #21224=EDGE_CURVE('',#16873,#16871,#8471,.T.); #21225=EDGE_CURVE('',#16874,#16872,#8472,.T.); #21226=EDGE_CURVE('',#16873,#16874,#8473,.T.); #21227=EDGE_CURVE('',#16875,#16873,#14306,.T.); #21228=EDGE_CURVE('',#16876,#16874,#14307,.T.); #21229=EDGE_CURVE('',#16875,#16876,#8474,.T.); #21230=EDGE_CURVE('',#16877,#16875,#8475,.T.); #21231=EDGE_CURVE('',#16878,#16876,#8476,.T.); #21232=EDGE_CURVE('',#16877,#16878,#8477,.T.); #21233=EDGE_CURVE('',#16879,#16877,#14308,.T.); #21234=EDGE_CURVE('',#16880,#16878,#14309,.T.); #21235=EDGE_CURVE('',#16879,#16880,#8478,.T.); #21236=EDGE_CURVE('',#16881,#16879,#8479,.T.); #21237=EDGE_CURVE('',#16882,#16880,#8480,.T.); #21238=EDGE_CURVE('',#16881,#16882,#8481,.T.); #21239=EDGE_CURVE('',#16868,#16881,#14310,.T.); #21240=EDGE_CURVE('',#16869,#16882,#14311,.T.); #21241=EDGE_CURVE('',#16883,#16884,#8482,.T.); #21242=EDGE_CURVE('',#16884,#16885,#8483,.T.); #21243=EDGE_CURVE('',#16886,#16885,#8484,.T.); #21244=EDGE_CURVE('',#16883,#16886,#8485,.T.); #21245=EDGE_CURVE('',#16887,#16883,#14312,.T.); #21246=EDGE_CURVE('',#16888,#16886,#14313,.T.); #21247=EDGE_CURVE('',#16887,#16888,#8486,.T.); #21248=EDGE_CURVE('',#16889,#16887,#8487,.T.); #21249=EDGE_CURVE('',#16890,#16888,#8488,.T.); #21250=EDGE_CURVE('',#16889,#16890,#8489,.T.); #21251=EDGE_CURVE('',#16891,#16889,#14314,.T.); #21252=EDGE_CURVE('',#16892,#16890,#14315,.T.); #21253=EDGE_CURVE('',#16891,#16892,#8490,.T.); #21254=EDGE_CURVE('',#16893,#16891,#8491,.T.); #21255=EDGE_CURVE('',#16894,#16892,#8492,.T.); #21256=EDGE_CURVE('',#16893,#16894,#8493,.T.); #21257=EDGE_CURVE('',#16895,#16893,#14316,.T.); #21258=EDGE_CURVE('',#16896,#16894,#14317,.T.); #21259=EDGE_CURVE('',#16895,#16896,#8494,.T.); #21260=EDGE_CURVE('',#16897,#16895,#8495,.T.); #21261=EDGE_CURVE('',#16898,#16896,#8496,.T.); #21262=EDGE_CURVE('',#16897,#16898,#8497,.T.); #21263=EDGE_CURVE('',#16884,#16897,#14318,.T.); #21264=EDGE_CURVE('',#16885,#16898,#14319,.T.); #21265=EDGE_CURVE('',#16899,#16900,#8498,.T.); #21266=EDGE_CURVE('',#16900,#16901,#8499,.T.); #21267=EDGE_CURVE('',#16902,#16901,#8500,.T.); #21268=EDGE_CURVE('',#16899,#16902,#8501,.T.); #21269=EDGE_CURVE('',#16903,#16899,#14320,.T.); #21270=EDGE_CURVE('',#16904,#16902,#14321,.T.); #21271=EDGE_CURVE('',#16903,#16904,#8502,.T.); #21272=EDGE_CURVE('',#16905,#16903,#8503,.T.); #21273=EDGE_CURVE('',#16906,#16904,#8504,.T.); #21274=EDGE_CURVE('',#16905,#16906,#8505,.T.); #21275=EDGE_CURVE('',#16907,#16905,#14322,.T.); #21276=EDGE_CURVE('',#16908,#16906,#14323,.T.); #21277=EDGE_CURVE('',#16907,#16908,#8506,.T.); #21278=EDGE_CURVE('',#16909,#16907,#8507,.T.); #21279=EDGE_CURVE('',#16910,#16908,#8508,.T.); #21280=EDGE_CURVE('',#16909,#16910,#8509,.T.); #21281=EDGE_CURVE('',#16911,#16909,#14324,.T.); #21282=EDGE_CURVE('',#16912,#16910,#14325,.T.); #21283=EDGE_CURVE('',#16911,#16912,#8510,.T.); #21284=EDGE_CURVE('',#16913,#16911,#8511,.T.); #21285=EDGE_CURVE('',#16914,#16912,#8512,.T.); #21286=EDGE_CURVE('',#16913,#16914,#8513,.T.); #21287=EDGE_CURVE('',#16900,#16913,#14326,.T.); #21288=EDGE_CURVE('',#16901,#16914,#14327,.T.); #21289=EDGE_CURVE('',#16915,#16916,#8514,.T.); #21290=EDGE_CURVE('',#16916,#16917,#8515,.T.); #21291=EDGE_CURVE('',#16918,#16917,#8516,.T.); #21292=EDGE_CURVE('',#16915,#16918,#8517,.T.); #21293=EDGE_CURVE('',#16919,#16915,#8518,.T.); #21294=EDGE_CURVE('',#16920,#16918,#8519,.T.); #21295=EDGE_CURVE('',#16919,#16920,#8520,.T.); #21296=EDGE_CURVE('',#16921,#16919,#8521,.T.); #21297=EDGE_CURVE('',#16922,#16920,#8522,.T.); #21298=EDGE_CURVE('',#16921,#16922,#8523,.T.); #21299=EDGE_CURVE('',#16916,#16921,#8524,.T.); #21300=EDGE_CURVE('',#16917,#16922,#8525,.T.); #21301=EDGE_CURVE('',#16923,#16924,#8526,.T.); #21302=EDGE_CURVE('',#16924,#16925,#8527,.T.); #21303=EDGE_CURVE('',#16926,#16925,#8528,.T.); #21304=EDGE_CURVE('',#16923,#16926,#8529,.T.); #21305=EDGE_CURVE('',#16927,#16923,#14328,.T.); #21306=EDGE_CURVE('',#16928,#16926,#14329,.T.); #21307=EDGE_CURVE('',#16927,#16928,#8530,.T.); #21308=EDGE_CURVE('',#16929,#16927,#8531,.T.); #21309=EDGE_CURVE('',#16930,#16928,#8532,.T.); #21310=EDGE_CURVE('',#16929,#16930,#8533,.T.); #21311=EDGE_CURVE('',#16931,#16929,#14330,.T.); #21312=EDGE_CURVE('',#16932,#16930,#14331,.T.); #21313=EDGE_CURVE('',#16931,#16932,#8534,.T.); #21314=EDGE_CURVE('',#16933,#16931,#8535,.T.); #21315=EDGE_CURVE('',#16934,#16932,#8536,.T.); #21316=EDGE_CURVE('',#16933,#16934,#8537,.T.); #21317=EDGE_CURVE('',#16935,#16933,#14332,.T.); #21318=EDGE_CURVE('',#16936,#16934,#14333,.T.); #21319=EDGE_CURVE('',#16935,#16936,#8538,.T.); #21320=EDGE_CURVE('',#16937,#16935,#8539,.T.); #21321=EDGE_CURVE('',#16938,#16936,#8540,.T.); #21322=EDGE_CURVE('',#16937,#16938,#8541,.T.); #21323=EDGE_CURVE('',#16924,#16937,#14334,.T.); #21324=EDGE_CURVE('',#16925,#16938,#14335,.T.); #21325=EDGE_CURVE('',#16939,#16940,#8542,.T.); #21326=EDGE_CURVE('',#16940,#16941,#8543,.T.); #21327=EDGE_CURVE('',#16942,#16941,#8544,.T.); #21328=EDGE_CURVE('',#16939,#16942,#8545,.T.); #21329=EDGE_CURVE('',#16943,#16939,#14336,.T.); #21330=EDGE_CURVE('',#16944,#16942,#14337,.T.); #21331=EDGE_CURVE('',#16943,#16944,#8546,.T.); #21332=EDGE_CURVE('',#16945,#16943,#8547,.T.); #21333=EDGE_CURVE('',#16946,#16944,#8548,.T.); #21334=EDGE_CURVE('',#16945,#16946,#8549,.T.); #21335=EDGE_CURVE('',#16947,#16945,#14338,.T.); #21336=EDGE_CURVE('',#16948,#16946,#14339,.T.); #21337=EDGE_CURVE('',#16947,#16948,#8550,.T.); #21338=EDGE_CURVE('',#16949,#16947,#8551,.T.); #21339=EDGE_CURVE('',#16950,#16948,#8552,.T.); #21340=EDGE_CURVE('',#16949,#16950,#8553,.T.); #21341=EDGE_CURVE('',#16951,#16949,#14340,.T.); #21342=EDGE_CURVE('',#16952,#16950,#14341,.T.); #21343=EDGE_CURVE('',#16951,#16952,#8554,.T.); #21344=EDGE_CURVE('',#16953,#16951,#8555,.T.); #21345=EDGE_CURVE('',#16954,#16952,#8556,.T.); #21346=EDGE_CURVE('',#16953,#16954,#8557,.T.); #21347=EDGE_CURVE('',#16940,#16953,#14342,.T.); #21348=EDGE_CURVE('',#16941,#16954,#14343,.T.); #21349=EDGE_CURVE('',#16955,#16956,#8558,.T.); #21350=EDGE_CURVE('',#16956,#16957,#8559,.T.); #21351=EDGE_CURVE('',#16958,#16957,#8560,.T.); #21352=EDGE_CURVE('',#16955,#16958,#8561,.T.); #21353=EDGE_CURVE('',#16959,#16955,#14344,.T.); #21354=EDGE_CURVE('',#16960,#16958,#14345,.T.); #21355=EDGE_CURVE('',#16959,#16960,#8562,.T.); #21356=EDGE_CURVE('',#16961,#16959,#8563,.T.); #21357=EDGE_CURVE('',#16962,#16960,#8564,.T.); #21358=EDGE_CURVE('',#16961,#16962,#8565,.T.); #21359=EDGE_CURVE('',#16963,#16961,#14346,.T.); #21360=EDGE_CURVE('',#16964,#16962,#14347,.T.); #21361=EDGE_CURVE('',#16963,#16964,#8566,.T.); #21362=EDGE_CURVE('',#16965,#16963,#8567,.T.); #21363=EDGE_CURVE('',#16966,#16964,#8568,.T.); #21364=EDGE_CURVE('',#16965,#16966,#8569,.T.); #21365=EDGE_CURVE('',#16967,#16965,#14348,.T.); #21366=EDGE_CURVE('',#16968,#16966,#14349,.T.); #21367=EDGE_CURVE('',#16967,#16968,#8570,.T.); #21368=EDGE_CURVE('',#16969,#16967,#8571,.T.); #21369=EDGE_CURVE('',#16970,#16968,#8572,.T.); #21370=EDGE_CURVE('',#16969,#16970,#8573,.T.); #21371=EDGE_CURVE('',#16956,#16969,#14350,.T.); #21372=EDGE_CURVE('',#16957,#16970,#14351,.T.); #21373=EDGE_CURVE('',#16971,#16972,#8574,.T.); #21374=EDGE_CURVE('',#16972,#16973,#8575,.T.); #21375=EDGE_CURVE('',#16974,#16973,#8576,.T.); #21376=EDGE_CURVE('',#16971,#16974,#8577,.T.); #21377=EDGE_CURVE('',#16975,#16971,#8578,.T.); #21378=EDGE_CURVE('',#16976,#16974,#8579,.T.); #21379=EDGE_CURVE('',#16975,#16976,#8580,.T.); #21380=EDGE_CURVE('',#16977,#16975,#8581,.T.); #21381=EDGE_CURVE('',#16978,#16976,#8582,.T.); #21382=EDGE_CURVE('',#16977,#16978,#8583,.T.); #21383=EDGE_CURVE('',#16972,#16977,#8584,.T.); #21384=EDGE_CURVE('',#16973,#16978,#8585,.T.); #21385=EDGE_CURVE('',#16979,#16980,#8586,.T.); #21386=EDGE_CURVE('',#16980,#16981,#8587,.T.); #21387=EDGE_CURVE('',#16982,#16981,#8588,.T.); #21388=EDGE_CURVE('',#16979,#16982,#8589,.T.); #21389=EDGE_CURVE('',#16983,#16979,#8590,.T.); #21390=EDGE_CURVE('',#16984,#16982,#8591,.T.); #21391=EDGE_CURVE('',#16983,#16984,#8592,.T.); #21392=EDGE_CURVE('',#16985,#16983,#8593,.T.); #21393=EDGE_CURVE('',#16986,#16984,#8594,.T.); #21394=EDGE_CURVE('',#16985,#16986,#8595,.T.); #21395=EDGE_CURVE('',#16980,#16985,#8596,.T.); #21396=EDGE_CURVE('',#16981,#16986,#8597,.T.); #21397=EDGE_CURVE('',#16987,#16988,#8598,.T.); #21398=EDGE_CURVE('',#16988,#16989,#8599,.T.); #21399=EDGE_CURVE('',#16990,#16989,#8600,.T.); #21400=EDGE_CURVE('',#16987,#16990,#8601,.T.); #21401=EDGE_CURVE('',#16991,#16987,#8602,.T.); #21402=EDGE_CURVE('',#16992,#16990,#8603,.T.); #21403=EDGE_CURVE('',#16991,#16992,#8604,.T.); #21404=EDGE_CURVE('',#16993,#16991,#8605,.T.); #21405=EDGE_CURVE('',#16994,#16992,#8606,.T.); #21406=EDGE_CURVE('',#16993,#16994,#8607,.T.); #21407=EDGE_CURVE('',#16988,#16993,#8608,.T.); #21408=EDGE_CURVE('',#16989,#16994,#8609,.T.); #21409=EDGE_CURVE('',#16995,#16996,#8610,.T.); #21410=EDGE_CURVE('',#16996,#16997,#8611,.T.); #21411=EDGE_CURVE('',#16998,#16997,#8612,.T.); #21412=EDGE_CURVE('',#16995,#16998,#8613,.T.); #21413=EDGE_CURVE('',#16999,#16995,#8614,.T.); #21414=EDGE_CURVE('',#17000,#16998,#8615,.T.); #21415=EDGE_CURVE('',#16999,#17000,#8616,.T.); #21416=EDGE_CURVE('',#17001,#16999,#8617,.T.); #21417=EDGE_CURVE('',#17002,#17000,#8618,.T.); #21418=EDGE_CURVE('',#17001,#17002,#8619,.T.); #21419=EDGE_CURVE('',#16996,#17001,#8620,.T.); #21420=EDGE_CURVE('',#16997,#17002,#8621,.T.); #21421=EDGE_CURVE('',#17003,#17004,#14352,.T.); #21422=EDGE_CURVE('',#17004,#17005,#8622,.T.); #21423=EDGE_CURVE('',#17006,#17005,#14353,.T.); #21424=EDGE_CURVE('',#17003,#17006,#8623,.T.); #21425=EDGE_CURVE('',#17007,#17003,#8624,.T.); #21426=EDGE_CURVE('',#17008,#17006,#8625,.T.); #21427=EDGE_CURVE('',#17007,#17008,#8626,.T.); #21428=EDGE_CURVE('',#17009,#17007,#14354,.T.); #21429=EDGE_CURVE('',#17010,#17008,#14355,.T.); #21430=EDGE_CURVE('',#17009,#17010,#8627,.T.); #21431=EDGE_CURVE('',#17011,#17009,#8628,.T.); #21432=EDGE_CURVE('',#17012,#17010,#8629,.T.); #21433=EDGE_CURVE('',#17011,#17012,#8630,.T.); #21434=EDGE_CURVE('',#17013,#17011,#14356,.T.); #21435=EDGE_CURVE('',#17014,#17012,#14357,.T.); #21436=EDGE_CURVE('',#17013,#17014,#8631,.T.); #21437=EDGE_CURVE('',#17015,#17013,#8632,.T.); #21438=EDGE_CURVE('',#17016,#17014,#8633,.T.); #21439=EDGE_CURVE('',#17015,#17016,#8634,.T.); #21440=EDGE_CURVE('',#17017,#17015,#14358,.T.); #21441=EDGE_CURVE('',#17018,#17016,#14359,.T.); #21442=EDGE_CURVE('',#17017,#17018,#8635,.T.); #21443=EDGE_CURVE('',#17004,#17017,#8636,.T.); #21444=EDGE_CURVE('',#17005,#17018,#8637,.T.); #21445=EDGE_CURVE('',#17019,#17019,#14360,.T.); #21446=EDGE_CURVE('',#17019,#17020,#8638,.T.); #21447=EDGE_CURVE('',#17020,#17020,#14361,.T.); #21448=EDGE_CURVE('',#17021,#17022,#8639,.T.); #21449=EDGE_CURVE('',#17022,#17023,#8640,.T.); #21450=EDGE_CURVE('',#17024,#17023,#8641,.T.); #21451=EDGE_CURVE('',#17021,#17024,#8642,.T.); #21452=EDGE_CURVE('',#17025,#17021,#14362,.T.); #21453=EDGE_CURVE('',#17026,#17024,#14363,.T.); #21454=EDGE_CURVE('',#17025,#17026,#8643,.T.); #21455=EDGE_CURVE('',#17027,#17025,#8644,.T.); #21456=EDGE_CURVE('',#17028,#17026,#8645,.T.); #21457=EDGE_CURVE('',#17027,#17028,#8646,.T.); #21458=EDGE_CURVE('',#17029,#17027,#14364,.T.); #21459=EDGE_CURVE('',#17030,#17028,#14365,.T.); #21460=EDGE_CURVE('',#17029,#17030,#8647,.T.); #21461=EDGE_CURVE('',#17031,#17029,#8648,.T.); #21462=EDGE_CURVE('',#17032,#17030,#8649,.T.); #21463=EDGE_CURVE('',#17031,#17032,#8650,.T.); #21464=EDGE_CURVE('',#17033,#17031,#14366,.T.); #21465=EDGE_CURVE('',#17034,#17032,#14367,.T.); #21466=EDGE_CURVE('',#17033,#17034,#8651,.T.); #21467=EDGE_CURVE('',#17035,#17033,#8652,.T.); #21468=EDGE_CURVE('',#17036,#17034,#8653,.T.); #21469=EDGE_CURVE('',#17035,#17036,#8654,.T.); #21470=EDGE_CURVE('',#17022,#17035,#14368,.T.); #21471=EDGE_CURVE('',#17023,#17036,#14369,.T.); #21472=EDGE_CURVE('',#17037,#17038,#8655,.T.); #21473=EDGE_CURVE('',#17038,#17039,#8656,.T.); #21474=EDGE_CURVE('',#17040,#17039,#8657,.T.); #21475=EDGE_CURVE('',#17037,#17040,#8658,.T.); #21476=EDGE_CURVE('',#17041,#17037,#8659,.T.); #21477=EDGE_CURVE('',#17042,#17040,#8660,.T.); #21478=EDGE_CURVE('',#17041,#17042,#8661,.T.); #21479=EDGE_CURVE('',#17043,#17041,#8662,.T.); #21480=EDGE_CURVE('',#17044,#17042,#8663,.T.); #21481=EDGE_CURVE('',#17043,#17044,#8664,.T.); #21482=EDGE_CURVE('',#17038,#17043,#8665,.T.); #21483=EDGE_CURVE('',#17039,#17044,#8666,.T.); #21484=EDGE_CURVE('',#17045,#17046,#8667,.T.); #21485=EDGE_CURVE('',#17046,#17047,#8668,.T.); #21486=EDGE_CURVE('',#17048,#17047,#8669,.T.); #21487=EDGE_CURVE('',#17045,#17048,#8670,.T.); #21488=EDGE_CURVE('',#17049,#17045,#8671,.T.); #21489=EDGE_CURVE('',#17050,#17048,#8672,.T.); #21490=EDGE_CURVE('',#17049,#17050,#8673,.T.); #21491=EDGE_CURVE('',#17051,#17049,#8674,.T.); #21492=EDGE_CURVE('',#17052,#17050,#8675,.T.); #21493=EDGE_CURVE('',#17051,#17052,#8676,.T.); #21494=EDGE_CURVE('',#17046,#17051,#8677,.T.); #21495=EDGE_CURVE('',#17047,#17052,#8678,.T.); #21496=EDGE_CURVE('',#17053,#17053,#14370,.T.); #21497=EDGE_CURVE('',#17053,#17054,#8679,.T.); #21498=EDGE_CURVE('',#17054,#17054,#14371,.T.); #21499=EDGE_CURVE('',#17055,#17056,#8680,.T.); #21500=EDGE_CURVE('',#17056,#17057,#8681,.T.); #21501=EDGE_CURVE('',#17058,#17057,#8682,.T.); #21502=EDGE_CURVE('',#17055,#17058,#8683,.T.); #21503=EDGE_CURVE('',#17059,#17055,#8684,.T.); #21504=EDGE_CURVE('',#17060,#17058,#8685,.T.); #21505=EDGE_CURVE('',#17059,#17060,#8686,.T.); #21506=EDGE_CURVE('',#17061,#17059,#8687,.T.); #21507=EDGE_CURVE('',#17062,#17060,#8688,.T.); #21508=EDGE_CURVE('',#17061,#17062,#8689,.T.); #21509=EDGE_CURVE('',#17056,#17061,#8690,.T.); #21510=EDGE_CURVE('',#17057,#17062,#8691,.T.); #21511=EDGE_CURVE('',#17063,#17063,#14372,.T.); #21512=EDGE_CURVE('',#17063,#17064,#8692,.T.); #21513=EDGE_CURVE('',#17064,#17064,#14373,.T.); #21514=EDGE_CURVE('',#17065,#17066,#8693,.T.); #21515=EDGE_CURVE('',#17066,#17067,#8694,.T.); #21516=EDGE_CURVE('',#17068,#17067,#8695,.T.); #21517=EDGE_CURVE('',#17065,#17068,#8696,.T.); #21518=EDGE_CURVE('',#17069,#17065,#8697,.T.); #21519=EDGE_CURVE('',#17070,#17068,#8698,.T.); #21520=EDGE_CURVE('',#17069,#17070,#8699,.T.); #21521=EDGE_CURVE('',#17071,#17069,#8700,.T.); #21522=EDGE_CURVE('',#17072,#17070,#8701,.T.); #21523=EDGE_CURVE('',#17071,#17072,#8702,.T.); #21524=EDGE_CURVE('',#17066,#17071,#8703,.T.); #21525=EDGE_CURVE('',#17067,#17072,#8704,.T.); #21526=EDGE_CURVE('',#17073,#17074,#8705,.T.); #21527=EDGE_CURVE('',#17073,#17075,#8706,.T.); #21528=EDGE_CURVE('',#17076,#17075,#8707,.T.); #21529=EDGE_CURVE('',#17074,#17076,#8708,.T.); #21530=EDGE_CURVE('',#17077,#17074,#14374,.T.); #21531=EDGE_CURVE('',#17078,#17076,#14375,.T.); #21532=EDGE_CURVE('',#17077,#17078,#8709,.T.); #21533=EDGE_CURVE('',#17077,#17079,#8710,.T.); #21534=EDGE_CURVE('',#17080,#17078,#8711,.T.); #21535=EDGE_CURVE('',#17079,#17080,#8712,.T.); #21536=EDGE_CURVE('',#17081,#17079,#14376,.T.); #21537=EDGE_CURVE('',#17082,#17080,#14377,.T.); #21538=EDGE_CURVE('',#17081,#17082,#8713,.T.); #21539=EDGE_CURVE('',#17081,#17083,#8714,.T.); #21540=EDGE_CURVE('',#17084,#17082,#8715,.T.); #21541=EDGE_CURVE('',#17083,#17084,#8716,.T.); #21542=EDGE_CURVE('',#17085,#17083,#14378,.T.); #21543=EDGE_CURVE('',#17086,#17084,#14379,.T.); #21544=EDGE_CURVE('',#17085,#17086,#8717,.T.); #21545=EDGE_CURVE('',#17085,#17087,#8718,.T.); #21546=EDGE_CURVE('',#17088,#17086,#8719,.T.); #21547=EDGE_CURVE('',#17087,#17088,#8720,.T.); #21548=EDGE_CURVE('',#17073,#17087,#14380,.T.); #21549=EDGE_CURVE('',#17075,#17088,#14381,.T.); #21550=EDGE_CURVE('',#17089,#17090,#11,.F.); #21551=EDGE_CURVE('',#17090,#17091,#14382,.T.); #21552=EDGE_CURVE('',#17092,#17091,#12,.T.); #21553=EDGE_CURVE('',#17092,#17089,#14383,.T.); #21554=EDGE_CURVE('',#17089,#17093,#13,.T.); #21555=EDGE_CURVE('',#17094,#17092,#14,.T.); #21556=EDGE_CURVE('',#17095,#17094,#14384,.T.); #21557=EDGE_CURVE('',#17096,#17095,#8721,.T.); #21558=EDGE_CURVE('',#17097,#17096,#14385,.T.); #21559=EDGE_CURVE('',#17098,#17097,#8722,.T.); #21560=EDGE_CURVE('',#17093,#17098,#14386,.T.); #21561=EDGE_CURVE('',#17099,#17100,#14387,.F.); #21562=EDGE_CURVE('',#17101,#17099,#14388,.F.); #21563=EDGE_CURVE('',#17100,#17101,#14389,.F.); #21564=EDGE_CURVE('',#17102,#17103,#14390,.T.); #21565=EDGE_CURVE('',#17102,#17101,#14391,.T.); #21566=EDGE_CURVE('',#17099,#17103,#14392,.T.); #21567=EDGE_CURVE('',#17104,#17105,#14393,.T.); #21568=EDGE_CURVE('',#17105,#17100,#14394,.T.); #21569=EDGE_CURVE('',#17101,#17104,#14395,.T.); #21570=EDGE_CURVE('',#17106,#17107,#14396,.F.); #21571=EDGE_CURVE('',#17108,#17106,#14397,.F.); #21572=EDGE_CURVE('',#17107,#17108,#14398,.F.); #21573=EDGE_CURVE('',#17109,#17091,#14399,.T.); #21574=EDGE_CURVE('',#17109,#17108,#14400,.T.); #21575=EDGE_CURVE('',#17106,#17094,#14401,.T.); #21576=EDGE_CURVE('',#17108,#17099,#8723,.T.); #21577=EDGE_CURVE('',#17100,#17107,#8724,.T.); #21578=EDGE_CURVE('',#17110,#17111,#14402,.T.); #21579=EDGE_CURVE('',#17111,#17106,#14403,.T.); #21580=EDGE_CURVE('',#17107,#17110,#14404,.T.); #21581=EDGE_CURVE('',#17112,#17110,#14405,.T.); #21582=EDGE_CURVE('',#17110,#17105,#8725,.T.); #21583=EDGE_CURVE('',#17105,#17113,#14406,.T.); #21584=EDGE_CURVE('',#17113,#17112,#8726,.T.); #21585=EDGE_CURVE('',#17112,#17111,#14407,.T.); #21586=EDGE_CURVE('',#17104,#17113,#14408,.T.); #21587=EDGE_CURVE('',#17095,#17111,#14409,.T.); #21588=EDGE_CURVE('',#17114,#17112,#14410,.T.); #21589=EDGE_CURVE('',#17095,#17114,#14411,.T.); #21590=EDGE_CURVE('',#17115,#17113,#14412,.T.); #21591=EDGE_CURVE('',#17116,#17115,#8727,.T.); #21592=EDGE_CURVE('',#17117,#17116,#8728,.T.); #21593=EDGE_CURVE('',#17114,#17117,#8729,.T.); #21594=EDGE_CURVE('',#17103,#17109,#8730,.T.); #21595=EDGE_CURVE('',#17102,#17118,#14413,.T.); #21596=EDGE_CURVE('',#17118,#17104,#14414,.T.); #21597=EDGE_CURVE('',#17115,#17118,#14415,.T.); #21598=EDGE_CURVE('',#17090,#17119,#14416,.T.); #21599=EDGE_CURVE('',#17119,#17120,#8731,.T.); #21600=EDGE_CURVE('',#17121,#17120,#14417,.F.); #21601=EDGE_CURVE('',#17121,#17122,#14418,.T.); #21602=EDGE_CURVE('',#17123,#17122,#8732,.T.); #21603=EDGE_CURVE('',#17123,#17102,#14419,.T.); #21604=EDGE_CURVE('',#17124,#17125,#14420,.F.); #21605=EDGE_CURVE('',#17126,#17124,#14421,.F.); #21606=EDGE_CURVE('',#17125,#17126,#14422,.F.); #21607=EDGE_CURVE('',#17120,#17126,#14423,.T.); #21608=EDGE_CURVE('',#17124,#17121,#14424,.T.); #21609=EDGE_CURVE('',#17127,#17128,#14425,.F.); #21610=EDGE_CURVE('',#17129,#17127,#14426,.F.); #21611=EDGE_CURVE('',#17128,#17129,#14427,.F.); #21612=EDGE_CURVE('',#17093,#17129,#14428,.T.); #21613=EDGE_CURVE('',#17127,#17119,#14429,.T.); #21614=EDGE_CURVE('',#17130,#17131,#14430,.T.); #21615=EDGE_CURVE('',#17131,#17128,#14431,.T.); #21616=EDGE_CURVE('',#17129,#17130,#14432,.T.); #21617=EDGE_CURVE('',#17128,#17125,#8733,.T.); #21618=EDGE_CURVE('',#17126,#17127,#8734,.T.); #21619=EDGE_CURVE('',#17132,#17133,#14433,.T.); #21620=EDGE_CURVE('',#17133,#17124,#14434,.T.); #21621=EDGE_CURVE('',#17125,#17132,#14435,.T.); #21622=EDGE_CURVE('',#17131,#17134,#14436,.T.); #21623=EDGE_CURVE('',#17134,#17135,#8735,.T.); #21624=EDGE_CURVE('',#17135,#17132,#14437,.T.); #21625=EDGE_CURVE('',#17132,#17131,#8736,.T.); #21626=EDGE_CURVE('',#17130,#17134,#14438,.T.); #21627=EDGE_CURVE('',#17135,#17133,#14439,.T.); #21628=EDGE_CURVE('',#17136,#17133,#14440,.T.); #21629=EDGE_CURVE('',#17136,#17121,#14441,.T.); #21630=EDGE_CURVE('',#17137,#17135,#14442,.T.); #21631=EDGE_CURVE('',#17136,#17137,#14443,.T.); #21632=EDGE_CURVE('',#17138,#17134,#14444,.T.); #21633=EDGE_CURVE('',#17139,#17138,#8737,.T.); #21634=EDGE_CURVE('',#17140,#17139,#8738,.T.); #21635=EDGE_CURVE('',#17137,#17140,#8739,.T.); #21636=EDGE_CURVE('',#17098,#17130,#14445,.T.); #21637=EDGE_CURVE('',#17138,#17098,#14446,.T.); #21638=EDGE_CURVE('',#17141,#17116,#14447,.T.); #21639=EDGE_CURVE('',#17118,#17141,#8740,.T.); #21640=EDGE_CURVE('',#17142,#17123,#8741,.T.); #21641=EDGE_CURVE('',#17141,#17142,#14448,.T.); #21642=EDGE_CURVE('',#17117,#17096,#14449,.T.); #21643=EDGE_CURVE('',#17097,#17139,#14450,.T.); #21644=EDGE_CURVE('',#17140,#17143,#14451,.T.); #21645=EDGE_CURVE('',#17143,#17136,#8742,.T.); #21646=EDGE_CURVE('',#17144,#17143,#14452,.T.); #21647=EDGE_CURVE('',#17122,#17144,#8743,.T.); #21648=EDGE_CURVE('',#17144,#17142,#8744,.T.); #21649=EDGE_CURVE('',#17145,#17145,#14453,.T.); #21650=EDGE_CURVE('',#17145,#17146,#8745,.T.); #21651=EDGE_CURVE('',#17146,#17146,#14454,.T.); #21652=EDGE_CURVE('',#17147,#17147,#14455,.T.); #21653=EDGE_CURVE('',#17147,#17148,#8746,.T.); #21654=EDGE_CURVE('',#17148,#17148,#14456,.T.); #21655=EDGE_CURVE('',#17149,#17149,#14457,.T.); #21656=EDGE_CURVE('',#17149,#17150,#8747,.T.); #21657=EDGE_CURVE('',#17150,#17150,#14458,.T.); #21658=EDGE_CURVE('',#17151,#17151,#14459,.T.); #21659=EDGE_CURVE('',#17151,#17152,#8748,.T.); #21660=EDGE_CURVE('',#17152,#17152,#14460,.T.); #21661=EDGE_CURVE('',#17153,#17153,#14461,.T.); #21662=EDGE_CURVE('',#17153,#17154,#8749,.T.); #21663=EDGE_CURVE('',#17154,#17154,#14462,.T.); #21664=EDGE_CURVE('',#17155,#17155,#14463,.T.); #21665=EDGE_CURVE('',#17155,#17156,#8750,.T.); #21666=EDGE_CURVE('',#17156,#17156,#14464,.T.); #21667=EDGE_CURVE('',#17157,#17158,#8751,.T.); #21668=EDGE_CURVE('',#17157,#17159,#8752,.T.); #21669=EDGE_CURVE('',#17160,#17159,#8753,.T.); #21670=EDGE_CURVE('',#17158,#17160,#8754,.T.); #21671=EDGE_CURVE('',#17161,#17158,#14465,.T.); #21672=EDGE_CURVE('',#17162,#17160,#14466,.T.); #21673=EDGE_CURVE('',#17161,#17162,#8755,.T.); #21674=EDGE_CURVE('',#17163,#17161,#8756,.T.); #21675=EDGE_CURVE('',#17164,#17162,#8757,.T.); #21676=EDGE_CURVE('',#17163,#17164,#8758,.T.); #21677=EDGE_CURVE('',#17165,#17163,#14467,.T.); #21678=EDGE_CURVE('',#17166,#17164,#14468,.T.); #21679=EDGE_CURVE('',#17165,#17166,#8759,.T.); #21680=EDGE_CURVE('',#17165,#17167,#8760,.T.); #21681=EDGE_CURVE('',#17168,#17166,#8761,.T.); #21682=EDGE_CURVE('',#17167,#17168,#8762,.T.); #21683=EDGE_CURVE('',#17169,#17167,#14469,.T.); #21684=EDGE_CURVE('',#17170,#17168,#14470,.T.); #21685=EDGE_CURVE('',#17169,#17170,#8763,.T.); #21686=EDGE_CURVE('',#17171,#17169,#8764,.T.); #21687=EDGE_CURVE('',#17172,#17170,#8765,.T.); #21688=EDGE_CURVE('',#17171,#17172,#8766,.T.); #21689=EDGE_CURVE('',#17173,#17171,#14471,.T.); #21690=EDGE_CURVE('',#17174,#17172,#14472,.T.); #21691=EDGE_CURVE('',#17173,#17174,#8767,.T.); #21692=EDGE_CURVE('',#17175,#17173,#8768,.T.); #21693=EDGE_CURVE('',#17176,#17174,#8769,.T.); #21694=EDGE_CURVE('',#17175,#17176,#8770,.T.); #21695=EDGE_CURVE('',#17177,#17175,#14473,.T.); #21696=EDGE_CURVE('',#17178,#17176,#14474,.T.); #21697=EDGE_CURVE('',#17177,#17178,#8771,.T.); #21698=EDGE_CURVE('',#17179,#17177,#8772,.T.); #21699=EDGE_CURVE('',#17180,#17178,#8773,.T.); #21700=EDGE_CURVE('',#17179,#17180,#8774,.T.); #21701=EDGE_CURVE('',#17157,#17179,#14475,.T.); #21702=EDGE_CURVE('',#17159,#17180,#14476,.T.); #21703=EDGE_CURVE('',#17181,#17182,#8775,.T.); #21704=EDGE_CURVE('',#17183,#17181,#8776,.T.); #21705=EDGE_CURVE('',#17183,#17184,#8777,.T.); #21706=EDGE_CURVE('',#17184,#17185,#8778,.T.); #21707=EDGE_CURVE('',#17185,#17186,#14477,.T.); #21708=EDGE_CURVE('',#17186,#17187,#8779,.T.); #21709=EDGE_CURVE('',#17187,#17188,#14478,.T.); #21710=EDGE_CURVE('',#17188,#17189,#8780,.T.); #21711=EDGE_CURVE('',#17189,#17190,#14479,.T.); #21712=EDGE_CURVE('',#17190,#17191,#8781,.T.); #21713=EDGE_CURVE('',#17191,#17192,#14480,.T.); #21714=EDGE_CURVE('',#17192,#17193,#8782,.T.); #21715=EDGE_CURVE('',#17193,#17194,#14481,.T.); #21716=EDGE_CURVE('',#17194,#17195,#8783,.T.); #21717=EDGE_CURVE('',#17195,#17196,#14482,.T.); #21718=EDGE_CURVE('',#17196,#17197,#8784,.T.); #21719=EDGE_CURVE('',#17197,#17198,#14483,.T.); #21720=EDGE_CURVE('',#17198,#17199,#8785,.T.); #21721=EDGE_CURVE('',#17199,#17200,#14484,.T.); #21722=EDGE_CURVE('',#17200,#17201,#8786,.T.); #21723=EDGE_CURVE('',#17201,#17202,#14485,.T.); #21724=EDGE_CURVE('',#17202,#17203,#8787,.T.); #21725=EDGE_CURVE('',#17203,#17204,#14486,.T.); #21726=EDGE_CURVE('',#17204,#17205,#8788,.T.); #21727=EDGE_CURVE('',#17205,#17206,#14487,.T.); #21728=EDGE_CURVE('',#17206,#17207,#8789,.T.); #21729=EDGE_CURVE('',#17207,#17208,#14488,.T.); #21730=EDGE_CURVE('',#17208,#17209,#8790,.T.); #21731=EDGE_CURVE('',#17209,#17210,#14489,.T.); #21732=EDGE_CURVE('',#17210,#17211,#8791,.T.); #21733=EDGE_CURVE('',#17211,#17212,#14490,.T.); #21734=EDGE_CURVE('',#17212,#17213,#8792,.T.); #21735=EDGE_CURVE('',#17213,#17214,#14491,.T.); #21736=EDGE_CURVE('',#17214,#17215,#8793,.T.); #21737=EDGE_CURVE('',#17215,#17216,#14492,.T.); #21738=EDGE_CURVE('',#17216,#17217,#8794,.T.); #21739=EDGE_CURVE('',#17217,#17218,#14493,.T.); #21740=EDGE_CURVE('',#17218,#17219,#8795,.T.); #21741=EDGE_CURVE('',#17219,#17220,#14494,.T.); #21742=EDGE_CURVE('',#17220,#17221,#8796,.T.); #21743=EDGE_CURVE('',#17221,#17222,#14495,.T.); #21744=EDGE_CURVE('',#17222,#17223,#8797,.T.); #21745=EDGE_CURVE('',#17223,#17224,#14496,.T.); #21746=EDGE_CURVE('',#17224,#17225,#8798,.T.); #21747=EDGE_CURVE('',#17225,#17226,#14497,.T.); #21748=EDGE_CURVE('',#17226,#17227,#8799,.T.); #21749=EDGE_CURVE('',#17227,#17228,#14498,.T.); #21750=EDGE_CURVE('',#17228,#17229,#8800,.T.); #21751=EDGE_CURVE('',#17229,#17230,#14499,.T.); #21752=EDGE_CURVE('',#17230,#17231,#8801,.T.); #21753=EDGE_CURVE('',#17231,#17232,#14500,.T.); #21754=EDGE_CURVE('',#17232,#17233,#8802,.T.); #21755=EDGE_CURVE('',#17233,#17234,#14501,.T.); #21756=EDGE_CURVE('',#17234,#17235,#8803,.T.); #21757=EDGE_CURVE('',#17235,#17236,#14502,.T.); #21758=EDGE_CURVE('',#17236,#17237,#8804,.T.); #21759=EDGE_CURVE('',#17237,#17238,#14503,.T.); #21760=EDGE_CURVE('',#17238,#17239,#8805,.T.); #21761=EDGE_CURVE('',#17239,#17240,#14504,.T.); #21762=EDGE_CURVE('',#17240,#17241,#8806,.T.); #21763=EDGE_CURVE('',#17241,#17242,#14505,.T.); #21764=EDGE_CURVE('',#17242,#17243,#8807,.T.); #21765=EDGE_CURVE('',#17243,#17244,#14506,.T.); #21766=EDGE_CURVE('',#17244,#17245,#8808,.T.); #21767=EDGE_CURVE('',#17245,#17246,#14507,.T.); #21768=EDGE_CURVE('',#17246,#17247,#8809,.T.); #21769=EDGE_CURVE('',#17247,#17248,#14508,.T.); #21770=EDGE_CURVE('',#17248,#17249,#8810,.T.); #21771=EDGE_CURVE('',#17249,#17250,#14509,.T.); #21772=EDGE_CURVE('',#17250,#17251,#8811,.T.); #21773=EDGE_CURVE('',#17251,#17252,#14510,.T.); #21774=EDGE_CURVE('',#17252,#17253,#8812,.T.); #21775=EDGE_CURVE('',#17253,#17254,#14511,.T.); #21776=EDGE_CURVE('',#17254,#17255,#8813,.T.); #21777=EDGE_CURVE('',#17255,#17256,#14512,.T.); #21778=EDGE_CURVE('',#17256,#17257,#8814,.T.); #21779=EDGE_CURVE('',#17257,#17258,#14513,.T.); #21780=EDGE_CURVE('',#17258,#17259,#8815,.T.); #21781=EDGE_CURVE('',#17259,#17260,#14514,.T.); #21782=EDGE_CURVE('',#17260,#17261,#8816,.T.); #21783=EDGE_CURVE('',#17261,#17262,#14515,.T.); #21784=EDGE_CURVE('',#17262,#17263,#8817,.T.); #21785=EDGE_CURVE('',#17263,#17264,#14516,.T.); #21786=EDGE_CURVE('',#17264,#17265,#8818,.T.); #21787=EDGE_CURVE('',#17265,#17266,#14517,.T.); #21788=EDGE_CURVE('',#17266,#17267,#8819,.T.); #21789=EDGE_CURVE('',#17267,#17268,#14518,.T.); #21790=EDGE_CURVE('',#17268,#17269,#8820,.T.); #21791=EDGE_CURVE('',#17269,#17270,#14519,.T.); #21792=EDGE_CURVE('',#17270,#17271,#8821,.T.); #21793=EDGE_CURVE('',#17271,#17272,#14520,.T.); #21794=EDGE_CURVE('',#17272,#17273,#8822,.T.); #21795=EDGE_CURVE('',#17273,#17274,#14521,.T.); #21796=EDGE_CURVE('',#17274,#17275,#8823,.T.); #21797=EDGE_CURVE('',#17275,#17276,#14522,.T.); #21798=EDGE_CURVE('',#17276,#17277,#8824,.T.); #21799=EDGE_CURVE('',#17277,#17278,#14523,.T.); #21800=EDGE_CURVE('',#17278,#17279,#8825,.T.); #21801=EDGE_CURVE('',#17279,#17280,#14524,.T.); #21802=EDGE_CURVE('',#17280,#17281,#8826,.T.); #21803=EDGE_CURVE('',#17281,#17282,#14525,.T.); #21804=EDGE_CURVE('',#17282,#17283,#8827,.T.); #21805=EDGE_CURVE('',#17283,#17284,#14526,.T.); #21806=EDGE_CURVE('',#17284,#17285,#8828,.T.); #21807=EDGE_CURVE('',#17285,#17286,#14527,.T.); #21808=EDGE_CURVE('',#17286,#17287,#8829,.T.); #21809=EDGE_CURVE('',#17287,#17288,#14528,.T.); #21810=EDGE_CURVE('',#17288,#17289,#8830,.T.); #21811=EDGE_CURVE('',#17289,#17290,#14529,.T.); #21812=EDGE_CURVE('',#17290,#17291,#8831,.T.); #21813=EDGE_CURVE('',#17291,#17292,#14530,.T.); #21814=EDGE_CURVE('',#17292,#17293,#8832,.T.); #21815=EDGE_CURVE('',#17293,#17294,#14531,.T.); #21816=EDGE_CURVE('',#17294,#17295,#8833,.T.); #21817=EDGE_CURVE('',#17295,#17296,#14532,.T.); #21818=EDGE_CURVE('',#17296,#17297,#8834,.T.); #21819=EDGE_CURVE('',#17297,#17298,#14533,.T.); #21820=EDGE_CURVE('',#17298,#17299,#8835,.T.); #21821=EDGE_CURVE('',#17299,#17300,#14534,.T.); #21822=EDGE_CURVE('',#17300,#17301,#8836,.T.); #21823=EDGE_CURVE('',#17301,#17302,#14535,.T.); #21824=EDGE_CURVE('',#17302,#17303,#8837,.T.); #21825=EDGE_CURVE('',#17303,#17304,#14536,.T.); #21826=EDGE_CURVE('',#17304,#17305,#8838,.T.); #21827=EDGE_CURVE('',#17305,#17306,#14537,.T.); #21828=EDGE_CURVE('',#17306,#17307,#8839,.T.); #21829=EDGE_CURVE('',#17307,#17308,#14538,.T.); #21830=EDGE_CURVE('',#17308,#17182,#8840,.T.); #21831=EDGE_CURVE('',#17309,#17188,#8841,.T.); #21832=EDGE_CURVE('',#17310,#17309,#8842,.T.); #21833=EDGE_CURVE('',#17189,#17310,#8843,.T.); #21834=EDGE_CURVE('',#17311,#17310,#14539,.T.); #21835=EDGE_CURVE('',#17190,#17311,#8844,.T.); #21836=EDGE_CURVE('',#17312,#17311,#8845,.T.); #21837=EDGE_CURVE('',#17191,#17312,#8846,.T.); #21838=EDGE_CURVE('',#17313,#17312,#14540,.T.); #21839=EDGE_CURVE('',#17192,#17313,#8847,.T.); #21840=EDGE_CURVE('',#17314,#17313,#8848,.T.); #21841=EDGE_CURVE('',#17193,#17314,#8849,.T.); #21842=EDGE_CURVE('',#17315,#17314,#14541,.T.); #21843=EDGE_CURVE('',#17194,#17315,#8850,.T.); #21844=EDGE_CURVE('',#17316,#17315,#8851,.T.); #21845=EDGE_CURVE('',#17195,#17316,#8852,.T.); #21846=EDGE_CURVE('',#17317,#17316,#14542,.T.); #21847=EDGE_CURVE('',#17196,#17317,#8853,.T.); #21848=EDGE_CURVE('',#17318,#17317,#8854,.T.); #21849=EDGE_CURVE('',#17197,#17318,#8855,.T.); #21850=EDGE_CURVE('',#17319,#17318,#14543,.T.); #21851=EDGE_CURVE('',#17198,#17319,#8856,.T.); #21852=EDGE_CURVE('',#17320,#17319,#8857,.T.); #21853=EDGE_CURVE('',#17199,#17320,#8858,.T.); #21854=EDGE_CURVE('',#17321,#17320,#14544,.T.); #21855=EDGE_CURVE('',#17200,#17321,#8859,.T.); #21856=EDGE_CURVE('',#17322,#17321,#8860,.T.); #21857=EDGE_CURVE('',#17201,#17322,#8861,.T.); #21858=EDGE_CURVE('',#17323,#17322,#14545,.T.); #21859=EDGE_CURVE('',#17202,#17323,#8862,.T.); #21860=EDGE_CURVE('',#17324,#17323,#8863,.T.); #21861=EDGE_CURVE('',#17203,#17324,#8864,.T.); #21862=EDGE_CURVE('',#17325,#17324,#14546,.T.); #21863=EDGE_CURVE('',#17204,#17325,#8865,.T.); #21864=EDGE_CURVE('',#17326,#17325,#8866,.T.); #21865=EDGE_CURVE('',#17205,#17326,#8867,.T.); #21866=EDGE_CURVE('',#17327,#17326,#14547,.T.); #21867=EDGE_CURVE('',#17206,#17327,#8868,.T.); #21868=EDGE_CURVE('',#17328,#17327,#8869,.T.); #21869=EDGE_CURVE('',#17207,#17328,#8870,.T.); #21870=EDGE_CURVE('',#17329,#17328,#14548,.T.); #21871=EDGE_CURVE('',#17208,#17329,#8871,.T.); #21872=EDGE_CURVE('',#17330,#17329,#8872,.T.); #21873=EDGE_CURVE('',#17209,#17330,#8873,.T.); #21874=EDGE_CURVE('',#17331,#17330,#14549,.T.); #21875=EDGE_CURVE('',#17210,#17331,#8874,.T.); #21876=EDGE_CURVE('',#17332,#17331,#8875,.T.); #21877=EDGE_CURVE('',#17211,#17332,#8876,.T.); #21878=EDGE_CURVE('',#17333,#17332,#14550,.T.); #21879=EDGE_CURVE('',#17212,#17333,#8877,.T.); #21880=EDGE_CURVE('',#17334,#17333,#8878,.T.); #21881=EDGE_CURVE('',#17213,#17334,#8879,.T.); #21882=EDGE_CURVE('',#17335,#17334,#14551,.T.); #21883=EDGE_CURVE('',#17214,#17335,#8880,.T.); #21884=EDGE_CURVE('',#17336,#17335,#8881,.T.); #21885=EDGE_CURVE('',#17215,#17336,#8882,.T.); #21886=EDGE_CURVE('',#17337,#17336,#14552,.T.); #21887=EDGE_CURVE('',#17216,#17337,#8883,.T.); #21888=EDGE_CURVE('',#17338,#17337,#8884,.T.); #21889=EDGE_CURVE('',#17217,#17338,#8885,.T.); #21890=EDGE_CURVE('',#17339,#17338,#14553,.T.); #21891=EDGE_CURVE('',#17218,#17339,#8886,.T.); #21892=EDGE_CURVE('',#17340,#17339,#8887,.T.); #21893=EDGE_CURVE('',#17219,#17340,#8888,.T.); #21894=EDGE_CURVE('',#17341,#17340,#14554,.T.); #21895=EDGE_CURVE('',#17220,#17341,#8889,.T.); #21896=EDGE_CURVE('',#17342,#17341,#8890,.T.); #21897=EDGE_CURVE('',#17221,#17342,#8891,.T.); #21898=EDGE_CURVE('',#17343,#17342,#14555,.T.); #21899=EDGE_CURVE('',#17222,#17343,#8892,.T.); #21900=EDGE_CURVE('',#17344,#17343,#8893,.T.); #21901=EDGE_CURVE('',#17223,#17344,#8894,.T.); #21902=EDGE_CURVE('',#17345,#17344,#14556,.T.); #21903=EDGE_CURVE('',#17224,#17345,#8895,.T.); #21904=EDGE_CURVE('',#17346,#17345,#8896,.T.); #21905=EDGE_CURVE('',#17225,#17346,#8897,.T.); #21906=EDGE_CURVE('',#17347,#17346,#14557,.T.); #21907=EDGE_CURVE('',#17226,#17347,#8898,.T.); #21908=EDGE_CURVE('',#17348,#17347,#8899,.T.); #21909=EDGE_CURVE('',#17227,#17348,#8900,.T.); #21910=EDGE_CURVE('',#17349,#17348,#14558,.T.); #21911=EDGE_CURVE('',#17228,#17349,#8901,.T.); #21912=EDGE_CURVE('',#17350,#17349,#8902,.T.); #21913=EDGE_CURVE('',#17229,#17350,#8903,.T.); #21914=EDGE_CURVE('',#17351,#17350,#14559,.T.); #21915=EDGE_CURVE('',#17230,#17351,#8904,.T.); #21916=EDGE_CURVE('',#17352,#17351,#8905,.T.); #21917=EDGE_CURVE('',#17231,#17352,#8906,.T.); #21918=EDGE_CURVE('',#17353,#17352,#14560,.T.); #21919=EDGE_CURVE('',#17232,#17353,#8907,.T.); #21920=EDGE_CURVE('',#17354,#17353,#8908,.T.); #21921=EDGE_CURVE('',#17233,#17354,#8909,.T.); #21922=EDGE_CURVE('',#17355,#17354,#14561,.T.); #21923=EDGE_CURVE('',#17234,#17355,#8910,.T.); #21924=EDGE_CURVE('',#17356,#17355,#8911,.T.); #21925=EDGE_CURVE('',#17235,#17356,#8912,.T.); #21926=EDGE_CURVE('',#17357,#17356,#14562,.T.); #21927=EDGE_CURVE('',#17236,#17357,#8913,.T.); #21928=EDGE_CURVE('',#17358,#17357,#8914,.T.); #21929=EDGE_CURVE('',#17237,#17358,#8915,.T.); #21930=EDGE_CURVE('',#17359,#17358,#14563,.T.); #21931=EDGE_CURVE('',#17238,#17359,#8916,.T.); #21932=EDGE_CURVE('',#17360,#17359,#8917,.T.); #21933=EDGE_CURVE('',#17239,#17360,#8918,.T.); #21934=EDGE_CURVE('',#17361,#17360,#14564,.T.); #21935=EDGE_CURVE('',#17240,#17361,#8919,.T.); #21936=EDGE_CURVE('',#17362,#17361,#8920,.T.); #21937=EDGE_CURVE('',#17241,#17362,#8921,.T.); #21938=EDGE_CURVE('',#17363,#17362,#14565,.T.); #21939=EDGE_CURVE('',#17242,#17363,#8922,.T.); #21940=EDGE_CURVE('',#17364,#17363,#8923,.T.); #21941=EDGE_CURVE('',#17243,#17364,#8924,.T.); #21942=EDGE_CURVE('',#17365,#17364,#14566,.T.); #21943=EDGE_CURVE('',#17244,#17365,#8925,.T.); #21944=EDGE_CURVE('',#17366,#17365,#8926,.T.); #21945=EDGE_CURVE('',#17245,#17366,#8927,.T.); #21946=EDGE_CURVE('',#17367,#17366,#14567,.T.); #21947=EDGE_CURVE('',#17246,#17367,#8928,.T.); #21948=EDGE_CURVE('',#17368,#17367,#8929,.T.); #21949=EDGE_CURVE('',#17247,#17368,#8930,.T.); #21950=EDGE_CURVE('',#17369,#17368,#14568,.T.); #21951=EDGE_CURVE('',#17248,#17369,#8931,.T.); #21952=EDGE_CURVE('',#17370,#17369,#8932,.T.); #21953=EDGE_CURVE('',#17249,#17370,#8933,.T.); #21954=EDGE_CURVE('',#17371,#17370,#14569,.T.); #21955=EDGE_CURVE('',#17250,#17371,#8934,.T.); #21956=EDGE_CURVE('',#17372,#17371,#8935,.T.); #21957=EDGE_CURVE('',#17251,#17372,#8936,.T.); #21958=EDGE_CURVE('',#17373,#17372,#14570,.T.); #21959=EDGE_CURVE('',#17252,#17373,#8937,.T.); #21960=EDGE_CURVE('',#17374,#17373,#8938,.T.); #21961=EDGE_CURVE('',#17253,#17374,#8939,.T.); #21962=EDGE_CURVE('',#17375,#17374,#14571,.T.); #21963=EDGE_CURVE('',#17254,#17375,#8940,.T.); #21964=EDGE_CURVE('',#17376,#17375,#8941,.T.); #21965=EDGE_CURVE('',#17255,#17376,#8942,.T.); #21966=EDGE_CURVE('',#17377,#17376,#14572,.T.); #21967=EDGE_CURVE('',#17256,#17377,#8943,.T.); #21968=EDGE_CURVE('',#17378,#17377,#8944,.T.); #21969=EDGE_CURVE('',#17257,#17378,#8945,.T.); #21970=EDGE_CURVE('',#17379,#17378,#14573,.T.); #21971=EDGE_CURVE('',#17258,#17379,#8946,.T.); #21972=EDGE_CURVE('',#17380,#17379,#8947,.T.); #21973=EDGE_CURVE('',#17259,#17380,#8948,.T.); #21974=EDGE_CURVE('',#17381,#17380,#14574,.T.); #21975=EDGE_CURVE('',#17260,#17381,#8949,.T.); #21976=EDGE_CURVE('',#17382,#17381,#8950,.T.); #21977=EDGE_CURVE('',#17261,#17382,#8951,.T.); #21978=EDGE_CURVE('',#17383,#17382,#14575,.T.); #21979=EDGE_CURVE('',#17262,#17383,#8952,.T.); #21980=EDGE_CURVE('',#17384,#17383,#8953,.T.); #21981=EDGE_CURVE('',#17263,#17384,#8954,.T.); #21982=EDGE_CURVE('',#17385,#17384,#14576,.T.); #21983=EDGE_CURVE('',#17264,#17385,#8955,.T.); #21984=EDGE_CURVE('',#17386,#17385,#8956,.T.); #21985=EDGE_CURVE('',#17265,#17386,#8957,.T.); #21986=EDGE_CURVE('',#17387,#17386,#14577,.T.); #21987=EDGE_CURVE('',#17266,#17387,#8958,.T.); #21988=EDGE_CURVE('',#17388,#17387,#8959,.T.); #21989=EDGE_CURVE('',#17267,#17388,#8960,.T.); #21990=EDGE_CURVE('',#17389,#17388,#14578,.T.); #21991=EDGE_CURVE('',#17268,#17389,#8961,.T.); #21992=EDGE_CURVE('',#17390,#17389,#8962,.T.); #21993=EDGE_CURVE('',#17269,#17390,#8963,.T.); #21994=EDGE_CURVE('',#17391,#17390,#14579,.T.); #21995=EDGE_CURVE('',#17270,#17391,#8964,.T.); #21996=EDGE_CURVE('',#17392,#17391,#8965,.T.); #21997=EDGE_CURVE('',#17271,#17392,#8966,.T.); #21998=EDGE_CURVE('',#17393,#17392,#14580,.T.); #21999=EDGE_CURVE('',#17272,#17393,#8967,.T.); #22000=EDGE_CURVE('',#17394,#17393,#8968,.T.); #22001=EDGE_CURVE('',#17273,#17394,#8969,.T.); #22002=EDGE_CURVE('',#17395,#17394,#14581,.T.); #22003=EDGE_CURVE('',#17274,#17395,#8970,.T.); #22004=EDGE_CURVE('',#17396,#17395,#8971,.T.); #22005=EDGE_CURVE('',#17275,#17396,#8972,.T.); #22006=EDGE_CURVE('',#17397,#17396,#14582,.T.); #22007=EDGE_CURVE('',#17276,#17397,#8973,.T.); #22008=EDGE_CURVE('',#17398,#17397,#8974,.T.); #22009=EDGE_CURVE('',#17277,#17398,#8975,.T.); #22010=EDGE_CURVE('',#17399,#17398,#14583,.T.); #22011=EDGE_CURVE('',#17278,#17399,#8976,.T.); #22012=EDGE_CURVE('',#17400,#17399,#8977,.T.); #22013=EDGE_CURVE('',#17279,#17400,#8978,.T.); #22014=EDGE_CURVE('',#17401,#17400,#14584,.T.); #22015=EDGE_CURVE('',#17280,#17401,#8979,.T.); #22016=EDGE_CURVE('',#17402,#17401,#8980,.T.); #22017=EDGE_CURVE('',#17281,#17402,#8981,.T.); #22018=EDGE_CURVE('',#17403,#17402,#14585,.T.); #22019=EDGE_CURVE('',#17282,#17403,#8982,.T.); #22020=EDGE_CURVE('',#17404,#17403,#8983,.T.); #22021=EDGE_CURVE('',#17283,#17404,#8984,.T.); #22022=EDGE_CURVE('',#17405,#17404,#14586,.T.); #22023=EDGE_CURVE('',#17284,#17405,#8985,.T.); #22024=EDGE_CURVE('',#17406,#17405,#8986,.T.); #22025=EDGE_CURVE('',#17285,#17406,#8987,.T.); #22026=EDGE_CURVE('',#17407,#17406,#14587,.T.); #22027=EDGE_CURVE('',#17286,#17407,#8988,.T.); #22028=EDGE_CURVE('',#17408,#17407,#8989,.T.); #22029=EDGE_CURVE('',#17287,#17408,#8990,.T.); #22030=EDGE_CURVE('',#17409,#17408,#14588,.T.); #22031=EDGE_CURVE('',#17288,#17409,#8991,.T.); #22032=EDGE_CURVE('',#17410,#17409,#8992,.T.); #22033=EDGE_CURVE('',#17289,#17410,#8993,.T.); #22034=EDGE_CURVE('',#17411,#17410,#14589,.T.); #22035=EDGE_CURVE('',#17290,#17411,#8994,.T.); #22036=EDGE_CURVE('',#17412,#17411,#8995,.T.); #22037=EDGE_CURVE('',#17291,#17412,#8996,.T.); #22038=EDGE_CURVE('',#17413,#17412,#14590,.T.); #22039=EDGE_CURVE('',#17292,#17413,#8997,.T.); #22040=EDGE_CURVE('',#17414,#17413,#8998,.T.); #22041=EDGE_CURVE('',#17293,#17414,#8999,.T.); #22042=EDGE_CURVE('',#17415,#17414,#14591,.T.); #22043=EDGE_CURVE('',#17294,#17415,#9000,.T.); #22044=EDGE_CURVE('',#17416,#17415,#9001,.T.); #22045=EDGE_CURVE('',#17295,#17416,#9002,.T.); #22046=EDGE_CURVE('',#17417,#17416,#14592,.T.); #22047=EDGE_CURVE('',#17296,#17417,#9003,.T.); #22048=EDGE_CURVE('',#17418,#17417,#9004,.T.); #22049=EDGE_CURVE('',#17297,#17418,#9005,.T.); #22050=EDGE_CURVE('',#17419,#17418,#14593,.T.); #22051=EDGE_CURVE('',#17298,#17419,#9006,.T.); #22052=EDGE_CURVE('',#17420,#17419,#9007,.T.); #22053=EDGE_CURVE('',#17299,#17420,#9008,.T.); #22054=EDGE_CURVE('',#17421,#17420,#14594,.T.); #22055=EDGE_CURVE('',#17300,#17421,#9009,.T.); #22056=EDGE_CURVE('',#17422,#17421,#9010,.T.); #22057=EDGE_CURVE('',#17301,#17422,#9011,.T.); #22058=EDGE_CURVE('',#17423,#17422,#14595,.T.); #22059=EDGE_CURVE('',#17302,#17423,#9012,.T.); #22060=EDGE_CURVE('',#17424,#17423,#9013,.T.); #22061=EDGE_CURVE('',#17303,#17424,#9014,.T.); #22062=EDGE_CURVE('',#17425,#17424,#14596,.T.); #22063=EDGE_CURVE('',#17304,#17425,#9015,.T.); #22064=EDGE_CURVE('',#17426,#17425,#9016,.T.); #22065=EDGE_CURVE('',#17305,#17426,#9017,.T.); #22066=EDGE_CURVE('',#17427,#17426,#14597,.T.); #22067=EDGE_CURVE('',#17306,#17427,#9018,.T.); #22068=EDGE_CURVE('',#17428,#17427,#9019,.T.); #22069=EDGE_CURVE('',#17307,#17428,#9020,.T.); #22070=EDGE_CURVE('',#17429,#17428,#14598,.T.); #22071=EDGE_CURVE('',#17308,#17429,#9021,.T.); #22072=EDGE_CURVE('',#17184,#17430,#9022,.T.); #22073=EDGE_CURVE('',#17431,#17430,#9023,.T.); #22074=EDGE_CURVE('',#17185,#17431,#9024,.T.); #22075=EDGE_CURVE('',#17432,#17431,#14599,.T.); #22076=EDGE_CURVE('',#17186,#17432,#9025,.T.); #22077=EDGE_CURVE('',#17433,#17432,#9026,.T.); #22078=EDGE_CURVE('',#17187,#17433,#9027,.T.); #22079=EDGE_CURVE('',#17309,#17433,#14600,.T.); #22080=EDGE_CURVE('',#17434,#17435,#9028,.T.); #22081=EDGE_CURVE('',#17434,#17429,#9029,.T.); #22082=EDGE_CURVE('',#17430,#17436,#9030,.T.); #22083=EDGE_CURVE('',#17435,#17436,#9031,.T.); #22084=EDGE_CURVE('',#17182,#17437,#9032,.T.); #22085=EDGE_CURVE('',#17437,#17438,#14601,.T.); #22086=EDGE_CURVE('',#17438,#17439,#9033,.T.); #22087=EDGE_CURVE('',#17439,#17440,#14602,.T.); #22088=EDGE_CURVE('',#17440,#17441,#9034,.T.); #22089=EDGE_CURVE('',#17441,#17442,#14603,.T.); #22090=EDGE_CURVE('',#17442,#17181,#9035,.T.); #22091=EDGE_CURVE('',#17443,#17443,#14604,.T.); #22092=EDGE_CURVE('',#17444,#17444,#14605,.T.); #22093=EDGE_CURVE('',#17445,#17445,#14606,.T.); #22094=EDGE_CURVE('',#17446,#17446,#14607,.T.); #22095=EDGE_CURVE('',#17447,#17442,#9036,.T.); #22096=EDGE_CURVE('',#17183,#17447,#9037,.T.); #22097=EDGE_CURVE('',#17448,#17436,#9038,.T.); #22098=EDGE_CURVE('',#17448,#17449,#9039,.T.); #22099=EDGE_CURVE('',#17435,#17449,#9040,.T.); #22100=EDGE_CURVE('',#17450,#17450,#14608,.T.); #22101=EDGE_CURVE('',#17450,#17451,#9041,.T.); #22102=EDGE_CURVE('',#17451,#17451,#14609,.T.); #22103=EDGE_CURVE('',#17452,#17452,#14610,.T.); #22104=EDGE_CURVE('',#17452,#17453,#9042,.T.); #22105=EDGE_CURVE('',#17453,#17453,#14611,.T.); #22106=EDGE_CURVE('',#17446,#17454,#9043,.T.); #22107=EDGE_CURVE('',#17454,#17454,#14612,.T.); #22108=EDGE_CURVE('',#17455,#17455,#14613,.T.); #22109=EDGE_CURVE('',#17455,#17456,#9044,.T.); #22110=EDGE_CURVE('',#17456,#17456,#14614,.T.); #22111=EDGE_CURVE('',#17445,#17457,#9045,.T.); #22112=EDGE_CURVE('',#17457,#17457,#14615,.T.); #22113=EDGE_CURVE('',#17458,#17458,#14616,.T.); #22114=EDGE_CURVE('',#17458,#17459,#9046,.T.); #22115=EDGE_CURVE('',#17459,#17459,#14617,.T.); #22116=EDGE_CURVE('',#17444,#17460,#9047,.T.); #22117=EDGE_CURVE('',#17460,#17460,#14618,.T.); #22118=EDGE_CURVE('',#17443,#17461,#9048,.T.); #22119=EDGE_CURVE('',#17461,#17461,#14619,.T.); #22120=EDGE_CURVE('',#17462,#17463,#9049,.T.); #22121=EDGE_CURVE('',#17462,#17439,#9050,.T.); #22122=EDGE_CURVE('',#17463,#17438,#9051,.T.); #22123=EDGE_CURVE('',#17464,#17463,#14620,.T.); #22124=EDGE_CURVE('',#17464,#17437,#9052,.T.); #22125=EDGE_CURVE('',#17465,#17434,#9053,.T.); #22126=EDGE_CURVE('',#17466,#17465,#9054,.T.); #22127=EDGE_CURVE('',#17464,#17466,#9055,.T.); #22128=EDGE_CURVE('',#17467,#17466,#14621,.T.); #22129=EDGE_CURVE('',#17468,#17465,#14622,.T.); #22130=EDGE_CURVE('',#17467,#17468,#9056,.T.); #22131=EDGE_CURVE('',#17467,#17469,#9057,.T.); #22132=EDGE_CURVE('',#17470,#17468,#9058,.T.); #22133=EDGE_CURVE('',#17469,#17470,#9059,.T.); #22134=EDGE_CURVE('',#17471,#17469,#14623,.T.); #22135=EDGE_CURVE('',#17472,#17470,#14624,.T.); #22136=EDGE_CURVE('',#17471,#17472,#9060,.T.); #22137=EDGE_CURVE('',#17471,#17473,#9061,.T.); #22138=EDGE_CURVE('',#17474,#17472,#9062,.T.); #22139=EDGE_CURVE('',#17473,#17474,#9063,.T.); #22140=EDGE_CURVE('',#17448,#17473,#14625,.T.); #22141=EDGE_CURVE('',#17449,#17474,#14626,.T.); #22142=EDGE_CURVE('',#17475,#17447,#14627,.T.); #22143=EDGE_CURVE('',#17475,#17441,#9064,.T.); #22144=EDGE_CURVE('',#17476,#17475,#9065,.T.); #22145=EDGE_CURVE('',#17476,#17440,#9066,.T.); #22146=EDGE_CURVE('',#17462,#17476,#14628,.T.); #22147=EDGE_CURVE('',#17477,#17477,#14629,.T.); #22148=EDGE_CURVE('',#17477,#17478,#9067,.T.); #22149=EDGE_CURVE('',#17478,#17478,#14630,.T.); #22150=EDGE_CURVE('',#17479,#17480,#9068,.T.); #22151=EDGE_CURVE('',#17479,#17481,#9069,.T.); #22152=EDGE_CURVE('',#17482,#17481,#9070,.T.); #22153=EDGE_CURVE('',#17480,#17482,#9071,.T.); #22154=EDGE_CURVE('',#17480,#17483,#9072,.T.); #22155=EDGE_CURVE('',#17484,#17482,#9073,.T.); #22156=EDGE_CURVE('',#17483,#17484,#9074,.T.); #22157=EDGE_CURVE('',#17483,#17485,#9075,.T.); #22158=EDGE_CURVE('',#17486,#17484,#9076,.T.); #22159=EDGE_CURVE('',#17485,#17486,#9077,.T.); #22160=EDGE_CURVE('',#17485,#17487,#9078,.T.); #22161=EDGE_CURVE('',#17488,#17486,#9079,.T.); #22162=EDGE_CURVE('',#17487,#17488,#9080,.T.); #22163=EDGE_CURVE('',#17487,#17489,#9081,.T.); #22164=EDGE_CURVE('',#17490,#17488,#9082,.T.); #22165=EDGE_CURVE('',#17489,#17490,#9083,.T.); #22166=EDGE_CURVE('',#17489,#17491,#9084,.T.); #22167=EDGE_CURVE('',#17492,#17490,#9085,.T.); #22168=EDGE_CURVE('',#17491,#17492,#9086,.T.); #22169=EDGE_CURVE('',#17491,#17493,#9087,.T.); #22170=EDGE_CURVE('',#17494,#17492,#9088,.T.); #22171=EDGE_CURVE('',#17493,#17494,#9089,.T.); #22172=EDGE_CURVE('',#17493,#17495,#9090,.T.); #22173=EDGE_CURVE('',#17496,#17494,#9091,.T.); #22174=EDGE_CURVE('',#17495,#17496,#9092,.T.); #22175=EDGE_CURVE('',#17497,#17495,#9093,.T.); #22176=EDGE_CURVE('',#17498,#17496,#9094,.T.); #22177=EDGE_CURVE('',#17497,#17498,#9095,.T.); #22178=EDGE_CURVE('',#17499,#17497,#9096,.T.); #22179=EDGE_CURVE('',#17500,#17498,#9097,.T.); #22180=EDGE_CURVE('',#17499,#17500,#9098,.T.); #22181=EDGE_CURVE('',#17501,#17499,#9099,.T.); #22182=EDGE_CURVE('',#17502,#17500,#9100,.T.); #22183=EDGE_CURVE('',#17501,#17502,#9101,.T.); #22184=EDGE_CURVE('',#17479,#17501,#9102,.T.); #22185=EDGE_CURVE('',#17481,#17502,#9103,.T.); #22186=EDGE_CURVE('',#17503,#17504,#9104,.T.); #22187=EDGE_CURVE('',#17505,#17503,#9105,.T.); #22188=EDGE_CURVE('',#17506,#17505,#9106,.T.); #22189=EDGE_CURVE('',#17506,#17504,#9107,.T.); #22190=EDGE_CURVE('',#17504,#17507,#9108,.T.); #22191=EDGE_CURVE('',#17508,#17506,#9109,.T.); #22192=EDGE_CURVE('',#17508,#17507,#9110,.T.); #22193=EDGE_CURVE('',#17507,#17509,#9111,.T.); #22194=EDGE_CURVE('',#17510,#17508,#9112,.T.); #22195=EDGE_CURVE('',#17510,#17509,#9113,.T.); #22196=EDGE_CURVE('',#17509,#17503,#9114,.T.); #22197=EDGE_CURVE('',#17505,#17510,#9115,.T.); #22198=EDGE_CURVE('',#17511,#17511,#14631,.T.); #22199=EDGE_CURVE('',#17511,#17512,#9116,.T.); #22200=EDGE_CURVE('',#17512,#17512,#14632,.T.); #22201=EDGE_CURVE('',#17513,#17513,#14633,.T.); #22202=EDGE_CURVE('',#17513,#17514,#9117,.T.); #22203=EDGE_CURVE('',#17514,#17514,#14634,.T.); #22204=EDGE_CURVE('',#17515,#17516,#9118,.T.); #22205=EDGE_CURVE('',#17515,#17517,#9119,.T.); #22206=EDGE_CURVE('',#17518,#17517,#9120,.T.); #22207=EDGE_CURVE('',#17516,#17518,#9121,.T.); #22208=EDGE_CURVE('',#17516,#17519,#9122,.T.); #22209=EDGE_CURVE('',#17520,#17518,#9123,.T.); #22210=EDGE_CURVE('',#17519,#17520,#9124,.T.); #22211=EDGE_CURVE('',#17519,#17521,#9125,.T.); #22212=EDGE_CURVE('',#17522,#17520,#9126,.T.); #22213=EDGE_CURVE('',#17521,#17522,#9127,.T.); #22214=EDGE_CURVE('',#17521,#17523,#9128,.T.); #22215=EDGE_CURVE('',#17524,#17522,#9129,.T.); #22216=EDGE_CURVE('',#17523,#17524,#9130,.T.); #22217=EDGE_CURVE('',#17523,#17525,#9131,.T.); #22218=EDGE_CURVE('',#17526,#17524,#9132,.T.); #22219=EDGE_CURVE('',#17525,#17526,#9133,.T.); #22220=EDGE_CURVE('',#17525,#17527,#9134,.T.); #22221=EDGE_CURVE('',#17528,#17526,#9135,.T.); #22222=EDGE_CURVE('',#17527,#17528,#9136,.T.); #22223=EDGE_CURVE('',#17527,#17529,#9137,.T.); #22224=EDGE_CURVE('',#17530,#17528,#9138,.T.); #22225=EDGE_CURVE('',#17529,#17530,#9139,.T.); #22226=EDGE_CURVE('',#17529,#17531,#9140,.T.); #22227=EDGE_CURVE('',#17532,#17530,#9141,.T.); #22228=EDGE_CURVE('',#17531,#17532,#9142,.T.); #22229=EDGE_CURVE('',#17531,#17533,#9143,.T.); #22230=EDGE_CURVE('',#17534,#17532,#9144,.T.); #22231=EDGE_CURVE('',#17533,#17534,#9145,.T.); #22232=EDGE_CURVE('',#17533,#17535,#9146,.T.); #22233=EDGE_CURVE('',#17536,#17534,#9147,.T.); #22234=EDGE_CURVE('',#17535,#17536,#9148,.T.); #22235=EDGE_CURVE('',#17535,#17537,#9149,.T.); #22236=EDGE_CURVE('',#17538,#17536,#9150,.T.); #22237=EDGE_CURVE('',#17537,#17538,#9151,.T.); #22238=EDGE_CURVE('',#17515,#17537,#9152,.T.); #22239=EDGE_CURVE('',#17517,#17538,#9153,.T.); #22240=EDGE_CURVE('',#17539,#17539,#14635,.T.); #22241=EDGE_CURVE('',#17539,#17540,#9154,.T.); #22242=EDGE_CURVE('',#17540,#17540,#14636,.T.); #22243=EDGE_CURVE('',#17541,#17542,#9155,.T.); #22244=EDGE_CURVE('',#17542,#17543,#9156,.T.); #22245=EDGE_CURVE('',#17543,#17544,#9157,.T.); #22246=EDGE_CURVE('',#17541,#17544,#9158,.T.); #22247=EDGE_CURVE('',#17542,#17545,#9159,.T.); #22248=EDGE_CURVE('',#17545,#17546,#9160,.T.); #22249=EDGE_CURVE('',#17546,#17543,#9161,.T.); #22250=EDGE_CURVE('',#17545,#17547,#9162,.T.); #22251=EDGE_CURVE('',#17547,#17548,#9163,.T.); #22252=EDGE_CURVE('',#17548,#17546,#9164,.T.); #22253=EDGE_CURVE('',#17547,#17549,#9165,.T.); #22254=EDGE_CURVE('',#17549,#17550,#9166,.T.); #22255=EDGE_CURVE('',#17550,#17548,#9167,.T.); #22256=EDGE_CURVE('',#17549,#17551,#9168,.T.); #22257=EDGE_CURVE('',#17551,#17552,#9169,.T.); #22258=EDGE_CURVE('',#17552,#17550,#9170,.T.); #22259=EDGE_CURVE('',#17551,#17553,#9171,.T.); #22260=EDGE_CURVE('',#17553,#17554,#9172,.T.); #22261=EDGE_CURVE('',#17554,#17552,#9173,.T.); #22262=EDGE_CURVE('',#17553,#17555,#9174,.T.); #22263=EDGE_CURVE('',#17555,#17556,#9175,.T.); #22264=EDGE_CURVE('',#17556,#17554,#9176,.T.); #22265=EDGE_CURVE('',#17555,#17557,#9177,.T.); #22266=EDGE_CURVE('',#17557,#17558,#9178,.T.); #22267=EDGE_CURVE('',#17558,#17556,#9179,.T.); #22268=EDGE_CURVE('',#17559,#17557,#9180,.T.); #22269=EDGE_CURVE('',#17559,#17560,#9181,.T.); #22270=EDGE_CURVE('',#17560,#17558,#9182,.T.); #22271=EDGE_CURVE('',#17561,#17559,#9183,.T.); #22272=EDGE_CURVE('',#17561,#17562,#9184,.T.); #22273=EDGE_CURVE('',#17562,#17560,#9185,.T.); #22274=EDGE_CURVE('',#17563,#17561,#9186,.T.); #22275=EDGE_CURVE('',#17563,#17564,#9187,.T.); #22276=EDGE_CURVE('',#17564,#17562,#9188,.T.); #22277=EDGE_CURVE('',#17541,#17563,#9189,.T.); #22278=EDGE_CURVE('',#17544,#17564,#9190,.T.); #22279=EDGE_CURVE('',#17565,#17566,#9191,.T.); #22280=EDGE_CURVE('',#17565,#17567,#9192,.T.); #22281=EDGE_CURVE('',#17568,#17567,#9193,.T.); #22282=EDGE_CURVE('',#17566,#17568,#9194,.T.); #22283=EDGE_CURVE('',#17566,#17569,#9195,.T.); #22284=EDGE_CURVE('',#17570,#17568,#9196,.T.); #22285=EDGE_CURVE('',#17569,#17570,#9197,.T.); #22286=EDGE_CURVE('',#17569,#17571,#9198,.T.); #22287=EDGE_CURVE('',#17572,#17570,#9199,.T.); #22288=EDGE_CURVE('',#17571,#17572,#9200,.T.); #22289=EDGE_CURVE('',#17571,#17565,#9201,.T.); #22290=EDGE_CURVE('',#17567,#17572,#9202,.T.); #22291=EDGE_CURVE('',#17573,#17573,#14637,.T.); #22292=EDGE_CURVE('',#17573,#17574,#9203,.T.); #22293=EDGE_CURVE('',#17574,#17574,#14638,.T.); #22294=EDGE_CURVE('',#17575,#17575,#14639,.T.); #22295=EDGE_CURVE('',#17575,#17576,#9204,.T.); #22296=EDGE_CURVE('',#17576,#17576,#14640,.T.); #22297=EDGE_CURVE('',#17577,#17578,#9205,.T.); #22298=EDGE_CURVE('',#17578,#17579,#9206,.T.); #22299=EDGE_CURVE('',#17579,#17580,#9207,.T.); #22300=EDGE_CURVE('',#17577,#17580,#9208,.T.); #22301=EDGE_CURVE('',#17578,#17581,#9209,.T.); #22302=EDGE_CURVE('',#17581,#17582,#9210,.T.); #22303=EDGE_CURVE('',#17582,#17579,#9211,.T.); #22304=EDGE_CURVE('',#17581,#17583,#9212,.T.); #22305=EDGE_CURVE('',#17583,#17584,#9213,.T.); #22306=EDGE_CURVE('',#17584,#17582,#9214,.T.); #22307=EDGE_CURVE('',#17583,#17585,#9215,.T.); #22308=EDGE_CURVE('',#17585,#17586,#9216,.T.); #22309=EDGE_CURVE('',#17586,#17584,#9217,.T.); #22310=EDGE_CURVE('',#17585,#17587,#9218,.T.); #22311=EDGE_CURVE('',#17587,#17588,#9219,.T.); #22312=EDGE_CURVE('',#17588,#17586,#9220,.T.); #22313=EDGE_CURVE('',#17587,#17589,#9221,.T.); #22314=EDGE_CURVE('',#17589,#17590,#9222,.T.); #22315=EDGE_CURVE('',#17590,#17588,#9223,.T.); #22316=EDGE_CURVE('',#17589,#17591,#9224,.T.); #22317=EDGE_CURVE('',#17591,#17592,#9225,.T.); #22318=EDGE_CURVE('',#17592,#17590,#9226,.T.); #22319=EDGE_CURVE('',#17591,#17593,#9227,.T.); #22320=EDGE_CURVE('',#17593,#17594,#9228,.T.); #22321=EDGE_CURVE('',#17594,#17592,#9229,.T.); #22322=EDGE_CURVE('',#17593,#17595,#9230,.T.); #22323=EDGE_CURVE('',#17595,#17596,#9231,.T.); #22324=EDGE_CURVE('',#17596,#17594,#9232,.T.); #22325=EDGE_CURVE('',#17595,#17597,#9233,.T.); #22326=EDGE_CURVE('',#17597,#17598,#9234,.T.); #22327=EDGE_CURVE('',#17598,#17596,#9235,.T.); #22328=EDGE_CURVE('',#17597,#17599,#9236,.T.); #22329=EDGE_CURVE('',#17599,#17600,#9237,.T.); #22330=EDGE_CURVE('',#17600,#17598,#9238,.T.); #22331=EDGE_CURVE('',#17577,#17599,#9239,.T.); #22332=EDGE_CURVE('',#17580,#17600,#9240,.T.); #22333=EDGE_CURVE('',#17601,#17601,#14641,.T.); #22334=EDGE_CURVE('',#17601,#17602,#9241,.T.); #22335=EDGE_CURVE('',#17602,#17602,#14642,.T.); #22336=EDGE_CURVE('',#17603,#17604,#9242,.T.); #22337=EDGE_CURVE('',#17604,#17605,#9243,.T.); #22338=EDGE_CURVE('',#17606,#17605,#9244,.T.); #22339=EDGE_CURVE('',#17603,#17606,#9245,.T.); #22340=EDGE_CURVE('',#17607,#17603,#9246,.T.); #22341=EDGE_CURVE('',#17608,#17606,#9247,.T.); #22342=EDGE_CURVE('',#17607,#17608,#9248,.T.); #22343=EDGE_CURVE('',#17609,#17607,#9249,.T.); #22344=EDGE_CURVE('',#17610,#17608,#9250,.T.); #22345=EDGE_CURVE('',#17609,#17610,#9251,.T.); #22346=EDGE_CURVE('',#17604,#17609,#9252,.T.); #22347=EDGE_CURVE('',#17605,#17610,#9253,.T.); #22348=EDGE_CURVE('',#17611,#17611,#14643,.T.); #22349=EDGE_CURVE('',#17611,#17612,#9254,.T.); #22350=EDGE_CURVE('',#17612,#17612,#14644,.T.); #22351=EDGE_CURVE('',#17613,#17614,#9255,.T.); #22352=EDGE_CURVE('',#17613,#17615,#9256,.T.); #22353=EDGE_CURVE('',#17616,#17615,#9257,.T.); #22354=EDGE_CURVE('',#17614,#17616,#9258,.T.); #22355=EDGE_CURVE('',#17614,#17617,#9259,.T.); #22356=EDGE_CURVE('',#17618,#17616,#9260,.T.); #22357=EDGE_CURVE('',#17617,#17618,#9261,.T.); #22358=EDGE_CURVE('',#17617,#17619,#9262,.T.); #22359=EDGE_CURVE('',#17620,#17618,#9263,.T.); #22360=EDGE_CURVE('',#17619,#17620,#9264,.T.); #22361=EDGE_CURVE('',#17619,#17621,#9265,.T.); #22362=EDGE_CURVE('',#17622,#17620,#9266,.T.); #22363=EDGE_CURVE('',#17621,#17622,#9267,.T.); #22364=EDGE_CURVE('',#17621,#17623,#9268,.T.); #22365=EDGE_CURVE('',#17624,#17622,#9269,.T.); #22366=EDGE_CURVE('',#17623,#17624,#9270,.T.); #22367=EDGE_CURVE('',#17623,#17625,#9271,.T.); #22368=EDGE_CURVE('',#17626,#17624,#9272,.T.); #22369=EDGE_CURVE('',#17625,#17626,#9273,.T.); #22370=EDGE_CURVE('',#17627,#17625,#9274,.T.); #22371=EDGE_CURVE('',#17628,#17626,#9275,.T.); #22372=EDGE_CURVE('',#17627,#17628,#9276,.T.); #22373=EDGE_CURVE('',#17629,#17627,#9277,.T.); #22374=EDGE_CURVE('',#17630,#17628,#9278,.T.); #22375=EDGE_CURVE('',#17629,#17630,#9279,.T.); #22376=EDGE_CURVE('',#17631,#17629,#9280,.T.); #22377=EDGE_CURVE('',#17632,#17630,#9281,.T.); #22378=EDGE_CURVE('',#17631,#17632,#9282,.T.); #22379=EDGE_CURVE('',#17633,#17631,#9283,.T.); #22380=EDGE_CURVE('',#17634,#17632,#9284,.T.); #22381=EDGE_CURVE('',#17633,#17634,#9285,.T.); #22382=EDGE_CURVE('',#17635,#17633,#9286,.T.); #22383=EDGE_CURVE('',#17636,#17634,#9287,.T.); #22384=EDGE_CURVE('',#17635,#17636,#9288,.T.); #22385=EDGE_CURVE('',#17613,#17635,#9289,.T.); #22386=EDGE_CURVE('',#17615,#17636,#9290,.T.); #22387=EDGE_CURVE('',#17637,#17637,#14645,.T.); #22388=EDGE_CURVE('',#17637,#17638,#9291,.T.); #22389=EDGE_CURVE('',#17638,#17638,#14646,.T.); #22390=EDGE_CURVE('',#17639,#17639,#14647,.T.); #22391=EDGE_CURVE('',#17639,#17640,#9292,.T.); #22392=EDGE_CURVE('',#17640,#17640,#14648,.T.); #22393=EDGE_CURVE('',#17641,#17641,#14649,.T.); #22394=EDGE_CURVE('',#17641,#17642,#9293,.T.); #22395=EDGE_CURVE('',#17642,#17642,#14650,.T.); #22396=EDGE_CURVE('',#17643,#17643,#14651,.T.); #22397=EDGE_CURVE('',#17643,#17644,#9294,.T.); #22398=EDGE_CURVE('',#17644,#17644,#14652,.T.); #22399=EDGE_CURVE('',#17645,#17645,#14653,.T.); #22400=EDGE_CURVE('',#17645,#17646,#9295,.T.); #22401=EDGE_CURVE('',#17646,#17646,#14654,.T.); #22402=EDGE_CURVE('',#17647,#17647,#14655,.T.); #22403=EDGE_CURVE('',#17647,#17648,#9296,.T.); #22404=EDGE_CURVE('',#17648,#17648,#14656,.T.); #22405=EDGE_CURVE('',#17649,#17649,#14657,.T.); #22406=EDGE_CURVE('',#17649,#17650,#9297,.T.); #22407=EDGE_CURVE('',#17650,#17650,#14658,.T.); #22408=EDGE_CURVE('',#17651,#17651,#14659,.T.); #22409=EDGE_CURVE('',#17651,#17652,#9298,.T.); #22410=EDGE_CURVE('',#17652,#17652,#14660,.T.); #22411=EDGE_CURVE('',#17653,#17653,#14661,.T.); #22412=EDGE_CURVE('',#17653,#17654,#9299,.T.); #22413=EDGE_CURVE('',#17654,#17654,#14662,.T.); #22414=EDGE_CURVE('',#17655,#17655,#14663,.T.); #22415=EDGE_CURVE('',#17655,#17656,#9300,.T.); #22416=EDGE_CURVE('',#17656,#17656,#14664,.T.); #22417=EDGE_CURVE('',#17657,#17657,#14665,.T.); #22418=EDGE_CURVE('',#17657,#17658,#9301,.T.); #22419=EDGE_CURVE('',#17658,#17658,#14666,.T.); #22420=EDGE_CURVE('',#17659,#17659,#14667,.T.); #22421=EDGE_CURVE('',#17659,#17660,#9302,.T.); #22422=EDGE_CURVE('',#17660,#17660,#14668,.T.); #22423=EDGE_CURVE('',#17661,#17661,#14669,.T.); #22424=EDGE_CURVE('',#17661,#17662,#9303,.T.); #22425=EDGE_CURVE('',#17662,#17662,#14670,.T.); #22426=EDGE_CURVE('',#17663,#17664,#9304,.T.); #22427=EDGE_CURVE('',#17663,#17665,#9305,.T.); #22428=EDGE_CURVE('',#17666,#17665,#9306,.T.); #22429=EDGE_CURVE('',#17664,#17666,#9307,.T.); #22430=EDGE_CURVE('',#17667,#17664,#9308,.T.); #22431=EDGE_CURVE('',#17668,#17666,#9309,.T.); #22432=EDGE_CURVE('',#17667,#17668,#9310,.T.); #22433=EDGE_CURVE('',#17667,#17669,#9311,.T.); #22434=EDGE_CURVE('',#17670,#17668,#9312,.T.); #22435=EDGE_CURVE('',#17669,#17670,#9313,.T.); #22436=EDGE_CURVE('',#17669,#17671,#9314,.T.); #22437=EDGE_CURVE('',#17672,#17670,#9315,.T.); #22438=EDGE_CURVE('',#17671,#17672,#9316,.T.); #22439=EDGE_CURVE('',#17673,#17671,#9317,.T.); #22440=EDGE_CURVE('',#17674,#17672,#9318,.T.); #22441=EDGE_CURVE('',#17673,#17674,#9319,.T.); #22442=EDGE_CURVE('',#17675,#17673,#9320,.T.); #22443=EDGE_CURVE('',#17676,#17674,#9321,.T.); #22444=EDGE_CURVE('',#17675,#17676,#9322,.T.); #22445=EDGE_CURVE('',#17677,#17675,#9323,.T.); #22446=EDGE_CURVE('',#17678,#17676,#9324,.T.); #22447=EDGE_CURVE('',#17677,#17678,#9325,.T.); #22448=EDGE_CURVE('',#17679,#17677,#9326,.T.); #22449=EDGE_CURVE('',#17680,#17678,#9327,.T.); #22450=EDGE_CURVE('',#17679,#17680,#9328,.T.); #22451=EDGE_CURVE('',#17681,#17679,#9329,.T.); #22452=EDGE_CURVE('',#17682,#17680,#9330,.T.); #22453=EDGE_CURVE('',#17681,#17682,#9331,.T.); #22454=EDGE_CURVE('',#17683,#17681,#9332,.T.); #22455=EDGE_CURVE('',#17684,#17682,#9333,.T.); #22456=EDGE_CURVE('',#17683,#17684,#9334,.T.); #22457=EDGE_CURVE('',#17685,#17683,#9335,.T.); #22458=EDGE_CURVE('',#17686,#17684,#9336,.T.); #22459=EDGE_CURVE('',#17685,#17686,#9337,.T.); #22460=EDGE_CURVE('',#17687,#17685,#9338,.T.); #22461=EDGE_CURVE('',#17688,#17686,#9339,.T.); #22462=EDGE_CURVE('',#17687,#17688,#9340,.T.); #22463=EDGE_CURVE('',#17689,#17687,#9341,.T.); #22464=EDGE_CURVE('',#17690,#17688,#9342,.T.); #22465=EDGE_CURVE('',#17689,#17690,#9343,.T.); #22466=EDGE_CURVE('',#17691,#17689,#9344,.T.); #22467=EDGE_CURVE('',#17692,#17690,#9345,.T.); #22468=EDGE_CURVE('',#17691,#17692,#9346,.T.); #22469=EDGE_CURVE('',#17693,#17691,#9347,.T.); #22470=EDGE_CURVE('',#17694,#17692,#9348,.T.); #22471=EDGE_CURVE('',#17693,#17694,#9349,.T.); #22472=EDGE_CURVE('',#17693,#17663,#9350,.T.); #22473=EDGE_CURVE('',#17665,#17694,#9351,.T.); #22474=EDGE_CURVE('',#17695,#17695,#14671,.T.); #22475=EDGE_CURVE('',#17695,#17696,#9352,.T.); #22476=EDGE_CURVE('',#17696,#17696,#14672,.T.); #22477=EDGE_CURVE('',#17697,#17697,#14673,.T.); #22478=EDGE_CURVE('',#17697,#17698,#9353,.T.); #22479=EDGE_CURVE('',#17698,#17698,#14674,.T.); #22480=EDGE_CURVE('',#17699,#17699,#14675,.T.); #22481=EDGE_CURVE('',#17699,#17700,#9354,.T.); #22482=EDGE_CURVE('',#17700,#17700,#14676,.T.); #22483=EDGE_CURVE('',#17701,#17701,#14677,.T.); #22484=EDGE_CURVE('',#17701,#17702,#9355,.T.); #22485=EDGE_CURVE('',#17702,#17702,#14678,.T.); #22486=EDGE_CURVE('',#17703,#17703,#14679,.T.); #22487=EDGE_CURVE('',#17703,#17704,#9356,.T.); #22488=EDGE_CURVE('',#17704,#17704,#14680,.T.); #22489=EDGE_CURVE('',#17705,#17705,#14681,.T.); #22490=EDGE_CURVE('',#17705,#17706,#9357,.T.); #22491=EDGE_CURVE('',#17706,#17706,#14682,.T.); #22492=EDGE_CURVE('',#17707,#17707,#14683,.T.); #22493=EDGE_CURVE('',#17707,#17708,#9358,.T.); #22494=EDGE_CURVE('',#17708,#17708,#14684,.T.); #22495=EDGE_CURVE('',#17709,#17709,#14685,.T.); #22496=EDGE_CURVE('',#17709,#17710,#9359,.T.); #22497=EDGE_CURVE('',#17710,#17710,#14686,.T.); #22498=EDGE_CURVE('',#17711,#17711,#14687,.T.); #22499=EDGE_CURVE('',#17711,#17712,#9360,.T.); #22500=EDGE_CURVE('',#17712,#17712,#14688,.T.); #22501=EDGE_CURVE('',#17713,#17713,#14689,.T.); #22502=EDGE_CURVE('',#17713,#17714,#9361,.T.); #22503=EDGE_CURVE('',#17714,#17714,#14690,.T.); #22504=EDGE_CURVE('',#17715,#17715,#14691,.T.); #22505=EDGE_CURVE('',#17715,#17716,#9362,.T.); #22506=EDGE_CURVE('',#17716,#17716,#14692,.T.); #22507=EDGE_CURVE('',#17717,#17717,#14693,.T.); #22508=EDGE_CURVE('',#17717,#17718,#9363,.T.); #22509=EDGE_CURVE('',#17718,#17718,#14694,.T.); #22510=EDGE_CURVE('',#17719,#17719,#14695,.T.); #22511=EDGE_CURVE('',#17719,#17720,#9364,.T.); #22512=EDGE_CURVE('',#17720,#17720,#14696,.T.); #22513=EDGE_CURVE('',#17721,#17722,#9365,.T.); #22514=EDGE_CURVE('',#17722,#17723,#9366,.T.); #22515=EDGE_CURVE('',#17723,#17724,#9367,.T.); #22516=EDGE_CURVE('',#17721,#17724,#9368,.T.); #22517=EDGE_CURVE('',#17725,#17722,#9369,.T.); #22518=EDGE_CURVE('',#17725,#17726,#9370,.T.); #22519=EDGE_CURVE('',#17726,#17723,#9371,.T.); #22520=EDGE_CURVE('',#17725,#17727,#9372,.T.); #22521=EDGE_CURVE('',#17727,#17728,#9373,.T.); #22522=EDGE_CURVE('',#17728,#17726,#9374,.T.); #22523=EDGE_CURVE('',#17727,#17729,#9375,.T.); #22524=EDGE_CURVE('',#17729,#17730,#9376,.T.); #22525=EDGE_CURVE('',#17730,#17728,#9377,.T.); #22526=EDGE_CURVE('',#17731,#17729,#9378,.T.); #22527=EDGE_CURVE('',#17731,#17732,#9379,.T.); #22528=EDGE_CURVE('',#17732,#17730,#9380,.T.); #22529=EDGE_CURVE('',#17733,#17731,#9381,.T.); #22530=EDGE_CURVE('',#17733,#17734,#9382,.T.); #22531=EDGE_CURVE('',#17734,#17732,#9383,.T.); #22532=EDGE_CURVE('',#17735,#17733,#9384,.T.); #22533=EDGE_CURVE('',#17735,#17736,#9385,.T.); #22534=EDGE_CURVE('',#17736,#17734,#9386,.T.); #22535=EDGE_CURVE('',#17737,#17735,#9387,.T.); #22536=EDGE_CURVE('',#17737,#17738,#9388,.T.); #22537=EDGE_CURVE('',#17738,#17736,#9389,.T.); #22538=EDGE_CURVE('',#17739,#17737,#9390,.T.); #22539=EDGE_CURVE('',#17739,#17740,#9391,.T.); #22540=EDGE_CURVE('',#17740,#17738,#9392,.T.); #22541=EDGE_CURVE('',#17741,#17739,#9393,.T.); #22542=EDGE_CURVE('',#17741,#17742,#9394,.T.); #22543=EDGE_CURVE('',#17742,#17740,#9395,.T.); #22544=EDGE_CURVE('',#17743,#17741,#9396,.T.); #22545=EDGE_CURVE('',#17743,#17744,#9397,.T.); #22546=EDGE_CURVE('',#17744,#17742,#9398,.T.); #22547=EDGE_CURVE('',#17745,#17743,#9399,.T.); #22548=EDGE_CURVE('',#17745,#17746,#9400,.T.); #22549=EDGE_CURVE('',#17746,#17744,#9401,.T.); #22550=EDGE_CURVE('',#17747,#17745,#9402,.T.); #22551=EDGE_CURVE('',#17747,#17748,#9403,.T.); #22552=EDGE_CURVE('',#17748,#17746,#9404,.T.); #22553=EDGE_CURVE('',#17749,#17747,#9405,.T.); #22554=EDGE_CURVE('',#17749,#17750,#9406,.T.); #22555=EDGE_CURVE('',#17750,#17748,#9407,.T.); #22556=EDGE_CURVE('',#17751,#17749,#9408,.T.); #22557=EDGE_CURVE('',#17751,#17752,#9409,.T.); #22558=EDGE_CURVE('',#17752,#17750,#9410,.T.); #22559=EDGE_CURVE('',#17751,#17721,#9411,.T.); #22560=EDGE_CURVE('',#17724,#17752,#9412,.T.); #22561=EDGE_CURVE('',#17753,#17753,#14697,.T.); #22562=EDGE_CURVE('',#17753,#17754,#9413,.T.); #22563=EDGE_CURVE('',#17754,#17754,#14698,.T.); #22564=EDGE_CURVE('',#17755,#17755,#14699,.T.); #22565=EDGE_CURVE('',#17755,#17756,#9414,.T.); #22566=EDGE_CURVE('',#17756,#17756,#14700,.T.); #22567=EDGE_CURVE('',#17757,#17757,#14701,.T.); #22568=EDGE_CURVE('',#17757,#17758,#9415,.T.); #22569=EDGE_CURVE('',#17758,#17758,#14702,.T.); #22570=EDGE_CURVE('',#17759,#17759,#14703,.T.); #22571=EDGE_CURVE('',#17759,#17760,#9416,.T.); #22572=EDGE_CURVE('',#17760,#17760,#14704,.T.); #22573=EDGE_CURVE('',#17761,#17761,#14705,.T.); #22574=EDGE_CURVE('',#17761,#17762,#9417,.T.); #22575=EDGE_CURVE('',#17762,#17762,#14706,.T.); #22576=EDGE_CURVE('',#17763,#17763,#14707,.T.); #22577=EDGE_CURVE('',#17763,#17764,#9418,.T.); #22578=EDGE_CURVE('',#17764,#17764,#14708,.T.); #22579=EDGE_CURVE('',#17765,#17765,#14709,.T.); #22580=EDGE_CURVE('',#17765,#17766,#9419,.T.); #22581=EDGE_CURVE('',#17766,#17766,#14710,.T.); #22582=EDGE_CURVE('',#17767,#17767,#14711,.T.); #22583=EDGE_CURVE('',#17767,#17768,#9420,.T.); #22584=EDGE_CURVE('',#17768,#17768,#14712,.T.); #22585=EDGE_CURVE('',#17769,#17769,#14713,.T.); #22586=EDGE_CURVE('',#17769,#17770,#9421,.T.); #22587=EDGE_CURVE('',#17770,#17770,#14714,.T.); #22588=EDGE_CURVE('',#17771,#17771,#14715,.T.); #22589=EDGE_CURVE('',#17771,#17772,#9422,.T.); #22590=EDGE_CURVE('',#17772,#17772,#14716,.T.); #22591=EDGE_CURVE('',#17773,#17773,#14717,.T.); #22592=EDGE_CURVE('',#17773,#17774,#9423,.T.); #22593=EDGE_CURVE('',#17774,#17774,#14718,.T.); #22594=EDGE_CURVE('',#17775,#17776,#9424,.T.); #22595=EDGE_CURVE('',#17775,#17777,#9425,.T.); #22596=EDGE_CURVE('',#17778,#17777,#9426,.T.); #22597=EDGE_CURVE('',#17776,#17778,#9427,.T.); #22598=EDGE_CURVE('',#17776,#17779,#9428,.T.); #22599=EDGE_CURVE('',#17780,#17778,#9429,.T.); #22600=EDGE_CURVE('',#17779,#17780,#9430,.T.); #22601=EDGE_CURVE('',#17781,#17779,#14719,.T.); #22602=EDGE_CURVE('',#17782,#17780,#14720,.T.); #22603=EDGE_CURVE('',#17781,#17782,#9431,.T.); #22604=EDGE_CURVE('',#17781,#17783,#9432,.T.); #22605=EDGE_CURVE('',#17784,#17782,#9433,.T.); #22606=EDGE_CURVE('',#17783,#17784,#9434,.T.); #22607=EDGE_CURVE('',#17785,#17783,#14721,.T.); #22608=EDGE_CURVE('',#17786,#17784,#14722,.T.); #22609=EDGE_CURVE('',#17785,#17786,#9435,.T.); #22610=EDGE_CURVE('',#17785,#17775,#9436,.T.); #22611=EDGE_CURVE('',#17777,#17786,#9437,.T.); #22612=EDGE_CURVE('',#17787,#17788,#9438,.T.); #22613=EDGE_CURVE('',#17788,#17789,#9439,.T.); #22614=EDGE_CURVE('',#17790,#17789,#9440,.T.); #22615=EDGE_CURVE('',#17787,#17790,#9441,.T.); #22616=EDGE_CURVE('',#17791,#17787,#9442,.T.); #22617=EDGE_CURVE('',#17792,#17790,#9443,.T.); #22618=EDGE_CURVE('',#17791,#17792,#9444,.T.); #22619=EDGE_CURVE('',#17793,#17791,#9445,.T.); #22620=EDGE_CURVE('',#17794,#17792,#9446,.T.); #22621=EDGE_CURVE('',#17793,#17794,#9447,.T.); #22622=EDGE_CURVE('',#17788,#17793,#9448,.T.); #22623=EDGE_CURVE('',#17789,#17794,#9449,.T.); #22624=EDGE_CURVE('',#17795,#17796,#9450,.T.); #22625=EDGE_CURVE('',#17796,#17797,#9451,.T.); #22626=EDGE_CURVE('',#17798,#17797,#9452,.T.); #22627=EDGE_CURVE('',#17795,#17798,#9453,.T.); #22628=EDGE_CURVE('',#17799,#17795,#9454,.T.); #22629=EDGE_CURVE('',#17800,#17798,#9455,.T.); #22630=EDGE_CURVE('',#17799,#17800,#9456,.T.); #22631=EDGE_CURVE('',#17801,#17799,#9457,.T.); #22632=EDGE_CURVE('',#17802,#17800,#9458,.T.); #22633=EDGE_CURVE('',#17801,#17802,#9459,.T.); #22634=EDGE_CURVE('',#17796,#17801,#9460,.T.); #22635=EDGE_CURVE('',#17797,#17802,#9461,.T.); #22636=EDGE_CURVE('',#17803,#17803,#14723,.T.); #22637=EDGE_CURVE('',#17803,#17804,#9462,.T.); #22638=EDGE_CURVE('',#17804,#17804,#14724,.T.); #22639=EDGE_CURVE('',#17805,#17806,#9463,.T.); #22640=EDGE_CURVE('',#17806,#17807,#9464,.T.); #22641=EDGE_CURVE('',#17808,#17807,#9465,.T.); #22642=EDGE_CURVE('',#17805,#17808,#9466,.T.); #22643=EDGE_CURVE('',#17809,#17805,#9467,.T.); #22644=EDGE_CURVE('',#17810,#17808,#9468,.T.); #22645=EDGE_CURVE('',#17809,#17810,#9469,.T.); #22646=EDGE_CURVE('',#17811,#17809,#9470,.T.); #22647=EDGE_CURVE('',#17812,#17810,#9471,.T.); #22648=EDGE_CURVE('',#17811,#17812,#9472,.T.); #22649=EDGE_CURVE('',#17806,#17811,#9473,.T.); #22650=EDGE_CURVE('',#17807,#17812,#9474,.T.); #22651=EDGE_CURVE('',#17813,#17814,#9475,.T.); #22652=EDGE_CURVE('',#17814,#17815,#9476,.T.); #22653=EDGE_CURVE('',#17816,#17815,#9477,.T.); #22654=EDGE_CURVE('',#17813,#17816,#9478,.T.); #22655=EDGE_CURVE('',#17817,#17813,#9479,.T.); #22656=EDGE_CURVE('',#17818,#17816,#9480,.T.); #22657=EDGE_CURVE('',#17817,#17818,#9481,.T.); #22658=EDGE_CURVE('',#17819,#17817,#9482,.T.); #22659=EDGE_CURVE('',#17820,#17818,#9483,.T.); #22660=EDGE_CURVE('',#17819,#17820,#9484,.T.); #22661=EDGE_CURVE('',#17814,#17819,#9485,.T.); #22662=EDGE_CURVE('',#17815,#17820,#9486,.T.); #22663=EDGE_CURVE('',#17821,#17822,#9487,.T.); #22664=EDGE_CURVE('',#17822,#17823,#9488,.T.); #22665=EDGE_CURVE('',#17824,#17823,#9489,.T.); #22666=EDGE_CURVE('',#17821,#17824,#9490,.T.); #22667=EDGE_CURVE('',#17821,#17825,#9491,.T.); #22668=EDGE_CURVE('',#17826,#17824,#9492,.T.); #22669=EDGE_CURVE('',#17825,#17826,#9493,.T.); #22670=EDGE_CURVE('',#17825,#17827,#9494,.T.); #22671=EDGE_CURVE('',#17828,#17826,#9495,.T.); #22672=EDGE_CURVE('',#17827,#17828,#9496,.T.); #22673=EDGE_CURVE('',#17827,#17829,#9497,.T.); #22674=EDGE_CURVE('',#17830,#17828,#9498,.T.); #22675=EDGE_CURVE('',#17829,#17830,#9499,.T.); #22676=EDGE_CURVE('',#17831,#17829,#9500,.T.); #22677=EDGE_CURVE('',#17832,#17830,#9501,.T.); #22678=EDGE_CURVE('',#17831,#17832,#9502,.T.); #22679=EDGE_CURVE('',#17833,#17831,#9503,.T.); #22680=EDGE_CURVE('',#17834,#17832,#9504,.T.); #22681=EDGE_CURVE('',#17833,#17834,#9505,.T.); #22682=EDGE_CURVE('',#17833,#17835,#9506,.T.); #22683=EDGE_CURVE('',#17836,#17834,#9507,.T.); #22684=EDGE_CURVE('',#17835,#17836,#9508,.T.); #22685=EDGE_CURVE('',#17835,#17837,#9509,.T.); #22686=EDGE_CURVE('',#17838,#17836,#9510,.T.); #22687=EDGE_CURVE('',#17837,#17838,#9511,.T.); #22688=EDGE_CURVE('',#17837,#17839,#9512,.T.); #22689=EDGE_CURVE('',#17840,#17838,#9513,.T.); #22690=EDGE_CURVE('',#17839,#17840,#9514,.T.); #22691=EDGE_CURVE('',#17839,#17841,#9515,.T.); #22692=EDGE_CURVE('',#17842,#17840,#9516,.T.); #22693=EDGE_CURVE('',#17841,#17842,#9517,.T.); #22694=EDGE_CURVE('',#17841,#17843,#9518,.T.); #22695=EDGE_CURVE('',#17844,#17842,#9519,.T.); #22696=EDGE_CURVE('',#17843,#17844,#9520,.T.); #22697=EDGE_CURVE('',#17843,#17845,#9521,.T.); #22698=EDGE_CURVE('',#17846,#17844,#9522,.T.); #22699=EDGE_CURVE('',#17845,#17846,#9523,.T.); #22700=EDGE_CURVE('',#17845,#17847,#9524,.T.); #22701=EDGE_CURVE('',#17848,#17846,#9525,.T.); #22702=EDGE_CURVE('',#17847,#17848,#9526,.T.); #22703=EDGE_CURVE('',#17849,#17847,#9527,.T.); #22704=EDGE_CURVE('',#17850,#17848,#9528,.T.); #22705=EDGE_CURVE('',#17849,#17850,#9529,.T.); #22706=EDGE_CURVE('',#17849,#17851,#9530,.T.); #22707=EDGE_CURVE('',#17852,#17850,#9531,.T.); #22708=EDGE_CURVE('',#17851,#17852,#9532,.T.); #22709=EDGE_CURVE('',#17851,#17853,#9533,.T.); #22710=EDGE_CURVE('',#17854,#17852,#9534,.T.); #22711=EDGE_CURVE('',#17853,#17854,#9535,.T.); #22712=EDGE_CURVE('',#17853,#17855,#9536,.T.); #22713=EDGE_CURVE('',#17856,#17854,#9537,.T.); #22714=EDGE_CURVE('',#17855,#17856,#9538,.T.); #22715=EDGE_CURVE('',#17857,#17855,#9539,.T.); #22716=EDGE_CURVE('',#17858,#17856,#9540,.T.); #22717=EDGE_CURVE('',#17857,#17858,#9541,.T.); #22718=EDGE_CURVE('',#17859,#17857,#9542,.T.); #22719=EDGE_CURVE('',#17860,#17858,#9543,.T.); #22720=EDGE_CURVE('',#17859,#17860,#9544,.T.); #22721=EDGE_CURVE('',#17861,#17859,#9545,.T.); #22722=EDGE_CURVE('',#17862,#17860,#9546,.T.); #22723=EDGE_CURVE('',#17861,#17862,#9547,.T.); #22724=EDGE_CURVE('',#17861,#17863,#9548,.T.); #22725=EDGE_CURVE('',#17864,#17862,#9549,.T.); #22726=EDGE_CURVE('',#17863,#17864,#9550,.T.); #22727=EDGE_CURVE('',#17863,#17865,#9551,.T.); #22728=EDGE_CURVE('',#17866,#17864,#9552,.T.); #22729=EDGE_CURVE('',#17865,#17866,#9553,.T.); #22730=EDGE_CURVE('',#17865,#17867,#9554,.T.); #22731=EDGE_CURVE('',#17868,#17866,#9555,.T.); #22732=EDGE_CURVE('',#17867,#17868,#9556,.T.); #22733=EDGE_CURVE('',#17867,#17869,#9557,.T.); #22734=EDGE_CURVE('',#17870,#17868,#9558,.T.); #22735=EDGE_CURVE('',#17869,#17870,#9559,.T.); #22736=EDGE_CURVE('',#17871,#17869,#9560,.T.); #22737=EDGE_CURVE('',#17872,#17870,#9561,.T.); #22738=EDGE_CURVE('',#17871,#17872,#9562,.T.); #22739=EDGE_CURVE('',#17873,#17871,#9563,.T.); #22740=EDGE_CURVE('',#17874,#17872,#9564,.T.); #22741=EDGE_CURVE('',#17873,#17874,#9565,.T.); #22742=EDGE_CURVE('',#17875,#17873,#9566,.T.); #22743=EDGE_CURVE('',#17876,#17874,#9567,.T.); #22744=EDGE_CURVE('',#17875,#17876,#9568,.T.); #22745=EDGE_CURVE('',#17875,#17877,#9569,.T.); #22746=EDGE_CURVE('',#17878,#17876,#9570,.T.); #22747=EDGE_CURVE('',#17877,#17878,#9571,.T.); #22748=EDGE_CURVE('',#17879,#17877,#9572,.T.); #22749=EDGE_CURVE('',#17880,#17878,#9573,.T.); #22750=EDGE_CURVE('',#17879,#17880,#9574,.T.); #22751=EDGE_CURVE('',#17881,#17879,#9575,.T.); #22752=EDGE_CURVE('',#17882,#17880,#9576,.T.); #22753=EDGE_CURVE('',#17881,#17882,#9577,.T.); #22754=EDGE_CURVE('',#17881,#17883,#9578,.T.); #22755=EDGE_CURVE('',#17884,#17882,#9579,.T.); #22756=EDGE_CURVE('',#17883,#17884,#9580,.T.); #22757=EDGE_CURVE('',#17883,#17885,#9581,.T.); #22758=EDGE_CURVE('',#17886,#17884,#9582,.T.); #22759=EDGE_CURVE('',#17885,#17886,#9583,.T.); #22760=EDGE_CURVE('',#17885,#17887,#9584,.T.); #22761=EDGE_CURVE('',#17888,#17886,#9585,.T.); #22762=EDGE_CURVE('',#17887,#17888,#9586,.T.); #22763=EDGE_CURVE('',#17887,#17889,#9587,.T.); #22764=EDGE_CURVE('',#17890,#17888,#9588,.T.); #22765=EDGE_CURVE('',#17889,#17890,#9589,.T.); #22766=EDGE_CURVE('',#17891,#17889,#9590,.T.); #22767=EDGE_CURVE('',#17892,#17890,#9591,.T.); #22768=EDGE_CURVE('',#17891,#17892,#9592,.T.); #22769=EDGE_CURVE('',#17822,#17891,#9593,.T.); #22770=EDGE_CURVE('',#17823,#17892,#9594,.T.); #22771=EDGE_CURVE('',#17893,#17894,#9595,.T.); #22772=EDGE_CURVE('',#17894,#17895,#9596,.T.); #22773=EDGE_CURVE('',#17896,#17895,#9597,.T.); #22774=EDGE_CURVE('',#17893,#17896,#9598,.T.); #22775=EDGE_CURVE('',#17897,#17893,#9599,.T.); #22776=EDGE_CURVE('',#17898,#17896,#9600,.T.); #22777=EDGE_CURVE('',#17897,#17898,#9601,.T.); #22778=EDGE_CURVE('',#17899,#17897,#9602,.T.); #22779=EDGE_CURVE('',#17900,#17898,#9603,.T.); #22780=EDGE_CURVE('',#17899,#17900,#9604,.T.); #22781=EDGE_CURVE('',#17894,#17899,#9605,.T.); #22782=EDGE_CURVE('',#17895,#17900,#9606,.T.); #22783=EDGE_CURVE('',#17901,#17902,#9607,.T.); #22784=EDGE_CURVE('',#17902,#17903,#9608,.T.); #22785=EDGE_CURVE('',#17904,#17903,#9609,.T.); #22786=EDGE_CURVE('',#17901,#17904,#9610,.T.); #22787=EDGE_CURVE('',#17905,#17901,#9611,.T.); #22788=EDGE_CURVE('',#17906,#17904,#9612,.T.); #22789=EDGE_CURVE('',#17905,#17906,#9613,.T.); #22790=EDGE_CURVE('',#17907,#17905,#9614,.T.); #22791=EDGE_CURVE('',#17908,#17906,#9615,.T.); #22792=EDGE_CURVE('',#17907,#17908,#9616,.T.); #22793=EDGE_CURVE('',#17902,#17907,#9617,.T.); #22794=EDGE_CURVE('',#17903,#17908,#9618,.T.); #22795=EDGE_CURVE('',#17909,#17910,#9619,.T.); #22796=EDGE_CURVE('',#17909,#17911,#9620,.T.); #22797=EDGE_CURVE('',#17912,#17911,#9621,.T.); #22798=EDGE_CURVE('',#17910,#17912,#9622,.T.); #22799=EDGE_CURVE('',#17910,#17913,#14725,.T.); #22800=EDGE_CURVE('',#17914,#17912,#14726,.T.); #22801=EDGE_CURVE('',#17913,#17914,#9623,.T.); #22802=EDGE_CURVE('',#17913,#17915,#9624,.T.); #22803=EDGE_CURVE('',#17916,#17914,#9625,.T.); #22804=EDGE_CURVE('',#17915,#17916,#9626,.T.); #22805=EDGE_CURVE('',#17915,#17917,#14727,.T.); #22806=EDGE_CURVE('',#17918,#17916,#14728,.T.); #22807=EDGE_CURVE('',#17917,#17918,#9627,.T.); #22808=EDGE_CURVE('',#17917,#17919,#9628,.T.); #22809=EDGE_CURVE('',#17920,#17918,#9629,.T.); #22810=EDGE_CURVE('',#17919,#17920,#9630,.T.); #22811=EDGE_CURVE('',#17921,#17919,#14729,.T.); #22812=EDGE_CURVE('',#17922,#17920,#14730,.T.); #22813=EDGE_CURVE('',#17921,#17922,#9631,.T.); #22814=EDGE_CURVE('',#17921,#17923,#9632,.T.); #22815=EDGE_CURVE('',#17924,#17922,#9633,.T.); #22816=EDGE_CURVE('',#17923,#17924,#9634,.T.); #22817=EDGE_CURVE('',#17923,#17925,#9635,.T.); #22818=EDGE_CURVE('',#17926,#17924,#9636,.T.); #22819=EDGE_CURVE('',#17925,#17926,#9637,.T.); #22820=EDGE_CURVE('',#17925,#17927,#9638,.T.); #22821=EDGE_CURVE('',#17928,#17926,#9639,.T.); #22822=EDGE_CURVE('',#17927,#17928,#9640,.T.); #22823=EDGE_CURVE('',#17927,#17929,#9641,.T.); #22824=EDGE_CURVE('',#17930,#17928,#9642,.T.); #22825=EDGE_CURVE('',#17929,#17930,#9643,.T.); #22826=EDGE_CURVE('',#17931,#17929,#14731,.T.); #22827=EDGE_CURVE('',#17932,#17930,#14732,.T.); #22828=EDGE_CURVE('',#17931,#17932,#9644,.T.); #22829=EDGE_CURVE('',#17931,#17933,#9645,.T.); #22830=EDGE_CURVE('',#17934,#17932,#9646,.T.); #22831=EDGE_CURVE('',#17933,#17934,#9647,.T.); #22832=EDGE_CURVE('',#17935,#17933,#14733,.T.); #22833=EDGE_CURVE('',#17936,#17934,#14734,.T.); #22834=EDGE_CURVE('',#17935,#17936,#9648,.T.); #22835=EDGE_CURVE('',#17937,#17935,#9649,.T.); #22836=EDGE_CURVE('',#17938,#17936,#9650,.T.); #22837=EDGE_CURVE('',#17937,#17938,#9651,.T.); #22838=EDGE_CURVE('',#17937,#17939,#9652,.T.); #22839=EDGE_CURVE('',#17940,#17938,#9653,.T.); #22840=EDGE_CURVE('',#17939,#17940,#9654,.T.); #22841=EDGE_CURVE('',#17939,#17941,#9655,.T.); #22842=EDGE_CURVE('',#17942,#17940,#9656,.T.); #22843=EDGE_CURVE('',#17941,#17942,#9657,.T.); #22844=EDGE_CURVE('',#17941,#17943,#9658,.T.); #22845=EDGE_CURVE('',#17944,#17942,#9659,.T.); #22846=EDGE_CURVE('',#17943,#17944,#9660,.T.); #22847=EDGE_CURVE('',#17909,#17943,#14735,.T.); #22848=EDGE_CURVE('',#17911,#17944,#14736,.T.); #22849=EDGE_CURVE('',#17945,#17945,#14737,.T.); #22850=EDGE_CURVE('',#17945,#17946,#9661,.T.); #22851=EDGE_CURVE('',#17946,#17946,#14738,.T.); #22852=EDGE_CURVE('',#17947,#17948,#9662,.T.); #22853=EDGE_CURVE('',#17947,#17949,#9663,.T.); #22854=EDGE_CURVE('',#17950,#17949,#9664,.T.); #22855=EDGE_CURVE('',#17948,#17950,#9665,.T.); #22856=EDGE_CURVE('',#17948,#17951,#9666,.T.); #22857=EDGE_CURVE('',#17952,#17950,#9667,.T.); #22858=EDGE_CURVE('',#17951,#17952,#9668,.T.); #22859=EDGE_CURVE('',#17953,#17951,#9669,.T.); #22860=EDGE_CURVE('',#17954,#17952,#9670,.T.); #22861=EDGE_CURVE('',#17953,#17954,#9671,.T.); #22862=EDGE_CURVE('',#17955,#17953,#9672,.T.); #22863=EDGE_CURVE('',#17956,#17954,#9673,.T.); #22864=EDGE_CURVE('',#17955,#17956,#9674,.T.); #22865=EDGE_CURVE('',#17957,#17955,#9675,.T.); #22866=EDGE_CURVE('',#17958,#17956,#9676,.T.); #22867=EDGE_CURVE('',#17957,#17958,#9677,.T.); #22868=EDGE_CURVE('',#17959,#17957,#9678,.T.); #22869=EDGE_CURVE('',#17960,#17958,#9679,.T.); #22870=EDGE_CURVE('',#17959,#17960,#9680,.T.); #22871=EDGE_CURVE('',#17961,#17959,#9681,.T.); #22872=EDGE_CURVE('',#17962,#17960,#9682,.T.); #22873=EDGE_CURVE('',#17961,#17962,#9683,.T.); #22874=EDGE_CURVE('',#17963,#17961,#9684,.T.); #22875=EDGE_CURVE('',#17964,#17962,#9685,.T.); #22876=EDGE_CURVE('',#17963,#17964,#9686,.T.); #22877=EDGE_CURVE('',#17965,#17963,#9687,.T.); #22878=EDGE_CURVE('',#17966,#17964,#9688,.T.); #22879=EDGE_CURVE('',#17965,#17966,#9689,.T.); #22880=EDGE_CURVE('',#17967,#17965,#9690,.T.); #22881=EDGE_CURVE('',#17968,#17966,#9691,.T.); #22882=EDGE_CURVE('',#17967,#17968,#9692,.T.); #22883=EDGE_CURVE('',#17969,#17967,#9693,.T.); #22884=EDGE_CURVE('',#17970,#17968,#9694,.T.); #22885=EDGE_CURVE('',#17969,#17970,#9695,.T.); #22886=EDGE_CURVE('',#17947,#17969,#9696,.T.); #22887=EDGE_CURVE('',#17949,#17970,#9697,.T.); #22888=EDGE_CURVE('',#17971,#17972,#9698,.T.); #22889=EDGE_CURVE('',#17972,#17973,#9699,.T.); #22890=EDGE_CURVE('',#17974,#17973,#9700,.T.); #22891=EDGE_CURVE('',#17971,#17974,#9701,.T.); #22892=EDGE_CURVE('',#17975,#17971,#9702,.T.); #22893=EDGE_CURVE('',#17976,#17974,#9703,.T.); #22894=EDGE_CURVE('',#17975,#17976,#9704,.T.); #22895=EDGE_CURVE('',#17977,#17975,#9705,.T.); #22896=EDGE_CURVE('',#17978,#17976,#9706,.T.); #22897=EDGE_CURVE('',#17977,#17978,#9707,.T.); #22898=EDGE_CURVE('',#17972,#17977,#9708,.T.); #22899=EDGE_CURVE('',#17973,#17978,#9709,.T.); #22900=EDGE_CURVE('',#17979,#17980,#9710,.T.); #22901=EDGE_CURVE('',#17980,#17981,#9711,.T.); #22902=EDGE_CURVE('',#17982,#17981,#9712,.T.); #22903=EDGE_CURVE('',#17979,#17982,#9713,.T.); #22904=EDGE_CURVE('',#17983,#17979,#9714,.T.); #22905=EDGE_CURVE('',#17984,#17982,#9715,.T.); #22906=EDGE_CURVE('',#17983,#17984,#9716,.T.); #22907=EDGE_CURVE('',#17985,#17983,#9717,.T.); #22908=EDGE_CURVE('',#17986,#17984,#9718,.T.); #22909=EDGE_CURVE('',#17985,#17986,#9719,.T.); #22910=EDGE_CURVE('',#17980,#17985,#9720,.T.); #22911=EDGE_CURVE('',#17981,#17986,#9721,.T.); #22912=EDGE_CURVE('',#17987,#17988,#9722,.T.); #22913=EDGE_CURVE('',#17987,#17989,#9723,.T.); #22914=EDGE_CURVE('',#17990,#17989,#9724,.T.); #22915=EDGE_CURVE('',#17988,#17990,#9725,.T.); #22916=EDGE_CURVE('',#17988,#17991,#14739,.T.); #22917=EDGE_CURVE('',#17992,#17990,#14740,.T.); #22918=EDGE_CURVE('',#17991,#17992,#9726,.T.); #22919=EDGE_CURVE('',#17991,#17993,#9727,.T.); #22920=EDGE_CURVE('',#17994,#17992,#9728,.T.); #22921=EDGE_CURVE('',#17993,#17994,#9729,.T.); #22922=EDGE_CURVE('',#17993,#17995,#14741,.T.); #22923=EDGE_CURVE('',#17996,#17994,#14742,.T.); #22924=EDGE_CURVE('',#17995,#17996,#9730,.T.); #22925=EDGE_CURVE('',#17995,#17997,#9731,.T.); #22926=EDGE_CURVE('',#17998,#17996,#9732,.T.); #22927=EDGE_CURVE('',#17997,#17998,#9733,.T.); #22928=EDGE_CURVE('',#17999,#17997,#14743,.T.); #22929=EDGE_CURVE('',#18000,#17998,#14744,.T.); #22930=EDGE_CURVE('',#17999,#18000,#9734,.T.); #22931=EDGE_CURVE('',#17999,#18001,#9735,.T.); #22932=EDGE_CURVE('',#18002,#18000,#9736,.T.); #22933=EDGE_CURVE('',#18001,#18002,#9737,.T.); #22934=EDGE_CURVE('',#18001,#18003,#9738,.T.); #22935=EDGE_CURVE('',#18004,#18002,#9739,.T.); #22936=EDGE_CURVE('',#18003,#18004,#9740,.T.); #22937=EDGE_CURVE('',#18003,#18005,#9741,.T.); #22938=EDGE_CURVE('',#18006,#18004,#9742,.T.); #22939=EDGE_CURVE('',#18005,#18006,#9743,.T.); #22940=EDGE_CURVE('',#18005,#18007,#9744,.T.); #22941=EDGE_CURVE('',#18008,#18006,#9745,.T.); #22942=EDGE_CURVE('',#18007,#18008,#9746,.T.); #22943=EDGE_CURVE('',#18009,#18007,#14745,.T.); #22944=EDGE_CURVE('',#18010,#18008,#14746,.T.); #22945=EDGE_CURVE('',#18009,#18010,#9747,.T.); #22946=EDGE_CURVE('',#18009,#18011,#9748,.T.); #22947=EDGE_CURVE('',#18012,#18010,#9749,.T.); #22948=EDGE_CURVE('',#18011,#18012,#9750,.T.); #22949=EDGE_CURVE('',#18013,#18011,#14747,.T.); #22950=EDGE_CURVE('',#18014,#18012,#14748,.T.); #22951=EDGE_CURVE('',#18013,#18014,#9751,.T.); #22952=EDGE_CURVE('',#18013,#18015,#9752,.T.); #22953=EDGE_CURVE('',#18016,#18014,#9753,.T.); #22954=EDGE_CURVE('',#18015,#18016,#9754,.T.); #22955=EDGE_CURVE('',#18015,#18017,#9755,.T.); #22956=EDGE_CURVE('',#18018,#18016,#9756,.T.); #22957=EDGE_CURVE('',#18017,#18018,#9757,.T.); #22958=EDGE_CURVE('',#18017,#18019,#9758,.T.); #22959=EDGE_CURVE('',#18020,#18018,#9759,.T.); #22960=EDGE_CURVE('',#18019,#18020,#9760,.T.); #22961=EDGE_CURVE('',#18019,#18021,#9761,.T.); #22962=EDGE_CURVE('',#18022,#18020,#9762,.T.); #22963=EDGE_CURVE('',#18021,#18022,#9763,.T.); #22964=EDGE_CURVE('',#17987,#18021,#14749,.T.); #22965=EDGE_CURVE('',#17989,#18022,#14750,.T.); #22966=EDGE_CURVE('',#18023,#18023,#14751,.T.); #22967=EDGE_CURVE('',#18023,#18024,#9764,.T.); #22968=EDGE_CURVE('',#18024,#18024,#14752,.T.); #22969=EDGE_CURVE('',#18025,#18026,#9765,.T.); #22970=EDGE_CURVE('',#18026,#18027,#9766,.T.); #22971=EDGE_CURVE('',#18028,#18027,#9767,.T.); #22972=EDGE_CURVE('',#18025,#18028,#9768,.T.); #22973=EDGE_CURVE('',#18029,#18025,#9769,.T.); #22974=EDGE_CURVE('',#18030,#18028,#9770,.T.); #22975=EDGE_CURVE('',#18029,#18030,#9771,.T.); #22976=EDGE_CURVE('',#18031,#18029,#9772,.T.); #22977=EDGE_CURVE('',#18032,#18030,#9773,.T.); #22978=EDGE_CURVE('',#18031,#18032,#9774,.T.); #22979=EDGE_CURVE('',#18033,#18031,#9775,.T.); #22980=EDGE_CURVE('',#18034,#18032,#9776,.T.); #22981=EDGE_CURVE('',#18033,#18034,#9777,.T.); #22982=EDGE_CURVE('',#18035,#18033,#9778,.T.); #22983=EDGE_CURVE('',#18036,#18034,#9779,.T.); #22984=EDGE_CURVE('',#18035,#18036,#9780,.T.); #22985=EDGE_CURVE('',#18037,#18035,#9781,.T.); #22986=EDGE_CURVE('',#18038,#18036,#9782,.T.); #22987=EDGE_CURVE('',#18037,#18038,#9783,.T.); #22988=EDGE_CURVE('',#18039,#18037,#9784,.T.); #22989=EDGE_CURVE('',#18040,#18038,#9785,.T.); #22990=EDGE_CURVE('',#18039,#18040,#9786,.T.); #22991=EDGE_CURVE('',#18041,#18039,#9787,.T.); #22992=EDGE_CURVE('',#18042,#18040,#9788,.T.); #22993=EDGE_CURVE('',#18041,#18042,#9789,.T.); #22994=EDGE_CURVE('',#18043,#18041,#9790,.T.); #22995=EDGE_CURVE('',#18044,#18042,#9791,.T.); #22996=EDGE_CURVE('',#18043,#18044,#9792,.T.); #22997=EDGE_CURVE('',#18045,#18043,#9793,.T.); #22998=EDGE_CURVE('',#18046,#18044,#9794,.T.); #22999=EDGE_CURVE('',#18045,#18046,#9795,.T.); #23000=EDGE_CURVE('',#18047,#18045,#9796,.T.); #23001=EDGE_CURVE('',#18048,#18046,#9797,.T.); #23002=EDGE_CURVE('',#18047,#18048,#9798,.T.); #23003=EDGE_CURVE('',#18026,#18047,#9799,.T.); #23004=EDGE_CURVE('',#18027,#18048,#9800,.T.); #23005=ORIENTED_EDGE('',*,*,#18049,.F.); #23006=ORIENTED_EDGE('',*,*,#18050,.T.); #23007=ORIENTED_EDGE('',*,*,#18051,.F.); #23008=ORIENTED_EDGE('',*,*,#18050,.F.); #23009=ORIENTED_EDGE('',*,*,#18052,.F.); #23010=ORIENTED_EDGE('',*,*,#18053,.T.); #23011=ORIENTED_EDGE('',*,*,#18054,.F.); #23012=ORIENTED_EDGE('',*,*,#18055,.F.); #23013=ORIENTED_EDGE('',*,*,#18056,.T.); #23014=ORIENTED_EDGE('',*,*,#18055,.T.); #23015=ORIENTED_EDGE('',*,*,#18057,.F.); #23016=ORIENTED_EDGE('',*,*,#18058,.F.); #23017=ORIENTED_EDGE('',*,*,#18059,.T.); #23018=ORIENTED_EDGE('',*,*,#18058,.T.); #23019=ORIENTED_EDGE('',*,*,#18060,.F.); #23020=ORIENTED_EDGE('',*,*,#18061,.F.); #23021=ORIENTED_EDGE('',*,*,#18062,.T.); #23022=ORIENTED_EDGE('',*,*,#18061,.T.); #23023=ORIENTED_EDGE('',*,*,#18063,.F.); #23024=ORIENTED_EDGE('',*,*,#18064,.F.); #23025=ORIENTED_EDGE('',*,*,#18065,.T.); #23026=ORIENTED_EDGE('',*,*,#18064,.T.); #23027=ORIENTED_EDGE('',*,*,#18066,.F.); #23028=ORIENTED_EDGE('',*,*,#18067,.F.); #23029=ORIENTED_EDGE('',*,*,#18068,.T.); #23030=ORIENTED_EDGE('',*,*,#18067,.T.); #23031=ORIENTED_EDGE('',*,*,#18069,.F.); #23032=ORIENTED_EDGE('',*,*,#18070,.F.); #23033=ORIENTED_EDGE('',*,*,#18071,.T.); #23034=ORIENTED_EDGE('',*,*,#18070,.T.); #23035=ORIENTED_EDGE('',*,*,#18072,.F.); #23036=ORIENTED_EDGE('',*,*,#18073,.F.); #23037=ORIENTED_EDGE('',*,*,#18074,.F.); #23038=ORIENTED_EDGE('',*,*,#18073,.T.); #23039=ORIENTED_EDGE('',*,*,#18075,.F.); #23040=ORIENTED_EDGE('',*,*,#18053,.F.); #23041=ORIENTED_EDGE('',*,*,#18074,.T.); #23042=ORIENTED_EDGE('',*,*,#18052,.T.); #23043=ORIENTED_EDGE('',*,*,#18056,.F.); #23044=ORIENTED_EDGE('',*,*,#18059,.F.); #23045=ORIENTED_EDGE('',*,*,#18062,.F.); #23046=ORIENTED_EDGE('',*,*,#18065,.F.); #23047=ORIENTED_EDGE('',*,*,#18068,.F.); #23048=ORIENTED_EDGE('',*,*,#18071,.F.); #23049=ORIENTED_EDGE('',*,*,#18051,.T.); #23050=ORIENTED_EDGE('',*,*,#18075,.T.); #23051=ORIENTED_EDGE('',*,*,#18072,.T.); #23052=ORIENTED_EDGE('',*,*,#18069,.T.); #23053=ORIENTED_EDGE('',*,*,#18066,.T.); #23054=ORIENTED_EDGE('',*,*,#18063,.T.); #23055=ORIENTED_EDGE('',*,*,#18060,.T.); #23056=ORIENTED_EDGE('',*,*,#18057,.T.); #23057=ORIENTED_EDGE('',*,*,#18054,.T.); #23058=ORIENTED_EDGE('',*,*,#18049,.T.); #23059=ORIENTED_EDGE('',*,*,#18076,.T.); #23060=ORIENTED_EDGE('',*,*,#18077,.T.); #23061=ORIENTED_EDGE('',*,*,#18078,.T.); #23062=ORIENTED_EDGE('',*,*,#18077,.F.); #23063=ORIENTED_EDGE('',*,*,#18079,.T.); #23064=ORIENTED_EDGE('',*,*,#18080,.T.); #23065=ORIENTED_EDGE('',*,*,#18081,.T.); #23066=ORIENTED_EDGE('',*,*,#18082,.F.); #23067=ORIENTED_EDGE('',*,*,#18083,.F.); #23068=ORIENTED_EDGE('',*,*,#18084,.T.); #23069=ORIENTED_EDGE('',*,*,#18085,.T.); #23070=ORIENTED_EDGE('',*,*,#18080,.F.); #23071=ORIENTED_EDGE('',*,*,#18086,.F.); #23072=ORIENTED_EDGE('',*,*,#18087,.T.); #23073=ORIENTED_EDGE('',*,*,#18088,.T.); #23074=ORIENTED_EDGE('',*,*,#18084,.F.); #23075=ORIENTED_EDGE('',*,*,#18089,.F.); #23076=ORIENTED_EDGE('',*,*,#18090,.T.); #23077=ORIENTED_EDGE('',*,*,#18091,.T.); #23078=ORIENTED_EDGE('',*,*,#18087,.F.); #23079=ORIENTED_EDGE('',*,*,#18092,.F.); #23080=ORIENTED_EDGE('',*,*,#18093,.T.); #23081=ORIENTED_EDGE('',*,*,#18094,.T.); #23082=ORIENTED_EDGE('',*,*,#18090,.F.); #23083=ORIENTED_EDGE('',*,*,#18095,.F.); #23084=ORIENTED_EDGE('',*,*,#18096,.T.); #23085=ORIENTED_EDGE('',*,*,#18097,.T.); #23086=ORIENTED_EDGE('',*,*,#18093,.F.); #23087=ORIENTED_EDGE('',*,*,#18098,.F.); #23088=ORIENTED_EDGE('',*,*,#18099,.T.); #23089=ORIENTED_EDGE('',*,*,#18100,.T.); #23090=ORIENTED_EDGE('',*,*,#18096,.F.); #23091=ORIENTED_EDGE('',*,*,#18101,.T.); #23092=ORIENTED_EDGE('',*,*,#18082,.T.); #23093=ORIENTED_EDGE('',*,*,#18102,.T.); #23094=ORIENTED_EDGE('',*,*,#18099,.F.); #23095=ORIENTED_EDGE('',*,*,#18101,.F.); #23096=ORIENTED_EDGE('',*,*,#18098,.T.); #23097=ORIENTED_EDGE('',*,*,#18095,.T.); #23098=ORIENTED_EDGE('',*,*,#18092,.T.); #23099=ORIENTED_EDGE('',*,*,#18089,.T.); #23100=ORIENTED_EDGE('',*,*,#18086,.T.); #23101=ORIENTED_EDGE('',*,*,#18083,.T.); #23102=ORIENTED_EDGE('',*,*,#18079,.F.); #23103=ORIENTED_EDGE('',*,*,#18078,.F.); #23104=ORIENTED_EDGE('',*,*,#18102,.F.); #23105=ORIENTED_EDGE('',*,*,#18081,.F.); #23106=ORIENTED_EDGE('',*,*,#18085,.F.); #23107=ORIENTED_EDGE('',*,*,#18088,.F.); #23108=ORIENTED_EDGE('',*,*,#18091,.F.); #23109=ORIENTED_EDGE('',*,*,#18094,.F.); #23110=ORIENTED_EDGE('',*,*,#18097,.F.); #23111=ORIENTED_EDGE('',*,*,#18100,.F.); #23112=ORIENTED_EDGE('',*,*,#18076,.F.); #23113=ORIENTED_EDGE('',*,*,#18103,.T.); #23114=ORIENTED_EDGE('',*,*,#18104,.T.); #23115=ORIENTED_EDGE('',*,*,#18105,.F.); #23116=ORIENTED_EDGE('',*,*,#18106,.T.); #23117=ORIENTED_EDGE('',*,*,#18104,.F.); #23118=ORIENTED_EDGE('',*,*,#18106,.F.); #23119=ORIENTED_EDGE('',*,*,#18107,.F.); #23120=ORIENTED_EDGE('',*,*,#18108,.T.); #23121=ORIENTED_EDGE('',*,*,#18103,.F.); #23122=ORIENTED_EDGE('',*,*,#18108,.F.); #23123=ORIENTED_EDGE('',*,*,#18107,.T.); #23124=ORIENTED_EDGE('',*,*,#18105,.T.); #23125=ORIENTED_EDGE('',*,*,#18109,.T.); #23126=ORIENTED_EDGE('',*,*,#18110,.T.); #23127=ORIENTED_EDGE('',*,*,#18111,.T.); #23128=ORIENTED_EDGE('',*,*,#18112,.T.); #23129=ORIENTED_EDGE('',*,*,#18113,.F.); #23130=ORIENTED_EDGE('',*,*,#18114,.T.); #23131=ORIENTED_EDGE('',*,*,#18115,.T.); #23132=ORIENTED_EDGE('',*,*,#18114,.F.); #23133=ORIENTED_EDGE('',*,*,#18116,.T.); #23134=ORIENTED_EDGE('',*,*,#18117,.T.); #23135=ORIENTED_EDGE('',*,*,#18118,.F.); #23136=ORIENTED_EDGE('',*,*,#18119,.F.); #23137=ORIENTED_EDGE('',*,*,#18120,.F.); #23138=ORIENTED_EDGE('',*,*,#18119,.T.); #23139=ORIENTED_EDGE('',*,*,#18121,.F.); #23140=ORIENTED_EDGE('',*,*,#18122,.F.); #23141=ORIENTED_EDGE('',*,*,#18123,.T.); #23142=ORIENTED_EDGE('',*,*,#18122,.T.); #23143=ORIENTED_EDGE('',*,*,#18124,.F.); #23144=ORIENTED_EDGE('',*,*,#18125,.F.); #23145=ORIENTED_EDGE('',*,*,#18126,.T.); #23146=ORIENTED_EDGE('',*,*,#18125,.T.); #23147=ORIENTED_EDGE('',*,*,#18127,.F.); #23148=ORIENTED_EDGE('',*,*,#18128,.F.); #23149=ORIENTED_EDGE('',*,*,#18129,.T.); #23150=ORIENTED_EDGE('',*,*,#18128,.T.); #23151=ORIENTED_EDGE('',*,*,#18130,.F.); #23152=ORIENTED_EDGE('',*,*,#18131,.F.); #23153=ORIENTED_EDGE('',*,*,#18132,.T.); #23154=ORIENTED_EDGE('',*,*,#18131,.T.); #23155=ORIENTED_EDGE('',*,*,#18133,.F.); #23156=ORIENTED_EDGE('',*,*,#18134,.F.); #23157=ORIENTED_EDGE('',*,*,#18135,.T.); #23158=ORIENTED_EDGE('',*,*,#18134,.T.); #23159=ORIENTED_EDGE('',*,*,#18136,.F.); #23160=ORIENTED_EDGE('',*,*,#18137,.F.); #23161=ORIENTED_EDGE('',*,*,#18138,.T.); #23162=ORIENTED_EDGE('',*,*,#18137,.T.); #23163=ORIENTED_EDGE('',*,*,#18139,.F.); #23164=ORIENTED_EDGE('',*,*,#18140,.F.); #23165=ORIENTED_EDGE('',*,*,#18141,.T.); #23166=ORIENTED_EDGE('',*,*,#18140,.T.); #23167=ORIENTED_EDGE('',*,*,#18142,.F.); #23168=ORIENTED_EDGE('',*,*,#18143,.F.); #23169=ORIENTED_EDGE('',*,*,#18144,.T.); #23170=ORIENTED_EDGE('',*,*,#18143,.T.); #23171=ORIENTED_EDGE('',*,*,#18145,.F.); #23172=ORIENTED_EDGE('',*,*,#18146,.F.); #23173=ORIENTED_EDGE('',*,*,#18147,.T.); #23174=ORIENTED_EDGE('',*,*,#18146,.T.); #23175=ORIENTED_EDGE('',*,*,#18148,.F.); #23176=ORIENTED_EDGE('',*,*,#18149,.F.); #23177=ORIENTED_EDGE('',*,*,#18150,.T.); #23178=ORIENTED_EDGE('',*,*,#18149,.T.); #23179=ORIENTED_EDGE('',*,*,#18151,.F.); #23180=ORIENTED_EDGE('',*,*,#18152,.F.); #23181=ORIENTED_EDGE('',*,*,#18153,.T.); #23182=ORIENTED_EDGE('',*,*,#18152,.T.); #23183=ORIENTED_EDGE('',*,*,#18154,.F.); #23184=ORIENTED_EDGE('',*,*,#18155,.F.); #23185=ORIENTED_EDGE('',*,*,#18156,.T.); #23186=ORIENTED_EDGE('',*,*,#18155,.T.); #23187=ORIENTED_EDGE('',*,*,#18157,.F.); #23188=ORIENTED_EDGE('',*,*,#18158,.F.); #23189=ORIENTED_EDGE('',*,*,#18159,.T.); #23190=ORIENTED_EDGE('',*,*,#18158,.T.); #23191=ORIENTED_EDGE('',*,*,#18160,.F.); #23192=ORIENTED_EDGE('',*,*,#18161,.F.); #23193=ORIENTED_EDGE('',*,*,#18162,.F.); #23194=ORIENTED_EDGE('',*,*,#18161,.T.); #23195=ORIENTED_EDGE('',*,*,#18163,.T.); #23196=ORIENTED_EDGE('',*,*,#18110,.F.); #23197=ORIENTED_EDGE('',*,*,#18164,.F.); #23198=ORIENTED_EDGE('',*,*,#18112,.F.); #23199=ORIENTED_EDGE('',*,*,#18165,.F.); #23200=ORIENTED_EDGE('',*,*,#18166,.F.); #23201=ORIENTED_EDGE('',*,*,#18167,.F.); #23202=ORIENTED_EDGE('',*,*,#18166,.T.); #23203=ORIENTED_EDGE('',*,*,#18168,.F.); #23204=ORIENTED_EDGE('',*,*,#18169,.F.); #23205=ORIENTED_EDGE('',*,*,#18170,.F.); #23206=ORIENTED_EDGE('',*,*,#18169,.T.); #23207=ORIENTED_EDGE('',*,*,#18171,.F.); #23208=ORIENTED_EDGE('',*,*,#18172,.F.); #23209=ORIENTED_EDGE('',*,*,#18173,.F.); #23210=ORIENTED_EDGE('',*,*,#18172,.T.); #23211=ORIENTED_EDGE('',*,*,#18174,.F.); #23212=ORIENTED_EDGE('',*,*,#18175,.F.); #23213=ORIENTED_EDGE('',*,*,#18176,.F.); #23214=ORIENTED_EDGE('',*,*,#18175,.T.); #23215=ORIENTED_EDGE('',*,*,#18177,.F.); #23216=ORIENTED_EDGE('',*,*,#18178,.F.); #23217=ORIENTED_EDGE('',*,*,#18179,.F.); #23218=ORIENTED_EDGE('',*,*,#18178,.T.); #23219=ORIENTED_EDGE('',*,*,#18180,.F.); #23220=ORIENTED_EDGE('',*,*,#18181,.F.); #23221=ORIENTED_EDGE('',*,*,#18182,.F.); #23222=ORIENTED_EDGE('',*,*,#18181,.T.); #23223=ORIENTED_EDGE('',*,*,#18183,.F.); #23224=ORIENTED_EDGE('',*,*,#18184,.F.); #23225=ORIENTED_EDGE('',*,*,#18185,.F.); #23226=ORIENTED_EDGE('',*,*,#18184,.T.); #23227=ORIENTED_EDGE('',*,*,#18186,.F.); #23228=ORIENTED_EDGE('',*,*,#18187,.F.); #23229=ORIENTED_EDGE('',*,*,#18188,.F.); #23230=ORIENTED_EDGE('',*,*,#18187,.T.); #23231=ORIENTED_EDGE('',*,*,#18189,.F.); #23232=ORIENTED_EDGE('',*,*,#18190,.F.); #23233=ORIENTED_EDGE('',*,*,#18191,.F.); #23234=ORIENTED_EDGE('',*,*,#18190,.T.); #23235=ORIENTED_EDGE('',*,*,#18192,.F.); #23236=ORIENTED_EDGE('',*,*,#18193,.F.); #23237=ORIENTED_EDGE('',*,*,#18194,.F.); #23238=ORIENTED_EDGE('',*,*,#18193,.T.); #23239=ORIENTED_EDGE('',*,*,#18195,.F.); #23240=ORIENTED_EDGE('',*,*,#18196,.F.); #23241=ORIENTED_EDGE('',*,*,#18197,.F.); #23242=ORIENTED_EDGE('',*,*,#18196,.T.); #23243=ORIENTED_EDGE('',*,*,#18198,.F.); #23244=ORIENTED_EDGE('',*,*,#18199,.F.); #23245=ORIENTED_EDGE('',*,*,#18200,.F.); #23246=ORIENTED_EDGE('',*,*,#18199,.T.); #23247=ORIENTED_EDGE('',*,*,#18201,.F.); #23248=ORIENTED_EDGE('',*,*,#18202,.F.); #23249=ORIENTED_EDGE('',*,*,#18203,.F.); #23250=ORIENTED_EDGE('',*,*,#18202,.T.); #23251=ORIENTED_EDGE('',*,*,#18204,.F.); #23252=ORIENTED_EDGE('',*,*,#18205,.F.); #23253=ORIENTED_EDGE('',*,*,#18206,.T.); #23254=ORIENTED_EDGE('',*,*,#18205,.T.); #23255=ORIENTED_EDGE('',*,*,#18207,.F.); #23256=ORIENTED_EDGE('',*,*,#18208,.F.); #23257=ORIENTED_EDGE('',*,*,#18209,.T.); #23258=ORIENTED_EDGE('',*,*,#18208,.T.); #23259=ORIENTED_EDGE('',*,*,#18210,.F.); #23260=ORIENTED_EDGE('',*,*,#18211,.F.); #23261=ORIENTED_EDGE('',*,*,#18212,.T.); #23262=ORIENTED_EDGE('',*,*,#18211,.T.); #23263=ORIENTED_EDGE('',*,*,#18213,.F.); #23264=ORIENTED_EDGE('',*,*,#18117,.F.); #23265=ORIENTED_EDGE('',*,*,#18213,.T.); #23266=ORIENTED_EDGE('',*,*,#18210,.T.); #23267=ORIENTED_EDGE('',*,*,#18207,.T.); #23268=ORIENTED_EDGE('',*,*,#18204,.T.); #23269=ORIENTED_EDGE('',*,*,#18201,.T.); #23270=ORIENTED_EDGE('',*,*,#18198,.T.); #23271=ORIENTED_EDGE('',*,*,#18195,.T.); #23272=ORIENTED_EDGE('',*,*,#18192,.T.); #23273=ORIENTED_EDGE('',*,*,#18189,.T.); #23274=ORIENTED_EDGE('',*,*,#18186,.T.); #23275=ORIENTED_EDGE('',*,*,#18183,.T.); #23276=ORIENTED_EDGE('',*,*,#18180,.T.); #23277=ORIENTED_EDGE('',*,*,#18177,.T.); #23278=ORIENTED_EDGE('',*,*,#18174,.T.); #23279=ORIENTED_EDGE('',*,*,#18171,.T.); #23280=ORIENTED_EDGE('',*,*,#18168,.T.); #23281=ORIENTED_EDGE('',*,*,#18165,.T.); #23282=ORIENTED_EDGE('',*,*,#18111,.F.); #23283=ORIENTED_EDGE('',*,*,#18163,.F.); #23284=ORIENTED_EDGE('',*,*,#18160,.T.); #23285=ORIENTED_EDGE('',*,*,#18157,.T.); #23286=ORIENTED_EDGE('',*,*,#18154,.T.); #23287=ORIENTED_EDGE('',*,*,#18151,.T.); #23288=ORIENTED_EDGE('',*,*,#18148,.T.); #23289=ORIENTED_EDGE('',*,*,#18145,.T.); #23290=ORIENTED_EDGE('',*,*,#18142,.T.); #23291=ORIENTED_EDGE('',*,*,#18139,.T.); #23292=ORIENTED_EDGE('',*,*,#18136,.T.); #23293=ORIENTED_EDGE('',*,*,#18133,.T.); #23294=ORIENTED_EDGE('',*,*,#18130,.T.); #23295=ORIENTED_EDGE('',*,*,#18127,.T.); #23296=ORIENTED_EDGE('',*,*,#18124,.T.); #23297=ORIENTED_EDGE('',*,*,#18121,.T.); #23298=ORIENTED_EDGE('',*,*,#18118,.T.); #23299=ORIENTED_EDGE('',*,*,#18113,.T.); #23300=ORIENTED_EDGE('',*,*,#18212,.F.); #23301=ORIENTED_EDGE('',*,*,#18116,.F.); #23302=ORIENTED_EDGE('',*,*,#18120,.T.); #23303=ORIENTED_EDGE('',*,*,#18123,.F.); #23304=ORIENTED_EDGE('',*,*,#18126,.F.); #23305=ORIENTED_EDGE('',*,*,#18129,.F.); #23306=ORIENTED_EDGE('',*,*,#18132,.F.); #23307=ORIENTED_EDGE('',*,*,#18135,.F.); #23308=ORIENTED_EDGE('',*,*,#18138,.F.); #23309=ORIENTED_EDGE('',*,*,#18141,.F.); #23310=ORIENTED_EDGE('',*,*,#18144,.F.); #23311=ORIENTED_EDGE('',*,*,#18147,.F.); #23312=ORIENTED_EDGE('',*,*,#18150,.F.); #23313=ORIENTED_EDGE('',*,*,#18153,.F.); #23314=ORIENTED_EDGE('',*,*,#18156,.F.); #23315=ORIENTED_EDGE('',*,*,#18159,.F.); #23316=ORIENTED_EDGE('',*,*,#18162,.T.); #23317=ORIENTED_EDGE('',*,*,#18109,.F.); #23318=ORIENTED_EDGE('',*,*,#18164,.T.); #23319=ORIENTED_EDGE('',*,*,#18167,.T.); #23320=ORIENTED_EDGE('',*,*,#18170,.T.); #23321=ORIENTED_EDGE('',*,*,#18173,.T.); #23322=ORIENTED_EDGE('',*,*,#18176,.T.); #23323=ORIENTED_EDGE('',*,*,#18179,.T.); #23324=ORIENTED_EDGE('',*,*,#18182,.T.); #23325=ORIENTED_EDGE('',*,*,#18185,.T.); #23326=ORIENTED_EDGE('',*,*,#18188,.T.); #23327=ORIENTED_EDGE('',*,*,#18191,.T.); #23328=ORIENTED_EDGE('',*,*,#18194,.T.); #23329=ORIENTED_EDGE('',*,*,#18197,.T.); #23330=ORIENTED_EDGE('',*,*,#18200,.T.); #23331=ORIENTED_EDGE('',*,*,#18203,.T.); #23332=ORIENTED_EDGE('',*,*,#18206,.F.); #23333=ORIENTED_EDGE('',*,*,#18209,.F.); #23334=ORIENTED_EDGE('',*,*,#18115,.F.); #23335=ORIENTED_EDGE('',*,*,#18214,.T.); #23336=ORIENTED_EDGE('',*,*,#18215,.T.); #23337=ORIENTED_EDGE('',*,*,#18216,.F.); #23338=ORIENTED_EDGE('',*,*,#18217,.F.); #23339=ORIENTED_EDGE('',*,*,#18218,.F.); #23340=ORIENTED_EDGE('',*,*,#18217,.T.); #23341=ORIENTED_EDGE('',*,*,#18219,.F.); #23342=ORIENTED_EDGE('',*,*,#18220,.F.); #23343=ORIENTED_EDGE('',*,*,#18221,.T.); #23344=ORIENTED_EDGE('',*,*,#18220,.T.); #23345=ORIENTED_EDGE('',*,*,#18222,.F.); #23346=ORIENTED_EDGE('',*,*,#18223,.F.); #23347=ORIENTED_EDGE('',*,*,#18224,.T.); #23348=ORIENTED_EDGE('',*,*,#18223,.T.); #23349=ORIENTED_EDGE('',*,*,#18225,.F.); #23350=ORIENTED_EDGE('',*,*,#18226,.F.); #23351=ORIENTED_EDGE('',*,*,#18227,.T.); #23352=ORIENTED_EDGE('',*,*,#18226,.T.); #23353=ORIENTED_EDGE('',*,*,#18228,.F.); #23354=ORIENTED_EDGE('',*,*,#18229,.F.); #23355=ORIENTED_EDGE('',*,*,#18230,.T.); #23356=ORIENTED_EDGE('',*,*,#18229,.T.); #23357=ORIENTED_EDGE('',*,*,#18231,.F.); #23358=ORIENTED_EDGE('',*,*,#18232,.F.); #23359=ORIENTED_EDGE('',*,*,#18233,.T.); #23360=ORIENTED_EDGE('',*,*,#18232,.T.); #23361=ORIENTED_EDGE('',*,*,#18234,.F.); #23362=ORIENTED_EDGE('',*,*,#18235,.F.); #23363=ORIENTED_EDGE('',*,*,#18236,.T.); #23364=ORIENTED_EDGE('',*,*,#18235,.T.); #23365=ORIENTED_EDGE('',*,*,#18237,.F.); #23366=ORIENTED_EDGE('',*,*,#18238,.F.); #23367=ORIENTED_EDGE('',*,*,#18239,.T.); #23368=ORIENTED_EDGE('',*,*,#18238,.T.); #23369=ORIENTED_EDGE('',*,*,#18240,.F.); #23370=ORIENTED_EDGE('',*,*,#18241,.F.); #23371=ORIENTED_EDGE('',*,*,#18242,.T.); #23372=ORIENTED_EDGE('',*,*,#18241,.T.); #23373=ORIENTED_EDGE('',*,*,#18243,.F.); #23374=ORIENTED_EDGE('',*,*,#18244,.F.); #23375=ORIENTED_EDGE('',*,*,#18245,.T.); #23376=ORIENTED_EDGE('',*,*,#18244,.T.); #23377=ORIENTED_EDGE('',*,*,#18246,.F.); #23378=ORIENTED_EDGE('',*,*,#18247,.F.); #23379=ORIENTED_EDGE('',*,*,#18248,.T.); #23380=ORIENTED_EDGE('',*,*,#18247,.T.); #23381=ORIENTED_EDGE('',*,*,#18249,.F.); #23382=ORIENTED_EDGE('',*,*,#18250,.F.); #23383=ORIENTED_EDGE('',*,*,#18251,.T.); #23384=ORIENTED_EDGE('',*,*,#18250,.T.); #23385=ORIENTED_EDGE('',*,*,#18252,.F.); #23386=ORIENTED_EDGE('',*,*,#18253,.F.); #23387=ORIENTED_EDGE('',*,*,#18254,.T.); #23388=ORIENTED_EDGE('',*,*,#18253,.T.); #23389=ORIENTED_EDGE('',*,*,#18255,.F.); #23390=ORIENTED_EDGE('',*,*,#18256,.F.); #23391=ORIENTED_EDGE('',*,*,#18257,.F.); #23392=ORIENTED_EDGE('',*,*,#18256,.T.); #23393=ORIENTED_EDGE('',*,*,#18258,.F.); #23394=ORIENTED_EDGE('',*,*,#18259,.F.); #23395=ORIENTED_EDGE('',*,*,#18260,.T.); #23396=ORIENTED_EDGE('',*,*,#18259,.T.); #23397=ORIENTED_EDGE('',*,*,#18261,.F.); #23398=ORIENTED_EDGE('',*,*,#18262,.F.); #23399=ORIENTED_EDGE('',*,*,#18263,.T.); #23400=ORIENTED_EDGE('',*,*,#18262,.T.); #23401=ORIENTED_EDGE('',*,*,#18264,.F.); #23402=ORIENTED_EDGE('',*,*,#18265,.F.); #23403=ORIENTED_EDGE('',*,*,#18266,.T.); #23404=ORIENTED_EDGE('',*,*,#18265,.T.); #23405=ORIENTED_EDGE('',*,*,#18267,.F.); #23406=ORIENTED_EDGE('',*,*,#18215,.F.); #23407=ORIENTED_EDGE('',*,*,#18267,.T.); #23408=ORIENTED_EDGE('',*,*,#18264,.T.); #23409=ORIENTED_EDGE('',*,*,#18261,.T.); #23410=ORIENTED_EDGE('',*,*,#18258,.T.); #23411=ORIENTED_EDGE('',*,*,#18255,.T.); #23412=ORIENTED_EDGE('',*,*,#18252,.T.); #23413=ORIENTED_EDGE('',*,*,#18249,.T.); #23414=ORIENTED_EDGE('',*,*,#18246,.T.); #23415=ORIENTED_EDGE('',*,*,#18243,.T.); #23416=ORIENTED_EDGE('',*,*,#18240,.T.); #23417=ORIENTED_EDGE('',*,*,#18237,.T.); #23418=ORIENTED_EDGE('',*,*,#18234,.T.); #23419=ORIENTED_EDGE('',*,*,#18231,.T.); #23420=ORIENTED_EDGE('',*,*,#18228,.T.); #23421=ORIENTED_EDGE('',*,*,#18225,.T.); #23422=ORIENTED_EDGE('',*,*,#18222,.T.); #23423=ORIENTED_EDGE('',*,*,#18219,.T.); #23424=ORIENTED_EDGE('',*,*,#18216,.T.); #23425=ORIENTED_EDGE('',*,*,#18266,.F.); #23426=ORIENTED_EDGE('',*,*,#18214,.F.); #23427=ORIENTED_EDGE('',*,*,#18218,.T.); #23428=ORIENTED_EDGE('',*,*,#18221,.F.); #23429=ORIENTED_EDGE('',*,*,#18224,.F.); #23430=ORIENTED_EDGE('',*,*,#18227,.F.); #23431=ORIENTED_EDGE('',*,*,#18230,.F.); #23432=ORIENTED_EDGE('',*,*,#18233,.F.); #23433=ORIENTED_EDGE('',*,*,#18236,.F.); #23434=ORIENTED_EDGE('',*,*,#18239,.F.); #23435=ORIENTED_EDGE('',*,*,#18242,.F.); #23436=ORIENTED_EDGE('',*,*,#18245,.F.); #23437=ORIENTED_EDGE('',*,*,#18248,.F.); #23438=ORIENTED_EDGE('',*,*,#18251,.F.); #23439=ORIENTED_EDGE('',*,*,#18254,.F.); #23440=ORIENTED_EDGE('',*,*,#18257,.T.); #23441=ORIENTED_EDGE('',*,*,#18260,.F.); #23442=ORIENTED_EDGE('',*,*,#18263,.F.); #23443=ORIENTED_EDGE('',*,*,#18268,.F.); #23444=ORIENTED_EDGE('',*,*,#18269,.T.); #23445=ORIENTED_EDGE('',*,*,#18270,.F.); #23446=ORIENTED_EDGE('',*,*,#18271,.F.); #23447=ORIENTED_EDGE('',*,*,#18272,.F.); #23448=ORIENTED_EDGE('',*,*,#18271,.T.); #23449=ORIENTED_EDGE('',*,*,#18273,.F.); #23450=ORIENTED_EDGE('',*,*,#18274,.F.); #23451=ORIENTED_EDGE('',*,*,#18275,.F.); #23452=ORIENTED_EDGE('',*,*,#18274,.T.); #23453=ORIENTED_EDGE('',*,*,#18276,.F.); #23454=ORIENTED_EDGE('',*,*,#18277,.F.); #23455=ORIENTED_EDGE('',*,*,#18278,.F.); #23456=ORIENTED_EDGE('',*,*,#18277,.T.); #23457=ORIENTED_EDGE('',*,*,#18279,.F.); #23458=ORIENTED_EDGE('',*,*,#18280,.F.); #23459=ORIENTED_EDGE('',*,*,#18281,.F.); #23460=ORIENTED_EDGE('',*,*,#18280,.T.); #23461=ORIENTED_EDGE('',*,*,#18282,.F.); #23462=ORIENTED_EDGE('',*,*,#18283,.F.); #23463=ORIENTED_EDGE('',*,*,#18284,.F.); #23464=ORIENTED_EDGE('',*,*,#18283,.T.); #23465=ORIENTED_EDGE('',*,*,#18285,.F.); #23466=ORIENTED_EDGE('',*,*,#18286,.F.); #23467=ORIENTED_EDGE('',*,*,#18287,.F.); #23468=ORIENTED_EDGE('',*,*,#18286,.T.); #23469=ORIENTED_EDGE('',*,*,#18288,.F.); #23470=ORIENTED_EDGE('',*,*,#18289,.F.); #23471=ORIENTED_EDGE('',*,*,#18290,.F.); #23472=ORIENTED_EDGE('',*,*,#18289,.T.); #23473=ORIENTED_EDGE('',*,*,#18291,.F.); #23474=ORIENTED_EDGE('',*,*,#18292,.F.); #23475=ORIENTED_EDGE('',*,*,#18293,.F.); #23476=ORIENTED_EDGE('',*,*,#18292,.T.); #23477=ORIENTED_EDGE('',*,*,#18294,.F.); #23478=ORIENTED_EDGE('',*,*,#18295,.F.); #23479=ORIENTED_EDGE('',*,*,#18296,.F.); #23480=ORIENTED_EDGE('',*,*,#18295,.T.); #23481=ORIENTED_EDGE('',*,*,#18297,.F.); #23482=ORIENTED_EDGE('',*,*,#18298,.F.); #23483=ORIENTED_EDGE('',*,*,#18299,.F.); #23484=ORIENTED_EDGE('',*,*,#18298,.T.); #23485=ORIENTED_EDGE('',*,*,#18300,.F.); #23486=ORIENTED_EDGE('',*,*,#18301,.F.); #23487=ORIENTED_EDGE('',*,*,#18302,.F.); #23488=ORIENTED_EDGE('',*,*,#18301,.T.); #23489=ORIENTED_EDGE('',*,*,#18303,.F.); #23490=ORIENTED_EDGE('',*,*,#18304,.F.); #23491=ORIENTED_EDGE('',*,*,#18305,.F.); #23492=ORIENTED_EDGE('',*,*,#18304,.T.); #23493=ORIENTED_EDGE('',*,*,#18306,.F.); #23494=ORIENTED_EDGE('',*,*,#18307,.F.); #23495=ORIENTED_EDGE('',*,*,#18308,.F.); #23496=ORIENTED_EDGE('',*,*,#18307,.T.); #23497=ORIENTED_EDGE('',*,*,#18309,.F.); #23498=ORIENTED_EDGE('',*,*,#18310,.F.); #23499=ORIENTED_EDGE('',*,*,#18311,.F.); #23500=ORIENTED_EDGE('',*,*,#18310,.T.); #23501=ORIENTED_EDGE('',*,*,#18312,.F.); #23502=ORIENTED_EDGE('',*,*,#18313,.F.); #23503=ORIENTED_EDGE('',*,*,#18314,.F.); #23504=ORIENTED_EDGE('',*,*,#18313,.T.); #23505=ORIENTED_EDGE('',*,*,#18315,.F.); #23506=ORIENTED_EDGE('',*,*,#18316,.F.); #23507=ORIENTED_EDGE('',*,*,#18317,.T.); #23508=ORIENTED_EDGE('',*,*,#18316,.T.); #23509=ORIENTED_EDGE('',*,*,#18318,.F.); #23510=ORIENTED_EDGE('',*,*,#18319,.F.); #23511=ORIENTED_EDGE('',*,*,#18320,.F.); #23512=ORIENTED_EDGE('',*,*,#18319,.T.); #23513=ORIENTED_EDGE('',*,*,#18321,.F.); #23514=ORIENTED_EDGE('',*,*,#18322,.F.); #23515=ORIENTED_EDGE('',*,*,#18323,.F.); #23516=ORIENTED_EDGE('',*,*,#18322,.T.); #23517=ORIENTED_EDGE('',*,*,#18324,.F.); #23518=ORIENTED_EDGE('',*,*,#18325,.F.); #23519=ORIENTED_EDGE('',*,*,#18326,.T.); #23520=ORIENTED_EDGE('',*,*,#18325,.T.); #23521=ORIENTED_EDGE('',*,*,#18327,.F.); #23522=ORIENTED_EDGE('',*,*,#18328,.F.); #23523=ORIENTED_EDGE('',*,*,#18329,.T.); #23524=ORIENTED_EDGE('',*,*,#18328,.T.); #23525=ORIENTED_EDGE('',*,*,#18330,.F.); #23526=ORIENTED_EDGE('',*,*,#18331,.F.); #23527=ORIENTED_EDGE('',*,*,#18332,.T.); #23528=ORIENTED_EDGE('',*,*,#18331,.T.); #23529=ORIENTED_EDGE('',*,*,#18333,.F.); #23530=ORIENTED_EDGE('',*,*,#18334,.F.); #23531=ORIENTED_EDGE('',*,*,#18335,.T.); #23532=ORIENTED_EDGE('',*,*,#18334,.T.); #23533=ORIENTED_EDGE('',*,*,#18336,.F.); #23534=ORIENTED_EDGE('',*,*,#18337,.F.); #23535=ORIENTED_EDGE('',*,*,#18338,.T.); #23536=ORIENTED_EDGE('',*,*,#18337,.T.); #23537=ORIENTED_EDGE('',*,*,#18339,.F.); #23538=ORIENTED_EDGE('',*,*,#18340,.F.); #23539=ORIENTED_EDGE('',*,*,#18341,.T.); #23540=ORIENTED_EDGE('',*,*,#18340,.T.); #23541=ORIENTED_EDGE('',*,*,#18342,.F.); #23542=ORIENTED_EDGE('',*,*,#18343,.F.); #23543=ORIENTED_EDGE('',*,*,#18344,.T.); #23544=ORIENTED_EDGE('',*,*,#18343,.T.); #23545=ORIENTED_EDGE('',*,*,#18345,.F.); #23546=ORIENTED_EDGE('',*,*,#18346,.F.); #23547=ORIENTED_EDGE('',*,*,#18347,.T.); #23548=ORIENTED_EDGE('',*,*,#18346,.T.); #23549=ORIENTED_EDGE('',*,*,#18348,.F.); #23550=ORIENTED_EDGE('',*,*,#18349,.F.); #23551=ORIENTED_EDGE('',*,*,#18350,.T.); #23552=ORIENTED_EDGE('',*,*,#18349,.T.); #23553=ORIENTED_EDGE('',*,*,#18351,.F.); #23554=ORIENTED_EDGE('',*,*,#18352,.F.); #23555=ORIENTED_EDGE('',*,*,#18353,.T.); #23556=ORIENTED_EDGE('',*,*,#18352,.T.); #23557=ORIENTED_EDGE('',*,*,#18354,.F.); #23558=ORIENTED_EDGE('',*,*,#18355,.F.); #23559=ORIENTED_EDGE('',*,*,#18356,.T.); #23560=ORIENTED_EDGE('',*,*,#18355,.T.); #23561=ORIENTED_EDGE('',*,*,#18357,.F.); #23562=ORIENTED_EDGE('',*,*,#18358,.F.); #23563=ORIENTED_EDGE('',*,*,#18359,.T.); #23564=ORIENTED_EDGE('',*,*,#18358,.T.); #23565=ORIENTED_EDGE('',*,*,#18360,.F.); #23566=ORIENTED_EDGE('',*,*,#18361,.F.); #23567=ORIENTED_EDGE('',*,*,#18362,.T.); #23568=ORIENTED_EDGE('',*,*,#18361,.T.); #23569=ORIENTED_EDGE('',*,*,#18363,.F.); #23570=ORIENTED_EDGE('',*,*,#18364,.F.); #23571=ORIENTED_EDGE('',*,*,#18365,.T.); #23572=ORIENTED_EDGE('',*,*,#18364,.T.); #23573=ORIENTED_EDGE('',*,*,#18366,.F.); #23574=ORIENTED_EDGE('',*,*,#18367,.F.); #23575=ORIENTED_EDGE('',*,*,#18368,.T.); #23576=ORIENTED_EDGE('',*,*,#18367,.T.); #23577=ORIENTED_EDGE('',*,*,#18369,.F.); #23578=ORIENTED_EDGE('',*,*,#18370,.F.); #23579=ORIENTED_EDGE('',*,*,#18371,.T.); #23580=ORIENTED_EDGE('',*,*,#18370,.T.); #23581=ORIENTED_EDGE('',*,*,#18372,.F.); #23582=ORIENTED_EDGE('',*,*,#18373,.F.); #23583=ORIENTED_EDGE('',*,*,#18374,.T.); #23584=ORIENTED_EDGE('',*,*,#18373,.T.); #23585=ORIENTED_EDGE('',*,*,#18375,.F.); #23586=ORIENTED_EDGE('',*,*,#18269,.F.); #23587=ORIENTED_EDGE('',*,*,#18375,.T.); #23588=ORIENTED_EDGE('',*,*,#18372,.T.); #23589=ORIENTED_EDGE('',*,*,#18369,.T.); #23590=ORIENTED_EDGE('',*,*,#18366,.T.); #23591=ORIENTED_EDGE('',*,*,#18363,.T.); #23592=ORIENTED_EDGE('',*,*,#18360,.T.); #23593=ORIENTED_EDGE('',*,*,#18357,.T.); #23594=ORIENTED_EDGE('',*,*,#18354,.T.); #23595=ORIENTED_EDGE('',*,*,#18351,.T.); #23596=ORIENTED_EDGE('',*,*,#18348,.T.); #23597=ORIENTED_EDGE('',*,*,#18345,.T.); #23598=ORIENTED_EDGE('',*,*,#18342,.T.); #23599=ORIENTED_EDGE('',*,*,#18339,.T.); #23600=ORIENTED_EDGE('',*,*,#18336,.T.); #23601=ORIENTED_EDGE('',*,*,#18333,.T.); #23602=ORIENTED_EDGE('',*,*,#18330,.T.); #23603=ORIENTED_EDGE('',*,*,#18327,.T.); #23604=ORIENTED_EDGE('',*,*,#18324,.T.); #23605=ORIENTED_EDGE('',*,*,#18321,.T.); #23606=ORIENTED_EDGE('',*,*,#18318,.T.); #23607=ORIENTED_EDGE('',*,*,#18315,.T.); #23608=ORIENTED_EDGE('',*,*,#18312,.T.); #23609=ORIENTED_EDGE('',*,*,#18309,.T.); #23610=ORIENTED_EDGE('',*,*,#18306,.T.); #23611=ORIENTED_EDGE('',*,*,#18303,.T.); #23612=ORIENTED_EDGE('',*,*,#18300,.T.); #23613=ORIENTED_EDGE('',*,*,#18297,.T.); #23614=ORIENTED_EDGE('',*,*,#18294,.T.); #23615=ORIENTED_EDGE('',*,*,#18291,.T.); #23616=ORIENTED_EDGE('',*,*,#18288,.T.); #23617=ORIENTED_EDGE('',*,*,#18285,.T.); #23618=ORIENTED_EDGE('',*,*,#18282,.T.); #23619=ORIENTED_EDGE('',*,*,#18279,.T.); #23620=ORIENTED_EDGE('',*,*,#18276,.T.); #23621=ORIENTED_EDGE('',*,*,#18273,.T.); #23622=ORIENTED_EDGE('',*,*,#18270,.T.); #23623=ORIENTED_EDGE('',*,*,#18374,.F.); #23624=ORIENTED_EDGE('',*,*,#18268,.T.); #23625=ORIENTED_EDGE('',*,*,#18272,.T.); #23626=ORIENTED_EDGE('',*,*,#18275,.T.); #23627=ORIENTED_EDGE('',*,*,#18278,.T.); #23628=ORIENTED_EDGE('',*,*,#18281,.T.); #23629=ORIENTED_EDGE('',*,*,#18284,.T.); #23630=ORIENTED_EDGE('',*,*,#18287,.T.); #23631=ORIENTED_EDGE('',*,*,#18290,.T.); #23632=ORIENTED_EDGE('',*,*,#18293,.T.); #23633=ORIENTED_EDGE('',*,*,#18296,.T.); #23634=ORIENTED_EDGE('',*,*,#18299,.T.); #23635=ORIENTED_EDGE('',*,*,#18302,.T.); #23636=ORIENTED_EDGE('',*,*,#18305,.T.); #23637=ORIENTED_EDGE('',*,*,#18308,.T.); #23638=ORIENTED_EDGE('',*,*,#18311,.T.); #23639=ORIENTED_EDGE('',*,*,#18314,.T.); #23640=ORIENTED_EDGE('',*,*,#18317,.F.); #23641=ORIENTED_EDGE('',*,*,#18320,.T.); #23642=ORIENTED_EDGE('',*,*,#18323,.T.); #23643=ORIENTED_EDGE('',*,*,#18326,.F.); #23644=ORIENTED_EDGE('',*,*,#18329,.F.); #23645=ORIENTED_EDGE('',*,*,#18332,.F.); #23646=ORIENTED_EDGE('',*,*,#18335,.F.); #23647=ORIENTED_EDGE('',*,*,#18338,.F.); #23648=ORIENTED_EDGE('',*,*,#18341,.F.); #23649=ORIENTED_EDGE('',*,*,#18344,.F.); #23650=ORIENTED_EDGE('',*,*,#18347,.F.); #23651=ORIENTED_EDGE('',*,*,#18350,.F.); #23652=ORIENTED_EDGE('',*,*,#18353,.F.); #23653=ORIENTED_EDGE('',*,*,#18356,.F.); #23654=ORIENTED_EDGE('',*,*,#18359,.F.); #23655=ORIENTED_EDGE('',*,*,#18362,.F.); #23656=ORIENTED_EDGE('',*,*,#18365,.F.); #23657=ORIENTED_EDGE('',*,*,#18368,.F.); #23658=ORIENTED_EDGE('',*,*,#18371,.F.); #23659=ORIENTED_EDGE('',*,*,#18376,.F.); #23660=ORIENTED_EDGE('',*,*,#18377,.T.); #23661=ORIENTED_EDGE('',*,*,#18378,.F.); #23662=ORIENTED_EDGE('',*,*,#18379,.F.); #23663=ORIENTED_EDGE('',*,*,#18380,.F.); #23664=ORIENTED_EDGE('',*,*,#18379,.T.); #23665=ORIENTED_EDGE('',*,*,#18381,.F.); #23666=ORIENTED_EDGE('',*,*,#18382,.F.); #23667=ORIENTED_EDGE('',*,*,#18383,.F.); #23668=ORIENTED_EDGE('',*,*,#18382,.T.); #23669=ORIENTED_EDGE('',*,*,#18384,.F.); #23670=ORIENTED_EDGE('',*,*,#18385,.F.); #23671=ORIENTED_EDGE('',*,*,#18386,.F.); #23672=ORIENTED_EDGE('',*,*,#18385,.T.); #23673=ORIENTED_EDGE('',*,*,#18387,.F.); #23674=ORIENTED_EDGE('',*,*,#18388,.F.); #23675=ORIENTED_EDGE('',*,*,#18389,.F.); #23676=ORIENTED_EDGE('',*,*,#18388,.T.); #23677=ORIENTED_EDGE('',*,*,#18390,.F.); #23678=ORIENTED_EDGE('',*,*,#18391,.F.); #23679=ORIENTED_EDGE('',*,*,#18392,.F.); #23680=ORIENTED_EDGE('',*,*,#18391,.T.); #23681=ORIENTED_EDGE('',*,*,#18393,.F.); #23682=ORIENTED_EDGE('',*,*,#18394,.F.); #23683=ORIENTED_EDGE('',*,*,#18395,.F.); #23684=ORIENTED_EDGE('',*,*,#18394,.T.); #23685=ORIENTED_EDGE('',*,*,#18396,.F.); #23686=ORIENTED_EDGE('',*,*,#18397,.F.); #23687=ORIENTED_EDGE('',*,*,#18398,.F.); #23688=ORIENTED_EDGE('',*,*,#18397,.T.); #23689=ORIENTED_EDGE('',*,*,#18399,.F.); #23690=ORIENTED_EDGE('',*,*,#18400,.F.); #23691=ORIENTED_EDGE('',*,*,#18401,.F.); #23692=ORIENTED_EDGE('',*,*,#18400,.T.); #23693=ORIENTED_EDGE('',*,*,#18402,.F.); #23694=ORIENTED_EDGE('',*,*,#18403,.F.); #23695=ORIENTED_EDGE('',*,*,#18404,.F.); #23696=ORIENTED_EDGE('',*,*,#18403,.T.); #23697=ORIENTED_EDGE('',*,*,#18405,.F.); #23698=ORIENTED_EDGE('',*,*,#18406,.F.); #23699=ORIENTED_EDGE('',*,*,#18407,.F.); #23700=ORIENTED_EDGE('',*,*,#18406,.T.); #23701=ORIENTED_EDGE('',*,*,#18408,.F.); #23702=ORIENTED_EDGE('',*,*,#18409,.F.); #23703=ORIENTED_EDGE('',*,*,#18410,.F.); #23704=ORIENTED_EDGE('',*,*,#18409,.T.); #23705=ORIENTED_EDGE('',*,*,#18411,.F.); #23706=ORIENTED_EDGE('',*,*,#18412,.F.); #23707=ORIENTED_EDGE('',*,*,#18413,.F.); #23708=ORIENTED_EDGE('',*,*,#18412,.T.); #23709=ORIENTED_EDGE('',*,*,#18414,.F.); #23710=ORIENTED_EDGE('',*,*,#18415,.F.); #23711=ORIENTED_EDGE('',*,*,#18416,.F.); #23712=ORIENTED_EDGE('',*,*,#18415,.T.); #23713=ORIENTED_EDGE('',*,*,#18417,.F.); #23714=ORIENTED_EDGE('',*,*,#18418,.F.); #23715=ORIENTED_EDGE('',*,*,#18419,.F.); #23716=ORIENTED_EDGE('',*,*,#18418,.T.); #23717=ORIENTED_EDGE('',*,*,#18420,.F.); #23718=ORIENTED_EDGE('',*,*,#18421,.F.); #23719=ORIENTED_EDGE('',*,*,#18422,.F.); #23720=ORIENTED_EDGE('',*,*,#18421,.T.); #23721=ORIENTED_EDGE('',*,*,#18423,.F.); #23722=ORIENTED_EDGE('',*,*,#18424,.F.); #23723=ORIENTED_EDGE('',*,*,#18425,.F.); #23724=ORIENTED_EDGE('',*,*,#18424,.T.); #23725=ORIENTED_EDGE('',*,*,#18426,.F.); #23726=ORIENTED_EDGE('',*,*,#18427,.F.); #23727=ORIENTED_EDGE('',*,*,#18428,.F.); #23728=ORIENTED_EDGE('',*,*,#18427,.T.); #23729=ORIENTED_EDGE('',*,*,#18429,.F.); #23730=ORIENTED_EDGE('',*,*,#18430,.F.); #23731=ORIENTED_EDGE('',*,*,#18431,.F.); #23732=ORIENTED_EDGE('',*,*,#18430,.T.); #23733=ORIENTED_EDGE('',*,*,#18432,.F.); #23734=ORIENTED_EDGE('',*,*,#18433,.F.); #23735=ORIENTED_EDGE('',*,*,#18434,.F.); #23736=ORIENTED_EDGE('',*,*,#18433,.T.); #23737=ORIENTED_EDGE('',*,*,#18435,.F.); #23738=ORIENTED_EDGE('',*,*,#18436,.F.); #23739=ORIENTED_EDGE('',*,*,#18437,.F.); #23740=ORIENTED_EDGE('',*,*,#18436,.T.); #23741=ORIENTED_EDGE('',*,*,#18438,.F.); #23742=ORIENTED_EDGE('',*,*,#18439,.F.); #23743=ORIENTED_EDGE('',*,*,#18440,.F.); #23744=ORIENTED_EDGE('',*,*,#18439,.T.); #23745=ORIENTED_EDGE('',*,*,#18441,.F.); #23746=ORIENTED_EDGE('',*,*,#18442,.F.); #23747=ORIENTED_EDGE('',*,*,#18443,.F.); #23748=ORIENTED_EDGE('',*,*,#18442,.T.); #23749=ORIENTED_EDGE('',*,*,#18444,.F.); #23750=ORIENTED_EDGE('',*,*,#18445,.F.); #23751=ORIENTED_EDGE('',*,*,#18446,.F.); #23752=ORIENTED_EDGE('',*,*,#18445,.T.); #23753=ORIENTED_EDGE('',*,*,#18447,.F.); #23754=ORIENTED_EDGE('',*,*,#18448,.F.); #23755=ORIENTED_EDGE('',*,*,#18449,.F.); #23756=ORIENTED_EDGE('',*,*,#18448,.T.); #23757=ORIENTED_EDGE('',*,*,#18450,.F.); #23758=ORIENTED_EDGE('',*,*,#18451,.F.); #23759=ORIENTED_EDGE('',*,*,#18452,.F.); #23760=ORIENTED_EDGE('',*,*,#18451,.T.); #23761=ORIENTED_EDGE('',*,*,#18453,.F.); #23762=ORIENTED_EDGE('',*,*,#18454,.F.); #23763=ORIENTED_EDGE('',*,*,#18455,.F.); #23764=ORIENTED_EDGE('',*,*,#18454,.T.); #23765=ORIENTED_EDGE('',*,*,#18456,.F.); #23766=ORIENTED_EDGE('',*,*,#18457,.F.); #23767=ORIENTED_EDGE('',*,*,#18458,.F.); #23768=ORIENTED_EDGE('',*,*,#18457,.T.); #23769=ORIENTED_EDGE('',*,*,#18459,.F.); #23770=ORIENTED_EDGE('',*,*,#18460,.F.); #23771=ORIENTED_EDGE('',*,*,#18461,.F.); #23772=ORIENTED_EDGE('',*,*,#18460,.T.); #23773=ORIENTED_EDGE('',*,*,#18462,.F.); #23774=ORIENTED_EDGE('',*,*,#18463,.F.); #23775=ORIENTED_EDGE('',*,*,#18464,.F.); #23776=ORIENTED_EDGE('',*,*,#18463,.T.); #23777=ORIENTED_EDGE('',*,*,#18465,.F.); #23778=ORIENTED_EDGE('',*,*,#18466,.F.); #23779=ORIENTED_EDGE('',*,*,#18467,.F.); #23780=ORIENTED_EDGE('',*,*,#18466,.T.); #23781=ORIENTED_EDGE('',*,*,#18468,.F.); #23782=ORIENTED_EDGE('',*,*,#18469,.F.); #23783=ORIENTED_EDGE('',*,*,#18470,.F.); #23784=ORIENTED_EDGE('',*,*,#18469,.T.); #23785=ORIENTED_EDGE('',*,*,#18471,.F.); #23786=ORIENTED_EDGE('',*,*,#18472,.F.); #23787=ORIENTED_EDGE('',*,*,#18473,.F.); #23788=ORIENTED_EDGE('',*,*,#18472,.T.); #23789=ORIENTED_EDGE('',*,*,#18474,.F.); #23790=ORIENTED_EDGE('',*,*,#18475,.F.); #23791=ORIENTED_EDGE('',*,*,#18476,.F.); #23792=ORIENTED_EDGE('',*,*,#18475,.T.); #23793=ORIENTED_EDGE('',*,*,#18477,.F.); #23794=ORIENTED_EDGE('',*,*,#18377,.F.); #23795=ORIENTED_EDGE('',*,*,#18477,.T.); #23796=ORIENTED_EDGE('',*,*,#18474,.T.); #23797=ORIENTED_EDGE('',*,*,#18471,.T.); #23798=ORIENTED_EDGE('',*,*,#18468,.T.); #23799=ORIENTED_EDGE('',*,*,#18465,.T.); #23800=ORIENTED_EDGE('',*,*,#18462,.T.); #23801=ORIENTED_EDGE('',*,*,#18459,.T.); #23802=ORIENTED_EDGE('',*,*,#18456,.T.); #23803=ORIENTED_EDGE('',*,*,#18453,.T.); #23804=ORIENTED_EDGE('',*,*,#18450,.T.); #23805=ORIENTED_EDGE('',*,*,#18447,.T.); #23806=ORIENTED_EDGE('',*,*,#18444,.T.); #23807=ORIENTED_EDGE('',*,*,#18441,.T.); #23808=ORIENTED_EDGE('',*,*,#18438,.T.); #23809=ORIENTED_EDGE('',*,*,#18435,.T.); #23810=ORIENTED_EDGE('',*,*,#18432,.T.); #23811=ORIENTED_EDGE('',*,*,#18429,.T.); #23812=ORIENTED_EDGE('',*,*,#18426,.T.); #23813=ORIENTED_EDGE('',*,*,#18423,.T.); #23814=ORIENTED_EDGE('',*,*,#18420,.T.); #23815=ORIENTED_EDGE('',*,*,#18417,.T.); #23816=ORIENTED_EDGE('',*,*,#18414,.T.); #23817=ORIENTED_EDGE('',*,*,#18411,.T.); #23818=ORIENTED_EDGE('',*,*,#18408,.T.); #23819=ORIENTED_EDGE('',*,*,#18405,.T.); #23820=ORIENTED_EDGE('',*,*,#18402,.T.); #23821=ORIENTED_EDGE('',*,*,#18399,.T.); #23822=ORIENTED_EDGE('',*,*,#18396,.T.); #23823=ORIENTED_EDGE('',*,*,#18393,.T.); #23824=ORIENTED_EDGE('',*,*,#18390,.T.); #23825=ORIENTED_EDGE('',*,*,#18387,.T.); #23826=ORIENTED_EDGE('',*,*,#18384,.T.); #23827=ORIENTED_EDGE('',*,*,#18381,.T.); #23828=ORIENTED_EDGE('',*,*,#18378,.T.); #23829=ORIENTED_EDGE('',*,*,#18476,.T.); #23830=ORIENTED_EDGE('',*,*,#18376,.T.); #23831=ORIENTED_EDGE('',*,*,#18380,.T.); #23832=ORIENTED_EDGE('',*,*,#18383,.T.); #23833=ORIENTED_EDGE('',*,*,#18386,.T.); #23834=ORIENTED_EDGE('',*,*,#18389,.T.); #23835=ORIENTED_EDGE('',*,*,#18392,.T.); #23836=ORIENTED_EDGE('',*,*,#18395,.T.); #23837=ORIENTED_EDGE('',*,*,#18398,.T.); #23838=ORIENTED_EDGE('',*,*,#18401,.T.); #23839=ORIENTED_EDGE('',*,*,#18404,.T.); #23840=ORIENTED_EDGE('',*,*,#18407,.T.); #23841=ORIENTED_EDGE('',*,*,#18410,.T.); #23842=ORIENTED_EDGE('',*,*,#18413,.T.); #23843=ORIENTED_EDGE('',*,*,#18416,.T.); #23844=ORIENTED_EDGE('',*,*,#18419,.T.); #23845=ORIENTED_EDGE('',*,*,#18422,.T.); #23846=ORIENTED_EDGE('',*,*,#18425,.T.); #23847=ORIENTED_EDGE('',*,*,#18428,.T.); #23848=ORIENTED_EDGE('',*,*,#18431,.T.); #23849=ORIENTED_EDGE('',*,*,#18434,.T.); #23850=ORIENTED_EDGE('',*,*,#18437,.T.); #23851=ORIENTED_EDGE('',*,*,#18440,.T.); #23852=ORIENTED_EDGE('',*,*,#18443,.T.); #23853=ORIENTED_EDGE('',*,*,#18446,.T.); #23854=ORIENTED_EDGE('',*,*,#18449,.T.); #23855=ORIENTED_EDGE('',*,*,#18452,.T.); #23856=ORIENTED_EDGE('',*,*,#18455,.T.); #23857=ORIENTED_EDGE('',*,*,#18458,.T.); #23858=ORIENTED_EDGE('',*,*,#18461,.T.); #23859=ORIENTED_EDGE('',*,*,#18464,.T.); #23860=ORIENTED_EDGE('',*,*,#18467,.T.); #23861=ORIENTED_EDGE('',*,*,#18470,.T.); #23862=ORIENTED_EDGE('',*,*,#18473,.T.); #23863=ORIENTED_EDGE('',*,*,#18478,.T.); #23864=ORIENTED_EDGE('',*,*,#18479,.T.); #23865=ORIENTED_EDGE('',*,*,#18480,.T.); #23866=ORIENTED_EDGE('',*,*,#18479,.F.); #23867=ORIENTED_EDGE('',*,*,#18481,.T.); #23868=ORIENTED_EDGE('',*,*,#18482,.T.); #23869=ORIENTED_EDGE('',*,*,#18483,.T.); #23870=ORIENTED_EDGE('',*,*,#18484,.F.); #23871=ORIENTED_EDGE('',*,*,#18485,.F.); #23872=ORIENTED_EDGE('',*,*,#18486,.T.); #23873=ORIENTED_EDGE('',*,*,#18487,.T.); #23874=ORIENTED_EDGE('',*,*,#18482,.F.); #23875=ORIENTED_EDGE('',*,*,#18488,.F.); #23876=ORIENTED_EDGE('',*,*,#18489,.T.); #23877=ORIENTED_EDGE('',*,*,#18490,.T.); #23878=ORIENTED_EDGE('',*,*,#18486,.F.); #23879=ORIENTED_EDGE('',*,*,#18491,.F.); #23880=ORIENTED_EDGE('',*,*,#18492,.T.); #23881=ORIENTED_EDGE('',*,*,#18493,.T.); #23882=ORIENTED_EDGE('',*,*,#18489,.F.); #23883=ORIENTED_EDGE('',*,*,#18494,.F.); #23884=ORIENTED_EDGE('',*,*,#18495,.T.); #23885=ORIENTED_EDGE('',*,*,#18496,.T.); #23886=ORIENTED_EDGE('',*,*,#18492,.F.); #23887=ORIENTED_EDGE('',*,*,#18497,.F.); #23888=ORIENTED_EDGE('',*,*,#18498,.T.); #23889=ORIENTED_EDGE('',*,*,#18499,.T.); #23890=ORIENTED_EDGE('',*,*,#18495,.F.); #23891=ORIENTED_EDGE('',*,*,#18500,.F.); #23892=ORIENTED_EDGE('',*,*,#18501,.T.); #23893=ORIENTED_EDGE('',*,*,#18502,.T.); #23894=ORIENTED_EDGE('',*,*,#18498,.F.); #23895=ORIENTED_EDGE('',*,*,#18503,.T.); #23896=ORIENTED_EDGE('',*,*,#18484,.T.); #23897=ORIENTED_EDGE('',*,*,#18504,.T.); #23898=ORIENTED_EDGE('',*,*,#18501,.F.); #23899=ORIENTED_EDGE('',*,*,#18503,.F.); #23900=ORIENTED_EDGE('',*,*,#18500,.T.); #23901=ORIENTED_EDGE('',*,*,#18497,.T.); #23902=ORIENTED_EDGE('',*,*,#18494,.T.); #23903=ORIENTED_EDGE('',*,*,#18491,.T.); #23904=ORIENTED_EDGE('',*,*,#18488,.T.); #23905=ORIENTED_EDGE('',*,*,#18485,.T.); #23906=ORIENTED_EDGE('',*,*,#18481,.F.); #23907=ORIENTED_EDGE('',*,*,#18480,.F.); #23908=ORIENTED_EDGE('',*,*,#18504,.F.); #23909=ORIENTED_EDGE('',*,*,#18483,.F.); #23910=ORIENTED_EDGE('',*,*,#18487,.F.); #23911=ORIENTED_EDGE('',*,*,#18490,.F.); #23912=ORIENTED_EDGE('',*,*,#18493,.F.); #23913=ORIENTED_EDGE('',*,*,#18496,.F.); #23914=ORIENTED_EDGE('',*,*,#18499,.F.); #23915=ORIENTED_EDGE('',*,*,#18502,.F.); #23916=ORIENTED_EDGE('',*,*,#18478,.F.); #23917=ORIENTED_EDGE('',*,*,#18505,.F.); #23918=ORIENTED_EDGE('',*,*,#18506,.T.); #23919=ORIENTED_EDGE('',*,*,#18507,.F.); #23920=ORIENTED_EDGE('',*,*,#18506,.F.); #23921=ORIENTED_EDGE('',*,*,#18508,.F.); #23922=ORIENTED_EDGE('',*,*,#18509,.T.); #23923=ORIENTED_EDGE('',*,*,#18510,.F.); #23924=ORIENTED_EDGE('',*,*,#18511,.F.); #23925=ORIENTED_EDGE('',*,*,#18512,.T.); #23926=ORIENTED_EDGE('',*,*,#18511,.T.); #23927=ORIENTED_EDGE('',*,*,#18513,.F.); #23928=ORIENTED_EDGE('',*,*,#18514,.F.); #23929=ORIENTED_EDGE('',*,*,#18515,.T.); #23930=ORIENTED_EDGE('',*,*,#18514,.T.); #23931=ORIENTED_EDGE('',*,*,#18516,.F.); #23932=ORIENTED_EDGE('',*,*,#18517,.F.); #23933=ORIENTED_EDGE('',*,*,#18518,.T.); #23934=ORIENTED_EDGE('',*,*,#18517,.T.); #23935=ORIENTED_EDGE('',*,*,#18519,.F.); #23936=ORIENTED_EDGE('',*,*,#18520,.F.); #23937=ORIENTED_EDGE('',*,*,#18521,.T.); #23938=ORIENTED_EDGE('',*,*,#18520,.T.); #23939=ORIENTED_EDGE('',*,*,#18522,.F.); #23940=ORIENTED_EDGE('',*,*,#18523,.F.); #23941=ORIENTED_EDGE('',*,*,#18524,.T.); #23942=ORIENTED_EDGE('',*,*,#18523,.T.); #23943=ORIENTED_EDGE('',*,*,#18525,.F.); #23944=ORIENTED_EDGE('',*,*,#18526,.F.); #23945=ORIENTED_EDGE('',*,*,#18527,.T.); #23946=ORIENTED_EDGE('',*,*,#18526,.T.); #23947=ORIENTED_EDGE('',*,*,#18528,.F.); #23948=ORIENTED_EDGE('',*,*,#18529,.F.); #23949=ORIENTED_EDGE('',*,*,#18530,.F.); #23950=ORIENTED_EDGE('',*,*,#18529,.T.); #23951=ORIENTED_EDGE('',*,*,#18531,.F.); #23952=ORIENTED_EDGE('',*,*,#18509,.F.); #23953=ORIENTED_EDGE('',*,*,#18530,.T.); #23954=ORIENTED_EDGE('',*,*,#18508,.T.); #23955=ORIENTED_EDGE('',*,*,#18512,.F.); #23956=ORIENTED_EDGE('',*,*,#18515,.F.); #23957=ORIENTED_EDGE('',*,*,#18518,.F.); #23958=ORIENTED_EDGE('',*,*,#18521,.F.); #23959=ORIENTED_EDGE('',*,*,#18524,.F.); #23960=ORIENTED_EDGE('',*,*,#18527,.F.); #23961=ORIENTED_EDGE('',*,*,#18507,.T.); #23962=ORIENTED_EDGE('',*,*,#18531,.T.); #23963=ORIENTED_EDGE('',*,*,#18528,.T.); #23964=ORIENTED_EDGE('',*,*,#18525,.T.); #23965=ORIENTED_EDGE('',*,*,#18522,.T.); #23966=ORIENTED_EDGE('',*,*,#18519,.T.); #23967=ORIENTED_EDGE('',*,*,#18516,.T.); #23968=ORIENTED_EDGE('',*,*,#18513,.T.); #23969=ORIENTED_EDGE('',*,*,#18510,.T.); #23970=ORIENTED_EDGE('',*,*,#18505,.T.); #23971=ORIENTED_EDGE('',*,*,#18532,.F.); #23972=ORIENTED_EDGE('',*,*,#18533,.F.); #23973=ORIENTED_EDGE('',*,*,#18534,.T.); #23974=ORIENTED_EDGE('',*,*,#18535,.T.); #23975=ORIENTED_EDGE('',*,*,#18533,.T.); #23976=ORIENTED_EDGE('',*,*,#18535,.F.); #23977=ORIENTED_EDGE('',*,*,#18536,.T.); #23978=ORIENTED_EDGE('',*,*,#18537,.T.); #23979=ORIENTED_EDGE('',*,*,#18532,.T.); #23980=ORIENTED_EDGE('',*,*,#18537,.F.); #23981=ORIENTED_EDGE('',*,*,#18536,.F.); #23982=ORIENTED_EDGE('',*,*,#18534,.F.); #23983=ORIENTED_EDGE('',*,*,#18538,.F.); #23984=ORIENTED_EDGE('',*,*,#18539,.F.); #23985=ORIENTED_EDGE('',*,*,#18540,.F.); #23986=ORIENTED_EDGE('',*,*,#18541,.F.); #23987=ORIENTED_EDGE('',*,*,#18542,.T.); #23988=ORIENTED_EDGE('',*,*,#18543,.T.); #23989=ORIENTED_EDGE('',*,*,#18544,.F.); #23990=ORIENTED_EDGE('',*,*,#18543,.F.); #23991=ORIENTED_EDGE('',*,*,#18545,.F.); #23992=ORIENTED_EDGE('',*,*,#18546,.T.); #23993=ORIENTED_EDGE('',*,*,#18547,.T.); #23994=ORIENTED_EDGE('',*,*,#18548,.F.); #23995=ORIENTED_EDGE('',*,*,#18549,.T.); #23996=ORIENTED_EDGE('',*,*,#18550,.T.); #23997=ORIENTED_EDGE('',*,*,#18551,.T.); #23998=ORIENTED_EDGE('',*,*,#18546,.F.); #23999=ORIENTED_EDGE('',*,*,#18552,.F.); #24000=ORIENTED_EDGE('',*,*,#18553,.T.); #24001=ORIENTED_EDGE('',*,*,#18554,.T.); #24002=ORIENTED_EDGE('',*,*,#18550,.F.); #24003=ORIENTED_EDGE('',*,*,#18555,.F.); #24004=ORIENTED_EDGE('',*,*,#18556,.T.); #24005=ORIENTED_EDGE('',*,*,#18557,.T.); #24006=ORIENTED_EDGE('',*,*,#18553,.F.); #24007=ORIENTED_EDGE('',*,*,#18558,.F.); #24008=ORIENTED_EDGE('',*,*,#18559,.T.); #24009=ORIENTED_EDGE('',*,*,#18560,.T.); #24010=ORIENTED_EDGE('',*,*,#18556,.F.); #24011=ORIENTED_EDGE('',*,*,#18561,.F.); #24012=ORIENTED_EDGE('',*,*,#18562,.T.); #24013=ORIENTED_EDGE('',*,*,#18563,.T.); #24014=ORIENTED_EDGE('',*,*,#18559,.F.); #24015=ORIENTED_EDGE('',*,*,#18564,.F.); #24016=ORIENTED_EDGE('',*,*,#18565,.T.); #24017=ORIENTED_EDGE('',*,*,#18566,.T.); #24018=ORIENTED_EDGE('',*,*,#18562,.F.); #24019=ORIENTED_EDGE('',*,*,#18567,.F.); #24020=ORIENTED_EDGE('',*,*,#18568,.T.); #24021=ORIENTED_EDGE('',*,*,#18569,.T.); #24022=ORIENTED_EDGE('',*,*,#18565,.F.); #24023=ORIENTED_EDGE('',*,*,#18570,.F.); #24024=ORIENTED_EDGE('',*,*,#18571,.T.); #24025=ORIENTED_EDGE('',*,*,#18572,.T.); #24026=ORIENTED_EDGE('',*,*,#18568,.F.); #24027=ORIENTED_EDGE('',*,*,#18573,.F.); #24028=ORIENTED_EDGE('',*,*,#18574,.T.); #24029=ORIENTED_EDGE('',*,*,#18575,.T.); #24030=ORIENTED_EDGE('',*,*,#18571,.F.); #24031=ORIENTED_EDGE('',*,*,#18576,.F.); #24032=ORIENTED_EDGE('',*,*,#18577,.T.); #24033=ORIENTED_EDGE('',*,*,#18578,.T.); #24034=ORIENTED_EDGE('',*,*,#18574,.F.); #24035=ORIENTED_EDGE('',*,*,#18579,.F.); #24036=ORIENTED_EDGE('',*,*,#18580,.T.); #24037=ORIENTED_EDGE('',*,*,#18581,.T.); #24038=ORIENTED_EDGE('',*,*,#18577,.F.); #24039=ORIENTED_EDGE('',*,*,#18582,.F.); #24040=ORIENTED_EDGE('',*,*,#18583,.T.); #24041=ORIENTED_EDGE('',*,*,#18584,.T.); #24042=ORIENTED_EDGE('',*,*,#18580,.F.); #24043=ORIENTED_EDGE('',*,*,#18585,.F.); #24044=ORIENTED_EDGE('',*,*,#18586,.T.); #24045=ORIENTED_EDGE('',*,*,#18587,.T.); #24046=ORIENTED_EDGE('',*,*,#18583,.F.); #24047=ORIENTED_EDGE('',*,*,#18588,.F.); #24048=ORIENTED_EDGE('',*,*,#18589,.T.); #24049=ORIENTED_EDGE('',*,*,#18590,.T.); #24050=ORIENTED_EDGE('',*,*,#18586,.F.); #24051=ORIENTED_EDGE('',*,*,#18591,.T.); #24052=ORIENTED_EDGE('',*,*,#18541,.T.); #24053=ORIENTED_EDGE('',*,*,#18592,.F.); #24054=ORIENTED_EDGE('',*,*,#18589,.F.); #24055=ORIENTED_EDGE('',*,*,#18593,.T.); #24056=ORIENTED_EDGE('',*,*,#18594,.T.); #24057=ORIENTED_EDGE('',*,*,#18595,.T.); #24058=ORIENTED_EDGE('',*,*,#18539,.T.); #24059=ORIENTED_EDGE('',*,*,#18596,.T.); #24060=ORIENTED_EDGE('',*,*,#18597,.T.); #24061=ORIENTED_EDGE('',*,*,#18598,.T.); #24062=ORIENTED_EDGE('',*,*,#18594,.F.); #24063=ORIENTED_EDGE('',*,*,#18599,.T.); #24064=ORIENTED_EDGE('',*,*,#18600,.T.); #24065=ORIENTED_EDGE('',*,*,#18601,.T.); #24066=ORIENTED_EDGE('',*,*,#18597,.F.); #24067=ORIENTED_EDGE('',*,*,#18602,.T.); #24068=ORIENTED_EDGE('',*,*,#18603,.T.); #24069=ORIENTED_EDGE('',*,*,#18604,.T.); #24070=ORIENTED_EDGE('',*,*,#18600,.F.); #24071=ORIENTED_EDGE('',*,*,#18605,.T.); #24072=ORIENTED_EDGE('',*,*,#18606,.T.); #24073=ORIENTED_EDGE('',*,*,#18607,.T.); #24074=ORIENTED_EDGE('',*,*,#18603,.F.); #24075=ORIENTED_EDGE('',*,*,#18608,.T.); #24076=ORIENTED_EDGE('',*,*,#18609,.T.); #24077=ORIENTED_EDGE('',*,*,#18610,.T.); #24078=ORIENTED_EDGE('',*,*,#18606,.F.); #24079=ORIENTED_EDGE('',*,*,#18611,.T.); #24080=ORIENTED_EDGE('',*,*,#18612,.T.); #24081=ORIENTED_EDGE('',*,*,#18613,.T.); #24082=ORIENTED_EDGE('',*,*,#18609,.F.); #24083=ORIENTED_EDGE('',*,*,#18614,.T.); #24084=ORIENTED_EDGE('',*,*,#18615,.T.); #24085=ORIENTED_EDGE('',*,*,#18616,.T.); #24086=ORIENTED_EDGE('',*,*,#18612,.F.); #24087=ORIENTED_EDGE('',*,*,#18617,.T.); #24088=ORIENTED_EDGE('',*,*,#18618,.T.); #24089=ORIENTED_EDGE('',*,*,#18619,.T.); #24090=ORIENTED_EDGE('',*,*,#18615,.F.); #24091=ORIENTED_EDGE('',*,*,#18620,.T.); #24092=ORIENTED_EDGE('',*,*,#18621,.T.); #24093=ORIENTED_EDGE('',*,*,#18622,.T.); #24094=ORIENTED_EDGE('',*,*,#18618,.F.); #24095=ORIENTED_EDGE('',*,*,#18623,.T.); #24096=ORIENTED_EDGE('',*,*,#18624,.T.); #24097=ORIENTED_EDGE('',*,*,#18625,.T.); #24098=ORIENTED_EDGE('',*,*,#18621,.F.); #24099=ORIENTED_EDGE('',*,*,#18626,.T.); #24100=ORIENTED_EDGE('',*,*,#18627,.T.); #24101=ORIENTED_EDGE('',*,*,#18628,.T.); #24102=ORIENTED_EDGE('',*,*,#18624,.F.); #24103=ORIENTED_EDGE('',*,*,#18629,.T.); #24104=ORIENTED_EDGE('',*,*,#18630,.T.); #24105=ORIENTED_EDGE('',*,*,#18631,.T.); #24106=ORIENTED_EDGE('',*,*,#18627,.F.); #24107=ORIENTED_EDGE('',*,*,#18632,.T.); #24108=ORIENTED_EDGE('',*,*,#18633,.T.); #24109=ORIENTED_EDGE('',*,*,#18634,.T.); #24110=ORIENTED_EDGE('',*,*,#18630,.F.); #24111=ORIENTED_EDGE('',*,*,#18635,.F.); #24112=ORIENTED_EDGE('',*,*,#18636,.T.); #24113=ORIENTED_EDGE('',*,*,#18637,.T.); #24114=ORIENTED_EDGE('',*,*,#18633,.F.); #24115=ORIENTED_EDGE('',*,*,#18638,.F.); #24116=ORIENTED_EDGE('',*,*,#18639,.T.); #24117=ORIENTED_EDGE('',*,*,#18640,.T.); #24118=ORIENTED_EDGE('',*,*,#18636,.F.); #24119=ORIENTED_EDGE('',*,*,#18641,.F.); #24120=ORIENTED_EDGE('',*,*,#18548,.T.); #24121=ORIENTED_EDGE('',*,*,#18642,.T.); #24122=ORIENTED_EDGE('',*,*,#18639,.F.); #24123=ORIENTED_EDGE('',*,*,#18642,.F.); #24124=ORIENTED_EDGE('',*,*,#18547,.F.); #24125=ORIENTED_EDGE('',*,*,#18551,.F.); #24126=ORIENTED_EDGE('',*,*,#18554,.F.); #24127=ORIENTED_EDGE('',*,*,#18557,.F.); #24128=ORIENTED_EDGE('',*,*,#18560,.F.); #24129=ORIENTED_EDGE('',*,*,#18563,.F.); #24130=ORIENTED_EDGE('',*,*,#18566,.F.); #24131=ORIENTED_EDGE('',*,*,#18569,.F.); #24132=ORIENTED_EDGE('',*,*,#18572,.F.); #24133=ORIENTED_EDGE('',*,*,#18575,.F.); #24134=ORIENTED_EDGE('',*,*,#18578,.F.); #24135=ORIENTED_EDGE('',*,*,#18581,.F.); #24136=ORIENTED_EDGE('',*,*,#18584,.F.); #24137=ORIENTED_EDGE('',*,*,#18587,.F.); #24138=ORIENTED_EDGE('',*,*,#18590,.F.); #24139=ORIENTED_EDGE('',*,*,#18592,.T.); #24140=ORIENTED_EDGE('',*,*,#18540,.T.); #24141=ORIENTED_EDGE('',*,*,#18595,.F.); #24142=ORIENTED_EDGE('',*,*,#18598,.F.); #24143=ORIENTED_EDGE('',*,*,#18601,.F.); #24144=ORIENTED_EDGE('',*,*,#18604,.F.); #24145=ORIENTED_EDGE('',*,*,#18607,.F.); #24146=ORIENTED_EDGE('',*,*,#18610,.F.); #24147=ORIENTED_EDGE('',*,*,#18613,.F.); #24148=ORIENTED_EDGE('',*,*,#18616,.F.); #24149=ORIENTED_EDGE('',*,*,#18619,.F.); #24150=ORIENTED_EDGE('',*,*,#18622,.F.); #24151=ORIENTED_EDGE('',*,*,#18625,.F.); #24152=ORIENTED_EDGE('',*,*,#18628,.F.); #24153=ORIENTED_EDGE('',*,*,#18631,.F.); #24154=ORIENTED_EDGE('',*,*,#18634,.F.); #24155=ORIENTED_EDGE('',*,*,#18637,.F.); #24156=ORIENTED_EDGE('',*,*,#18640,.F.); #24157=ORIENTED_EDGE('',*,*,#18542,.F.); #24158=ORIENTED_EDGE('',*,*,#18641,.T.); #24159=ORIENTED_EDGE('',*,*,#18638,.T.); #24160=ORIENTED_EDGE('',*,*,#18635,.T.); #24161=ORIENTED_EDGE('',*,*,#18632,.F.); #24162=ORIENTED_EDGE('',*,*,#18629,.F.); #24163=ORIENTED_EDGE('',*,*,#18626,.F.); #24164=ORIENTED_EDGE('',*,*,#18623,.F.); #24165=ORIENTED_EDGE('',*,*,#18620,.F.); #24166=ORIENTED_EDGE('',*,*,#18617,.F.); #24167=ORIENTED_EDGE('',*,*,#18614,.F.); #24168=ORIENTED_EDGE('',*,*,#18611,.F.); #24169=ORIENTED_EDGE('',*,*,#18608,.F.); #24170=ORIENTED_EDGE('',*,*,#18605,.F.); #24171=ORIENTED_EDGE('',*,*,#18602,.F.); #24172=ORIENTED_EDGE('',*,*,#18599,.F.); #24173=ORIENTED_EDGE('',*,*,#18596,.F.); #24174=ORIENTED_EDGE('',*,*,#18593,.F.); #24175=ORIENTED_EDGE('',*,*,#18538,.T.); #24176=ORIENTED_EDGE('',*,*,#18591,.F.); #24177=ORIENTED_EDGE('',*,*,#18588,.T.); #24178=ORIENTED_EDGE('',*,*,#18585,.T.); #24179=ORIENTED_EDGE('',*,*,#18582,.T.); #24180=ORIENTED_EDGE('',*,*,#18579,.T.); #24181=ORIENTED_EDGE('',*,*,#18576,.T.); #24182=ORIENTED_EDGE('',*,*,#18573,.T.); #24183=ORIENTED_EDGE('',*,*,#18570,.T.); #24184=ORIENTED_EDGE('',*,*,#18567,.T.); #24185=ORIENTED_EDGE('',*,*,#18564,.T.); #24186=ORIENTED_EDGE('',*,*,#18561,.T.); #24187=ORIENTED_EDGE('',*,*,#18558,.T.); #24188=ORIENTED_EDGE('',*,*,#18555,.T.); #24189=ORIENTED_EDGE('',*,*,#18552,.T.); #24190=ORIENTED_EDGE('',*,*,#18549,.F.); #24191=ORIENTED_EDGE('',*,*,#18545,.T.); #24192=ORIENTED_EDGE('',*,*,#18544,.T.); #24193=ORIENTED_EDGE('',*,*,#18643,.F.); #24194=ORIENTED_EDGE('',*,*,#18644,.T.); #24195=ORIENTED_EDGE('',*,*,#18645,.T.); #24196=ORIENTED_EDGE('',*,*,#18646,.F.); #24197=ORIENTED_EDGE('',*,*,#18647,.T.); #24198=ORIENTED_EDGE('',*,*,#18648,.T.); #24199=ORIENTED_EDGE('',*,*,#18649,.T.); #24200=ORIENTED_EDGE('',*,*,#18644,.F.); #24201=ORIENTED_EDGE('',*,*,#18650,.F.); #24202=ORIENTED_EDGE('',*,*,#18651,.T.); #24203=ORIENTED_EDGE('',*,*,#18652,.T.); #24204=ORIENTED_EDGE('',*,*,#18648,.F.); #24205=ORIENTED_EDGE('',*,*,#18653,.F.); #24206=ORIENTED_EDGE('',*,*,#18654,.T.); #24207=ORIENTED_EDGE('',*,*,#18655,.T.); #24208=ORIENTED_EDGE('',*,*,#18651,.F.); #24209=ORIENTED_EDGE('',*,*,#18656,.F.); #24210=ORIENTED_EDGE('',*,*,#18657,.T.); #24211=ORIENTED_EDGE('',*,*,#18658,.T.); #24212=ORIENTED_EDGE('',*,*,#18654,.F.); #24213=ORIENTED_EDGE('',*,*,#18659,.F.); #24214=ORIENTED_EDGE('',*,*,#18660,.T.); #24215=ORIENTED_EDGE('',*,*,#18661,.T.); #24216=ORIENTED_EDGE('',*,*,#18657,.F.); #24217=ORIENTED_EDGE('',*,*,#18662,.F.); #24218=ORIENTED_EDGE('',*,*,#18663,.T.); #24219=ORIENTED_EDGE('',*,*,#18664,.T.); #24220=ORIENTED_EDGE('',*,*,#18660,.F.); #24221=ORIENTED_EDGE('',*,*,#18665,.F.); #24222=ORIENTED_EDGE('',*,*,#18666,.T.); #24223=ORIENTED_EDGE('',*,*,#18667,.T.); #24224=ORIENTED_EDGE('',*,*,#18663,.F.); #24225=ORIENTED_EDGE('',*,*,#18668,.F.); #24226=ORIENTED_EDGE('',*,*,#18669,.T.); #24227=ORIENTED_EDGE('',*,*,#18670,.T.); #24228=ORIENTED_EDGE('',*,*,#18666,.F.); #24229=ORIENTED_EDGE('',*,*,#18671,.F.); #24230=ORIENTED_EDGE('',*,*,#18672,.T.); #24231=ORIENTED_EDGE('',*,*,#18673,.T.); #24232=ORIENTED_EDGE('',*,*,#18669,.F.); #24233=ORIENTED_EDGE('',*,*,#18674,.F.); #24234=ORIENTED_EDGE('',*,*,#18675,.T.); #24235=ORIENTED_EDGE('',*,*,#18676,.T.); #24236=ORIENTED_EDGE('',*,*,#18672,.F.); #24237=ORIENTED_EDGE('',*,*,#18677,.F.); #24238=ORIENTED_EDGE('',*,*,#18678,.T.); #24239=ORIENTED_EDGE('',*,*,#18679,.T.); #24240=ORIENTED_EDGE('',*,*,#18675,.F.); #24241=ORIENTED_EDGE('',*,*,#18680,.F.); #24242=ORIENTED_EDGE('',*,*,#18681,.T.); #24243=ORIENTED_EDGE('',*,*,#18682,.T.); #24244=ORIENTED_EDGE('',*,*,#18678,.F.); #24245=ORIENTED_EDGE('',*,*,#18683,.F.); #24246=ORIENTED_EDGE('',*,*,#18684,.T.); #24247=ORIENTED_EDGE('',*,*,#18685,.T.); #24248=ORIENTED_EDGE('',*,*,#18681,.F.); #24249=ORIENTED_EDGE('',*,*,#18686,.T.); #24250=ORIENTED_EDGE('',*,*,#18687,.T.); #24251=ORIENTED_EDGE('',*,*,#18688,.T.); #24252=ORIENTED_EDGE('',*,*,#18684,.F.); #24253=ORIENTED_EDGE('',*,*,#18689,.F.); #24254=ORIENTED_EDGE('',*,*,#18690,.T.); #24255=ORIENTED_EDGE('',*,*,#18691,.T.); #24256=ORIENTED_EDGE('',*,*,#18687,.F.); #24257=ORIENTED_EDGE('',*,*,#18692,.F.); #24258=ORIENTED_EDGE('',*,*,#18693,.T.); #24259=ORIENTED_EDGE('',*,*,#18694,.T.); #24260=ORIENTED_EDGE('',*,*,#18690,.F.); #24261=ORIENTED_EDGE('',*,*,#18695,.F.); #24262=ORIENTED_EDGE('',*,*,#18646,.T.); #24263=ORIENTED_EDGE('',*,*,#18696,.T.); #24264=ORIENTED_EDGE('',*,*,#18693,.F.); #24265=ORIENTED_EDGE('',*,*,#18696,.F.); #24266=ORIENTED_EDGE('',*,*,#18645,.F.); #24267=ORIENTED_EDGE('',*,*,#18649,.F.); #24268=ORIENTED_EDGE('',*,*,#18652,.F.); #24269=ORIENTED_EDGE('',*,*,#18655,.F.); #24270=ORIENTED_EDGE('',*,*,#18658,.F.); #24271=ORIENTED_EDGE('',*,*,#18661,.F.); #24272=ORIENTED_EDGE('',*,*,#18664,.F.); #24273=ORIENTED_EDGE('',*,*,#18667,.F.); #24274=ORIENTED_EDGE('',*,*,#18670,.F.); #24275=ORIENTED_EDGE('',*,*,#18673,.F.); #24276=ORIENTED_EDGE('',*,*,#18676,.F.); #24277=ORIENTED_EDGE('',*,*,#18679,.F.); #24278=ORIENTED_EDGE('',*,*,#18682,.F.); #24279=ORIENTED_EDGE('',*,*,#18685,.F.); #24280=ORIENTED_EDGE('',*,*,#18688,.F.); #24281=ORIENTED_EDGE('',*,*,#18691,.F.); #24282=ORIENTED_EDGE('',*,*,#18694,.F.); #24283=ORIENTED_EDGE('',*,*,#18695,.T.); #24284=ORIENTED_EDGE('',*,*,#18692,.T.); #24285=ORIENTED_EDGE('',*,*,#18689,.T.); #24286=ORIENTED_EDGE('',*,*,#18686,.F.); #24287=ORIENTED_EDGE('',*,*,#18683,.T.); #24288=ORIENTED_EDGE('',*,*,#18680,.T.); #24289=ORIENTED_EDGE('',*,*,#18677,.T.); #24290=ORIENTED_EDGE('',*,*,#18674,.T.); #24291=ORIENTED_EDGE('',*,*,#18671,.T.); #24292=ORIENTED_EDGE('',*,*,#18668,.T.); #24293=ORIENTED_EDGE('',*,*,#18665,.T.); #24294=ORIENTED_EDGE('',*,*,#18662,.T.); #24295=ORIENTED_EDGE('',*,*,#18659,.T.); #24296=ORIENTED_EDGE('',*,*,#18656,.T.); #24297=ORIENTED_EDGE('',*,*,#18653,.T.); #24298=ORIENTED_EDGE('',*,*,#18650,.T.); #24299=ORIENTED_EDGE('',*,*,#18647,.F.); #24300=ORIENTED_EDGE('',*,*,#18643,.T.); #24301=ORIENTED_EDGE('',*,*,#18697,.T.); #24302=ORIENTED_EDGE('',*,*,#18698,.T.); #24303=ORIENTED_EDGE('',*,*,#18699,.T.); #24304=ORIENTED_EDGE('',*,*,#18700,.F.); #24305=ORIENTED_EDGE('',*,*,#18701,.T.); #24306=ORIENTED_EDGE('',*,*,#18702,.T.); #24307=ORIENTED_EDGE('',*,*,#18703,.T.); #24308=ORIENTED_EDGE('',*,*,#18698,.F.); #24309=ORIENTED_EDGE('',*,*,#18704,.T.); #24310=ORIENTED_EDGE('',*,*,#18705,.T.); #24311=ORIENTED_EDGE('',*,*,#18706,.T.); #24312=ORIENTED_EDGE('',*,*,#18702,.F.); #24313=ORIENTED_EDGE('',*,*,#18707,.T.); #24314=ORIENTED_EDGE('',*,*,#18708,.T.); #24315=ORIENTED_EDGE('',*,*,#18709,.T.); #24316=ORIENTED_EDGE('',*,*,#18705,.F.); #24317=ORIENTED_EDGE('',*,*,#18710,.T.); #24318=ORIENTED_EDGE('',*,*,#18711,.T.); #24319=ORIENTED_EDGE('',*,*,#18712,.T.); #24320=ORIENTED_EDGE('',*,*,#18708,.F.); #24321=ORIENTED_EDGE('',*,*,#18713,.T.); #24322=ORIENTED_EDGE('',*,*,#18714,.T.); #24323=ORIENTED_EDGE('',*,*,#18715,.T.); #24324=ORIENTED_EDGE('',*,*,#18711,.F.); #24325=ORIENTED_EDGE('',*,*,#18716,.T.); #24326=ORIENTED_EDGE('',*,*,#18717,.T.); #24327=ORIENTED_EDGE('',*,*,#18718,.T.); #24328=ORIENTED_EDGE('',*,*,#18714,.F.); #24329=ORIENTED_EDGE('',*,*,#18719,.T.); #24330=ORIENTED_EDGE('',*,*,#18720,.T.); #24331=ORIENTED_EDGE('',*,*,#18721,.T.); #24332=ORIENTED_EDGE('',*,*,#18717,.F.); #24333=ORIENTED_EDGE('',*,*,#18722,.T.); #24334=ORIENTED_EDGE('',*,*,#18723,.T.); #24335=ORIENTED_EDGE('',*,*,#18724,.T.); #24336=ORIENTED_EDGE('',*,*,#18720,.F.); #24337=ORIENTED_EDGE('',*,*,#18725,.T.); #24338=ORIENTED_EDGE('',*,*,#18726,.T.); #24339=ORIENTED_EDGE('',*,*,#18727,.T.); #24340=ORIENTED_EDGE('',*,*,#18723,.F.); #24341=ORIENTED_EDGE('',*,*,#18728,.T.); #24342=ORIENTED_EDGE('',*,*,#18729,.T.); #24343=ORIENTED_EDGE('',*,*,#18730,.T.); #24344=ORIENTED_EDGE('',*,*,#18726,.F.); #24345=ORIENTED_EDGE('',*,*,#18731,.T.); #24346=ORIENTED_EDGE('',*,*,#18732,.T.); #24347=ORIENTED_EDGE('',*,*,#18733,.T.); #24348=ORIENTED_EDGE('',*,*,#18729,.F.); #24349=ORIENTED_EDGE('',*,*,#18734,.T.); #24350=ORIENTED_EDGE('',*,*,#18735,.T.); #24351=ORIENTED_EDGE('',*,*,#18736,.T.); #24352=ORIENTED_EDGE('',*,*,#18732,.F.); #24353=ORIENTED_EDGE('',*,*,#18737,.T.); #24354=ORIENTED_EDGE('',*,*,#18738,.T.); #24355=ORIENTED_EDGE('',*,*,#18739,.T.); #24356=ORIENTED_EDGE('',*,*,#18735,.F.); #24357=ORIENTED_EDGE('',*,*,#18740,.T.); #24358=ORIENTED_EDGE('',*,*,#18741,.T.); #24359=ORIENTED_EDGE('',*,*,#18742,.T.); #24360=ORIENTED_EDGE('',*,*,#18738,.F.); #24361=ORIENTED_EDGE('',*,*,#18743,.T.); #24362=ORIENTED_EDGE('',*,*,#18744,.T.); #24363=ORIENTED_EDGE('',*,*,#18745,.T.); #24364=ORIENTED_EDGE('',*,*,#18741,.F.); #24365=ORIENTED_EDGE('',*,*,#18746,.F.); #24366=ORIENTED_EDGE('',*,*,#18747,.T.); #24367=ORIENTED_EDGE('',*,*,#18748,.T.); #24368=ORIENTED_EDGE('',*,*,#18744,.F.); #24369=ORIENTED_EDGE('',*,*,#18749,.T.); #24370=ORIENTED_EDGE('',*,*,#18750,.T.); #24371=ORIENTED_EDGE('',*,*,#18751,.T.); #24372=ORIENTED_EDGE('',*,*,#18747,.F.); #24373=ORIENTED_EDGE('',*,*,#18752,.T.); #24374=ORIENTED_EDGE('',*,*,#18753,.T.); #24375=ORIENTED_EDGE('',*,*,#18754,.T.); #24376=ORIENTED_EDGE('',*,*,#18750,.F.); #24377=ORIENTED_EDGE('',*,*,#18755,.F.); #24378=ORIENTED_EDGE('',*,*,#18756,.T.); #24379=ORIENTED_EDGE('',*,*,#18757,.T.); #24380=ORIENTED_EDGE('',*,*,#18753,.F.); #24381=ORIENTED_EDGE('',*,*,#18758,.F.); #24382=ORIENTED_EDGE('',*,*,#18759,.T.); #24383=ORIENTED_EDGE('',*,*,#18760,.T.); #24384=ORIENTED_EDGE('',*,*,#18756,.F.); #24385=ORIENTED_EDGE('',*,*,#18761,.F.); #24386=ORIENTED_EDGE('',*,*,#18762,.T.); #24387=ORIENTED_EDGE('',*,*,#18763,.T.); #24388=ORIENTED_EDGE('',*,*,#18759,.F.); #24389=ORIENTED_EDGE('',*,*,#18764,.F.); #24390=ORIENTED_EDGE('',*,*,#18765,.T.); #24391=ORIENTED_EDGE('',*,*,#18766,.T.); #24392=ORIENTED_EDGE('',*,*,#18762,.F.); #24393=ORIENTED_EDGE('',*,*,#18767,.F.); #24394=ORIENTED_EDGE('',*,*,#18768,.T.); #24395=ORIENTED_EDGE('',*,*,#18769,.T.); #24396=ORIENTED_EDGE('',*,*,#18765,.F.); #24397=ORIENTED_EDGE('',*,*,#18770,.F.); #24398=ORIENTED_EDGE('',*,*,#18771,.T.); #24399=ORIENTED_EDGE('',*,*,#18772,.T.); #24400=ORIENTED_EDGE('',*,*,#18768,.F.); #24401=ORIENTED_EDGE('',*,*,#18773,.F.); #24402=ORIENTED_EDGE('',*,*,#18774,.T.); #24403=ORIENTED_EDGE('',*,*,#18775,.T.); #24404=ORIENTED_EDGE('',*,*,#18771,.F.); #24405=ORIENTED_EDGE('',*,*,#18776,.F.); #24406=ORIENTED_EDGE('',*,*,#18777,.T.); #24407=ORIENTED_EDGE('',*,*,#18778,.T.); #24408=ORIENTED_EDGE('',*,*,#18774,.F.); #24409=ORIENTED_EDGE('',*,*,#18779,.F.); #24410=ORIENTED_EDGE('',*,*,#18780,.T.); #24411=ORIENTED_EDGE('',*,*,#18781,.T.); #24412=ORIENTED_EDGE('',*,*,#18777,.F.); #24413=ORIENTED_EDGE('',*,*,#18782,.F.); #24414=ORIENTED_EDGE('',*,*,#18783,.T.); #24415=ORIENTED_EDGE('',*,*,#18784,.T.); #24416=ORIENTED_EDGE('',*,*,#18780,.F.); #24417=ORIENTED_EDGE('',*,*,#18785,.F.); #24418=ORIENTED_EDGE('',*,*,#18786,.T.); #24419=ORIENTED_EDGE('',*,*,#18787,.T.); #24420=ORIENTED_EDGE('',*,*,#18783,.F.); #24421=ORIENTED_EDGE('',*,*,#18788,.F.); #24422=ORIENTED_EDGE('',*,*,#18789,.T.); #24423=ORIENTED_EDGE('',*,*,#18790,.T.); #24424=ORIENTED_EDGE('',*,*,#18786,.F.); #24425=ORIENTED_EDGE('',*,*,#18791,.F.); #24426=ORIENTED_EDGE('',*,*,#18792,.T.); #24427=ORIENTED_EDGE('',*,*,#18793,.T.); #24428=ORIENTED_EDGE('',*,*,#18789,.F.); #24429=ORIENTED_EDGE('',*,*,#18794,.F.); #24430=ORIENTED_EDGE('',*,*,#18795,.T.); #24431=ORIENTED_EDGE('',*,*,#18796,.T.); #24432=ORIENTED_EDGE('',*,*,#18792,.F.); #24433=ORIENTED_EDGE('',*,*,#18797,.F.); #24434=ORIENTED_EDGE('',*,*,#18798,.T.); #24435=ORIENTED_EDGE('',*,*,#18799,.T.); #24436=ORIENTED_EDGE('',*,*,#18795,.F.); #24437=ORIENTED_EDGE('',*,*,#18800,.F.); #24438=ORIENTED_EDGE('',*,*,#18801,.T.); #24439=ORIENTED_EDGE('',*,*,#18802,.T.); #24440=ORIENTED_EDGE('',*,*,#18798,.F.); #24441=ORIENTED_EDGE('',*,*,#18803,.F.); #24442=ORIENTED_EDGE('',*,*,#18700,.T.); #24443=ORIENTED_EDGE('',*,*,#18804,.T.); #24444=ORIENTED_EDGE('',*,*,#18801,.F.); #24445=ORIENTED_EDGE('',*,*,#18804,.F.); #24446=ORIENTED_EDGE('',*,*,#18699,.F.); #24447=ORIENTED_EDGE('',*,*,#18703,.F.); #24448=ORIENTED_EDGE('',*,*,#18706,.F.); #24449=ORIENTED_EDGE('',*,*,#18709,.F.); #24450=ORIENTED_EDGE('',*,*,#18712,.F.); #24451=ORIENTED_EDGE('',*,*,#18715,.F.); #24452=ORIENTED_EDGE('',*,*,#18718,.F.); #24453=ORIENTED_EDGE('',*,*,#18721,.F.); #24454=ORIENTED_EDGE('',*,*,#18724,.F.); #24455=ORIENTED_EDGE('',*,*,#18727,.F.); #24456=ORIENTED_EDGE('',*,*,#18730,.F.); #24457=ORIENTED_EDGE('',*,*,#18733,.F.); #24458=ORIENTED_EDGE('',*,*,#18736,.F.); #24459=ORIENTED_EDGE('',*,*,#18739,.F.); #24460=ORIENTED_EDGE('',*,*,#18742,.F.); #24461=ORIENTED_EDGE('',*,*,#18745,.F.); #24462=ORIENTED_EDGE('',*,*,#18748,.F.); #24463=ORIENTED_EDGE('',*,*,#18751,.F.); #24464=ORIENTED_EDGE('',*,*,#18754,.F.); #24465=ORIENTED_EDGE('',*,*,#18757,.F.); #24466=ORIENTED_EDGE('',*,*,#18760,.F.); #24467=ORIENTED_EDGE('',*,*,#18763,.F.); #24468=ORIENTED_EDGE('',*,*,#18766,.F.); #24469=ORIENTED_EDGE('',*,*,#18769,.F.); #24470=ORIENTED_EDGE('',*,*,#18772,.F.); #24471=ORIENTED_EDGE('',*,*,#18775,.F.); #24472=ORIENTED_EDGE('',*,*,#18778,.F.); #24473=ORIENTED_EDGE('',*,*,#18781,.F.); #24474=ORIENTED_EDGE('',*,*,#18784,.F.); #24475=ORIENTED_EDGE('',*,*,#18787,.F.); #24476=ORIENTED_EDGE('',*,*,#18790,.F.); #24477=ORIENTED_EDGE('',*,*,#18793,.F.); #24478=ORIENTED_EDGE('',*,*,#18796,.F.); #24479=ORIENTED_EDGE('',*,*,#18799,.F.); #24480=ORIENTED_EDGE('',*,*,#18802,.F.); #24481=ORIENTED_EDGE('',*,*,#18803,.T.); #24482=ORIENTED_EDGE('',*,*,#18800,.T.); #24483=ORIENTED_EDGE('',*,*,#18797,.T.); #24484=ORIENTED_EDGE('',*,*,#18794,.T.); #24485=ORIENTED_EDGE('',*,*,#18791,.T.); #24486=ORIENTED_EDGE('',*,*,#18788,.T.); #24487=ORIENTED_EDGE('',*,*,#18785,.T.); #24488=ORIENTED_EDGE('',*,*,#18782,.T.); #24489=ORIENTED_EDGE('',*,*,#18779,.T.); #24490=ORIENTED_EDGE('',*,*,#18776,.T.); #24491=ORIENTED_EDGE('',*,*,#18773,.T.); #24492=ORIENTED_EDGE('',*,*,#18770,.T.); #24493=ORIENTED_EDGE('',*,*,#18767,.T.); #24494=ORIENTED_EDGE('',*,*,#18764,.T.); #24495=ORIENTED_EDGE('',*,*,#18761,.T.); #24496=ORIENTED_EDGE('',*,*,#18758,.T.); #24497=ORIENTED_EDGE('',*,*,#18755,.T.); #24498=ORIENTED_EDGE('',*,*,#18752,.F.); #24499=ORIENTED_EDGE('',*,*,#18749,.F.); #24500=ORIENTED_EDGE('',*,*,#18746,.T.); #24501=ORIENTED_EDGE('',*,*,#18743,.F.); #24502=ORIENTED_EDGE('',*,*,#18740,.F.); #24503=ORIENTED_EDGE('',*,*,#18737,.F.); #24504=ORIENTED_EDGE('',*,*,#18734,.F.); #24505=ORIENTED_EDGE('',*,*,#18731,.F.); #24506=ORIENTED_EDGE('',*,*,#18728,.F.); #24507=ORIENTED_EDGE('',*,*,#18725,.F.); #24508=ORIENTED_EDGE('',*,*,#18722,.F.); #24509=ORIENTED_EDGE('',*,*,#18719,.F.); #24510=ORIENTED_EDGE('',*,*,#18716,.F.); #24511=ORIENTED_EDGE('',*,*,#18713,.F.); #24512=ORIENTED_EDGE('',*,*,#18710,.F.); #24513=ORIENTED_EDGE('',*,*,#18707,.F.); #24514=ORIENTED_EDGE('',*,*,#18704,.F.); #24515=ORIENTED_EDGE('',*,*,#18701,.F.); #24516=ORIENTED_EDGE('',*,*,#18697,.F.); #24517=ORIENTED_EDGE('',*,*,#18805,.F.); #24518=ORIENTED_EDGE('',*,*,#18806,.T.); #24519=ORIENTED_EDGE('',*,*,#18806,.F.); #24520=ORIENTED_EDGE('',*,*,#18807,.T.); #24521=ORIENTED_EDGE('',*,*,#18808,.T.); #24522=ORIENTED_EDGE('',*,*,#18809,.T.); #24523=ORIENTED_EDGE('',*,*,#18810,.T.); #24524=ORIENTED_EDGE('',*,*,#18808,.F.); #24525=ORIENTED_EDGE('',*,*,#18810,.F.); #24526=ORIENTED_EDGE('',*,*,#18811,.T.); #24527=ORIENTED_EDGE('',*,*,#18812,.F.); #24528=ORIENTED_EDGE('',*,*,#18811,.F.); #24529=ORIENTED_EDGE('',*,*,#18809,.F.); #24530=ORIENTED_EDGE('',*,*,#18807,.F.); #24531=ORIENTED_EDGE('',*,*,#18805,.T.); #24532=ORIENTED_EDGE('',*,*,#18813,.F.); #24533=ORIENTED_EDGE('',*,*,#18814,.T.); #24534=ORIENTED_EDGE('',*,*,#18815,.F.); #24535=ORIENTED_EDGE('',*,*,#18814,.F.); #24536=ORIENTED_EDGE('',*,*,#18816,.F.); #24537=ORIENTED_EDGE('',*,*,#18817,.T.); #24538=ORIENTED_EDGE('',*,*,#18818,.F.); #24539=ORIENTED_EDGE('',*,*,#18817,.F.); #24540=ORIENTED_EDGE('',*,*,#18819,.F.); #24541=ORIENTED_EDGE('',*,*,#18820,.T.); #24542=ORIENTED_EDGE('',*,*,#18821,.F.); #24543=ORIENTED_EDGE('',*,*,#18822,.F.); #24544=ORIENTED_EDGE('',*,*,#18823,.F.); #24545=ORIENTED_EDGE('',*,*,#18822,.T.); #24546=ORIENTED_EDGE('',*,*,#18824,.F.); #24547=ORIENTED_EDGE('',*,*,#18825,.F.); #24548=ORIENTED_EDGE('',*,*,#18826,.T.); #24549=ORIENTED_EDGE('',*,*,#18825,.T.); #24550=ORIENTED_EDGE('',*,*,#18827,.F.); #24551=ORIENTED_EDGE('',*,*,#18828,.F.); #24552=ORIENTED_EDGE('',*,*,#18829,.F.); #24553=ORIENTED_EDGE('',*,*,#18828,.T.); #24554=ORIENTED_EDGE('',*,*,#18830,.F.); #24555=ORIENTED_EDGE('',*,*,#18831,.F.); #24556=ORIENTED_EDGE('',*,*,#18832,.F.); #24557=ORIENTED_EDGE('',*,*,#18831,.T.); #24558=ORIENTED_EDGE('',*,*,#18833,.F.); #24559=ORIENTED_EDGE('',*,*,#18834,.F.); #24560=ORIENTED_EDGE('',*,*,#18835,.F.); #24561=ORIENTED_EDGE('',*,*,#18834,.T.); #24562=ORIENTED_EDGE('',*,*,#18836,.F.); #24563=ORIENTED_EDGE('',*,*,#18837,.F.); #24564=ORIENTED_EDGE('',*,*,#18838,.T.); #24565=ORIENTED_EDGE('',*,*,#18837,.T.); #24566=ORIENTED_EDGE('',*,*,#18839,.F.); #24567=ORIENTED_EDGE('',*,*,#18840,.F.); #24568=ORIENTED_EDGE('',*,*,#18841,.F.); #24569=ORIENTED_EDGE('',*,*,#18840,.T.); #24570=ORIENTED_EDGE('',*,*,#18842,.F.); #24571=ORIENTED_EDGE('',*,*,#18820,.F.); #24572=ORIENTED_EDGE('',*,*,#18841,.T.); #24573=ORIENTED_EDGE('',*,*,#18819,.T.); #24574=ORIENTED_EDGE('',*,*,#18823,.T.); #24575=ORIENTED_EDGE('',*,*,#18826,.F.); #24576=ORIENTED_EDGE('',*,*,#18829,.T.); #24577=ORIENTED_EDGE('',*,*,#18832,.T.); #24578=ORIENTED_EDGE('',*,*,#18835,.T.); #24579=ORIENTED_EDGE('',*,*,#18838,.F.); #24580=ORIENTED_EDGE('',*,*,#18818,.T.); #24581=ORIENTED_EDGE('',*,*,#18815,.T.); #24582=ORIENTED_EDGE('',*,*,#18842,.T.); #24583=ORIENTED_EDGE('',*,*,#18839,.T.); #24584=ORIENTED_EDGE('',*,*,#18836,.T.); #24585=ORIENTED_EDGE('',*,*,#18833,.T.); #24586=ORIENTED_EDGE('',*,*,#18830,.T.); #24587=ORIENTED_EDGE('',*,*,#18827,.T.); #24588=ORIENTED_EDGE('',*,*,#18824,.T.); #24589=ORIENTED_EDGE('',*,*,#18821,.T.); #24590=ORIENTED_EDGE('',*,*,#18812,.T.); #24591=ORIENTED_EDGE('',*,*,#18816,.T.); #24592=ORIENTED_EDGE('',*,*,#18813,.T.); #24593=ORIENTED_EDGE('',*,*,#18843,.T.); #24594=ORIENTED_EDGE('',*,*,#18843,.F.); #24595=ORIENTED_EDGE('',*,*,#18844,.F.); #24596=ORIENTED_EDGE('',*,*,#18845,.T.); #24597=ORIENTED_EDGE('',*,*,#18846,.T.); #24598=ORIENTED_EDGE('',*,*,#18845,.F.); #24599=ORIENTED_EDGE('',*,*,#18847,.T.); #24600=ORIENTED_EDGE('',*,*,#18848,.T.); #24601=ORIENTED_EDGE('',*,*,#18849,.F.); #24602=ORIENTED_EDGE('',*,*,#18850,.F.); #24603=ORIENTED_EDGE('',*,*,#18851,.T.); #24604=ORIENTED_EDGE('',*,*,#18850,.T.); #24605=ORIENTED_EDGE('',*,*,#18852,.F.); #24606=ORIENTED_EDGE('',*,*,#18853,.F.); #24607=ORIENTED_EDGE('',*,*,#18854,.T.); #24608=ORIENTED_EDGE('',*,*,#18853,.T.); #24609=ORIENTED_EDGE('',*,*,#18855,.F.); #24610=ORIENTED_EDGE('',*,*,#18856,.F.); #24611=ORIENTED_EDGE('',*,*,#18857,.T.); #24612=ORIENTED_EDGE('',*,*,#18856,.T.); #24613=ORIENTED_EDGE('',*,*,#18858,.F.); #24614=ORIENTED_EDGE('',*,*,#18859,.F.); #24615=ORIENTED_EDGE('',*,*,#18860,.T.); #24616=ORIENTED_EDGE('',*,*,#18859,.T.); #24617=ORIENTED_EDGE('',*,*,#18861,.F.); #24618=ORIENTED_EDGE('',*,*,#18862,.F.); #24619=ORIENTED_EDGE('',*,*,#18863,.T.); #24620=ORIENTED_EDGE('',*,*,#18862,.T.); #24621=ORIENTED_EDGE('',*,*,#18864,.F.); #24622=ORIENTED_EDGE('',*,*,#18865,.F.); #24623=ORIENTED_EDGE('',*,*,#18866,.T.); #24624=ORIENTED_EDGE('',*,*,#18865,.T.); #24625=ORIENTED_EDGE('',*,*,#18867,.F.); #24626=ORIENTED_EDGE('',*,*,#18868,.F.); #24627=ORIENTED_EDGE('',*,*,#18869,.T.); #24628=ORIENTED_EDGE('',*,*,#18868,.T.); #24629=ORIENTED_EDGE('',*,*,#18870,.F.); #24630=ORIENTED_EDGE('',*,*,#18871,.F.); #24631=ORIENTED_EDGE('',*,*,#18872,.T.); #24632=ORIENTED_EDGE('',*,*,#18871,.T.); #24633=ORIENTED_EDGE('',*,*,#18873,.F.); #24634=ORIENTED_EDGE('',*,*,#18874,.F.); #24635=ORIENTED_EDGE('',*,*,#18875,.T.); #24636=ORIENTED_EDGE('',*,*,#18874,.T.); #24637=ORIENTED_EDGE('',*,*,#18876,.F.); #24638=ORIENTED_EDGE('',*,*,#18848,.F.); #24639=ORIENTED_EDGE('',*,*,#18876,.T.); #24640=ORIENTED_EDGE('',*,*,#18873,.T.); #24641=ORIENTED_EDGE('',*,*,#18870,.T.); #24642=ORIENTED_EDGE('',*,*,#18867,.T.); #24643=ORIENTED_EDGE('',*,*,#18864,.T.); #24644=ORIENTED_EDGE('',*,*,#18861,.T.); #24645=ORIENTED_EDGE('',*,*,#18858,.T.); #24646=ORIENTED_EDGE('',*,*,#18855,.T.); #24647=ORIENTED_EDGE('',*,*,#18852,.T.); #24648=ORIENTED_EDGE('',*,*,#18849,.T.); #24649=ORIENTED_EDGE('',*,*,#18844,.T.); #24650=ORIENTED_EDGE('',*,*,#18875,.F.); #24651=ORIENTED_EDGE('',*,*,#18847,.F.); #24652=ORIENTED_EDGE('',*,*,#18851,.F.); #24653=ORIENTED_EDGE('',*,*,#18854,.F.); #24654=ORIENTED_EDGE('',*,*,#18857,.F.); #24655=ORIENTED_EDGE('',*,*,#18860,.F.); #24656=ORIENTED_EDGE('',*,*,#18863,.F.); #24657=ORIENTED_EDGE('',*,*,#18866,.F.); #24658=ORIENTED_EDGE('',*,*,#18869,.F.); #24659=ORIENTED_EDGE('',*,*,#18872,.F.); #24660=ORIENTED_EDGE('',*,*,#18846,.F.); #24661=ORIENTED_EDGE('',*,*,#18877,.F.); #24662=ORIENTED_EDGE('',*,*,#18878,.T.); #24663=ORIENTED_EDGE('',*,*,#18879,.T.); #24664=ORIENTED_EDGE('',*,*,#18878,.F.); #24665=ORIENTED_EDGE('',*,*,#18880,.F.); #24666=ORIENTED_EDGE('',*,*,#18881,.T.); #24667=ORIENTED_EDGE('',*,*,#18882,.F.); #24668=ORIENTED_EDGE('',*,*,#18883,.F.); #24669=ORIENTED_EDGE('',*,*,#18884,.T.); #24670=ORIENTED_EDGE('',*,*,#18883,.T.); #24671=ORIENTED_EDGE('',*,*,#18885,.F.); #24672=ORIENTED_EDGE('',*,*,#18886,.F.); #24673=ORIENTED_EDGE('',*,*,#18887,.F.); #24674=ORIENTED_EDGE('',*,*,#18886,.T.); #24675=ORIENTED_EDGE('',*,*,#18888,.F.); #24676=ORIENTED_EDGE('',*,*,#18889,.F.); #24677=ORIENTED_EDGE('',*,*,#18890,.F.); #24678=ORIENTED_EDGE('',*,*,#18889,.T.); #24679=ORIENTED_EDGE('',*,*,#18891,.F.); #24680=ORIENTED_EDGE('',*,*,#18892,.F.); #24681=ORIENTED_EDGE('',*,*,#18893,.F.); #24682=ORIENTED_EDGE('',*,*,#18892,.T.); #24683=ORIENTED_EDGE('',*,*,#18894,.F.); #24684=ORIENTED_EDGE('',*,*,#18895,.F.); #24685=ORIENTED_EDGE('',*,*,#18896,.F.); #24686=ORIENTED_EDGE('',*,*,#18895,.T.); #24687=ORIENTED_EDGE('',*,*,#18897,.F.); #24688=ORIENTED_EDGE('',*,*,#18898,.F.); #24689=ORIENTED_EDGE('',*,*,#18899,.F.); #24690=ORIENTED_EDGE('',*,*,#18898,.T.); #24691=ORIENTED_EDGE('',*,*,#18900,.F.); #24692=ORIENTED_EDGE('',*,*,#18901,.F.); #24693=ORIENTED_EDGE('',*,*,#18902,.F.); #24694=ORIENTED_EDGE('',*,*,#18901,.T.); #24695=ORIENTED_EDGE('',*,*,#18903,.F.); #24696=ORIENTED_EDGE('',*,*,#18904,.F.); #24697=ORIENTED_EDGE('',*,*,#18905,.F.); #24698=ORIENTED_EDGE('',*,*,#18904,.T.); #24699=ORIENTED_EDGE('',*,*,#18906,.F.); #24700=ORIENTED_EDGE('',*,*,#18907,.F.); #24701=ORIENTED_EDGE('',*,*,#18908,.T.); #24702=ORIENTED_EDGE('',*,*,#18907,.T.); #24703=ORIENTED_EDGE('',*,*,#18909,.F.); #24704=ORIENTED_EDGE('',*,*,#18881,.F.); #24705=ORIENTED_EDGE('',*,*,#18909,.T.); #24706=ORIENTED_EDGE('',*,*,#18906,.T.); #24707=ORIENTED_EDGE('',*,*,#18903,.T.); #24708=ORIENTED_EDGE('',*,*,#18900,.T.); #24709=ORIENTED_EDGE('',*,*,#18897,.T.); #24710=ORIENTED_EDGE('',*,*,#18894,.T.); #24711=ORIENTED_EDGE('',*,*,#18891,.T.); #24712=ORIENTED_EDGE('',*,*,#18888,.T.); #24713=ORIENTED_EDGE('',*,*,#18885,.T.); #24714=ORIENTED_EDGE('',*,*,#18882,.T.); #24715=ORIENTED_EDGE('',*,*,#18877,.T.); #24716=ORIENTED_EDGE('',*,*,#18908,.F.); #24717=ORIENTED_EDGE('',*,*,#18880,.T.); #24718=ORIENTED_EDGE('',*,*,#18884,.F.); #24719=ORIENTED_EDGE('',*,*,#18887,.T.); #24720=ORIENTED_EDGE('',*,*,#18890,.T.); #24721=ORIENTED_EDGE('',*,*,#18893,.T.); #24722=ORIENTED_EDGE('',*,*,#18896,.T.); #24723=ORIENTED_EDGE('',*,*,#18899,.T.); #24724=ORIENTED_EDGE('',*,*,#18902,.T.); #24725=ORIENTED_EDGE('',*,*,#18905,.T.); #24726=ORIENTED_EDGE('',*,*,#18879,.F.); #24727=ORIENTED_EDGE('',*,*,#18910,.F.); #24728=ORIENTED_EDGE('',*,*,#18911,.T.); #24729=ORIENTED_EDGE('',*,*,#18912,.F.); #24730=ORIENTED_EDGE('',*,*,#18911,.F.); #24731=ORIENTED_EDGE('',*,*,#18913,.F.); #24732=ORIENTED_EDGE('',*,*,#18914,.T.); #24733=ORIENTED_EDGE('',*,*,#18915,.F.); #24734=ORIENTED_EDGE('',*,*,#18914,.F.); #24735=ORIENTED_EDGE('',*,*,#18916,.F.); #24736=ORIENTED_EDGE('',*,*,#18917,.T.); #24737=ORIENTED_EDGE('',*,*,#18918,.F.); #24738=ORIENTED_EDGE('',*,*,#18917,.F.); #24739=ORIENTED_EDGE('',*,*,#18919,.F.); #24740=ORIENTED_EDGE('',*,*,#18920,.T.); #24741=ORIENTED_EDGE('',*,*,#18921,.F.); #24742=ORIENTED_EDGE('',*,*,#18922,.F.); #24743=ORIENTED_EDGE('',*,*,#18923,.F.); #24744=ORIENTED_EDGE('',*,*,#18922,.T.); #24745=ORIENTED_EDGE('',*,*,#18924,.F.); #24746=ORIENTED_EDGE('',*,*,#18925,.F.); #24747=ORIENTED_EDGE('',*,*,#18926,.F.); #24748=ORIENTED_EDGE('',*,*,#18925,.T.); #24749=ORIENTED_EDGE('',*,*,#18927,.F.); #24750=ORIENTED_EDGE('',*,*,#18928,.F.); #24751=ORIENTED_EDGE('',*,*,#18929,.F.); #24752=ORIENTED_EDGE('',*,*,#18928,.T.); #24753=ORIENTED_EDGE('',*,*,#18930,.F.); #24754=ORIENTED_EDGE('',*,*,#18931,.F.); #24755=ORIENTED_EDGE('',*,*,#18932,.F.); #24756=ORIENTED_EDGE('',*,*,#18931,.T.); #24757=ORIENTED_EDGE('',*,*,#18933,.F.); #24758=ORIENTED_EDGE('',*,*,#18934,.F.); #24759=ORIENTED_EDGE('',*,*,#18935,.F.); #24760=ORIENTED_EDGE('',*,*,#18934,.T.); #24761=ORIENTED_EDGE('',*,*,#18936,.F.); #24762=ORIENTED_EDGE('',*,*,#18937,.F.); #24763=ORIENTED_EDGE('',*,*,#18938,.F.); #24764=ORIENTED_EDGE('',*,*,#18937,.T.); #24765=ORIENTED_EDGE('',*,*,#18939,.F.); #24766=ORIENTED_EDGE('',*,*,#18940,.F.); #24767=ORIENTED_EDGE('',*,*,#18941,.F.); #24768=ORIENTED_EDGE('',*,*,#18940,.T.); #24769=ORIENTED_EDGE('',*,*,#18942,.F.); #24770=ORIENTED_EDGE('',*,*,#18943,.F.); #24771=ORIENTED_EDGE('',*,*,#18944,.F.); #24772=ORIENTED_EDGE('',*,*,#18943,.T.); #24773=ORIENTED_EDGE('',*,*,#18945,.F.); #24774=ORIENTED_EDGE('',*,*,#18946,.F.); #24775=ORIENTED_EDGE('',*,*,#18947,.F.); #24776=ORIENTED_EDGE('',*,*,#18946,.T.); #24777=ORIENTED_EDGE('',*,*,#18948,.F.); #24778=ORIENTED_EDGE('',*,*,#18920,.F.); #24779=ORIENTED_EDGE('',*,*,#18948,.T.); #24780=ORIENTED_EDGE('',*,*,#18945,.T.); #24781=ORIENTED_EDGE('',*,*,#18942,.T.); #24782=ORIENTED_EDGE('',*,*,#18939,.T.); #24783=ORIENTED_EDGE('',*,*,#18936,.T.); #24784=ORIENTED_EDGE('',*,*,#18933,.T.); #24785=ORIENTED_EDGE('',*,*,#18930,.T.); #24786=ORIENTED_EDGE('',*,*,#18927,.T.); #24787=ORIENTED_EDGE('',*,*,#18924,.T.); #24788=ORIENTED_EDGE('',*,*,#18921,.T.); #24789=ORIENTED_EDGE('',*,*,#18916,.T.); #24790=ORIENTED_EDGE('',*,*,#18913,.T.); #24791=ORIENTED_EDGE('',*,*,#18910,.T.); #24792=ORIENTED_EDGE('',*,*,#18947,.T.); #24793=ORIENTED_EDGE('',*,*,#18919,.T.); #24794=ORIENTED_EDGE('',*,*,#18923,.T.); #24795=ORIENTED_EDGE('',*,*,#18926,.T.); #24796=ORIENTED_EDGE('',*,*,#18929,.T.); #24797=ORIENTED_EDGE('',*,*,#18932,.T.); #24798=ORIENTED_EDGE('',*,*,#18935,.T.); #24799=ORIENTED_EDGE('',*,*,#18938,.T.); #24800=ORIENTED_EDGE('',*,*,#18941,.T.); #24801=ORIENTED_EDGE('',*,*,#18944,.T.); #24802=ORIENTED_EDGE('',*,*,#18918,.T.); #24803=ORIENTED_EDGE('',*,*,#18915,.T.); #24804=ORIENTED_EDGE('',*,*,#18912,.T.); #24805=ORIENTED_EDGE('',*,*,#18949,.F.); #24806=ORIENTED_EDGE('',*,*,#18950,.T.); #24807=ORIENTED_EDGE('',*,*,#18951,.F.); #24808=ORIENTED_EDGE('',*,*,#18950,.F.); #24809=ORIENTED_EDGE('',*,*,#18952,.F.); #24810=ORIENTED_EDGE('',*,*,#18953,.T.); #24811=ORIENTED_EDGE('',*,*,#18954,.F.); #24812=ORIENTED_EDGE('',*,*,#18953,.F.); #24813=ORIENTED_EDGE('',*,*,#18955,.F.); #24814=ORIENTED_EDGE('',*,*,#18956,.T.); #24815=ORIENTED_EDGE('',*,*,#18957,.F.); #24816=ORIENTED_EDGE('',*,*,#18956,.F.); #24817=ORIENTED_EDGE('',*,*,#18958,.F.); #24818=ORIENTED_EDGE('',*,*,#18959,.T.); #24819=ORIENTED_EDGE('',*,*,#18960,.F.); #24820=ORIENTED_EDGE('',*,*,#18961,.F.); #24821=ORIENTED_EDGE('',*,*,#18962,.F.); #24822=ORIENTED_EDGE('',*,*,#18961,.T.); #24823=ORIENTED_EDGE('',*,*,#18963,.F.); #24824=ORIENTED_EDGE('',*,*,#18964,.F.); #24825=ORIENTED_EDGE('',*,*,#18965,.F.); #24826=ORIENTED_EDGE('',*,*,#18964,.T.); #24827=ORIENTED_EDGE('',*,*,#18966,.F.); #24828=ORIENTED_EDGE('',*,*,#18967,.F.); #24829=ORIENTED_EDGE('',*,*,#18968,.F.); #24830=ORIENTED_EDGE('',*,*,#18967,.T.); #24831=ORIENTED_EDGE('',*,*,#18969,.F.); #24832=ORIENTED_EDGE('',*,*,#18970,.F.); #24833=ORIENTED_EDGE('',*,*,#18971,.F.); #24834=ORIENTED_EDGE('',*,*,#18970,.T.); #24835=ORIENTED_EDGE('',*,*,#18972,.F.); #24836=ORIENTED_EDGE('',*,*,#18973,.F.); #24837=ORIENTED_EDGE('',*,*,#18974,.F.); #24838=ORIENTED_EDGE('',*,*,#18973,.T.); #24839=ORIENTED_EDGE('',*,*,#18975,.F.); #24840=ORIENTED_EDGE('',*,*,#18976,.F.); #24841=ORIENTED_EDGE('',*,*,#18977,.F.); #24842=ORIENTED_EDGE('',*,*,#18976,.T.); #24843=ORIENTED_EDGE('',*,*,#18978,.F.); #24844=ORIENTED_EDGE('',*,*,#18979,.F.); #24845=ORIENTED_EDGE('',*,*,#18980,.F.); #24846=ORIENTED_EDGE('',*,*,#18979,.T.); #24847=ORIENTED_EDGE('',*,*,#18981,.F.); #24848=ORIENTED_EDGE('',*,*,#18982,.F.); #24849=ORIENTED_EDGE('',*,*,#18983,.F.); #24850=ORIENTED_EDGE('',*,*,#18982,.T.); #24851=ORIENTED_EDGE('',*,*,#18984,.F.); #24852=ORIENTED_EDGE('',*,*,#18985,.F.); #24853=ORIENTED_EDGE('',*,*,#18986,.F.); #24854=ORIENTED_EDGE('',*,*,#18985,.T.); #24855=ORIENTED_EDGE('',*,*,#18987,.F.); #24856=ORIENTED_EDGE('',*,*,#18959,.F.); #24857=ORIENTED_EDGE('',*,*,#18987,.T.); #24858=ORIENTED_EDGE('',*,*,#18984,.T.); #24859=ORIENTED_EDGE('',*,*,#18981,.T.); #24860=ORIENTED_EDGE('',*,*,#18978,.T.); #24861=ORIENTED_EDGE('',*,*,#18975,.T.); #24862=ORIENTED_EDGE('',*,*,#18972,.T.); #24863=ORIENTED_EDGE('',*,*,#18969,.T.); #24864=ORIENTED_EDGE('',*,*,#18966,.T.); #24865=ORIENTED_EDGE('',*,*,#18963,.T.); #24866=ORIENTED_EDGE('',*,*,#18960,.T.); #24867=ORIENTED_EDGE('',*,*,#18955,.T.); #24868=ORIENTED_EDGE('',*,*,#18952,.T.); #24869=ORIENTED_EDGE('',*,*,#18949,.T.); #24870=ORIENTED_EDGE('',*,*,#18986,.T.); #24871=ORIENTED_EDGE('',*,*,#18958,.T.); #24872=ORIENTED_EDGE('',*,*,#18962,.T.); #24873=ORIENTED_EDGE('',*,*,#18965,.T.); #24874=ORIENTED_EDGE('',*,*,#18968,.T.); #24875=ORIENTED_EDGE('',*,*,#18971,.T.); #24876=ORIENTED_EDGE('',*,*,#18974,.T.); #24877=ORIENTED_EDGE('',*,*,#18977,.T.); #24878=ORIENTED_EDGE('',*,*,#18980,.T.); #24879=ORIENTED_EDGE('',*,*,#18983,.T.); #24880=ORIENTED_EDGE('',*,*,#18957,.T.); #24881=ORIENTED_EDGE('',*,*,#18954,.T.); #24882=ORIENTED_EDGE('',*,*,#18951,.T.); #24883=ORIENTED_EDGE('',*,*,#18988,.T.); #24884=ORIENTED_EDGE('',*,*,#18989,.T.); #24885=ORIENTED_EDGE('',*,*,#18990,.F.); #24886=ORIENTED_EDGE('',*,*,#18989,.F.); #24887=ORIENTED_EDGE('',*,*,#18991,.F.); #24888=ORIENTED_EDGE('',*,*,#18992,.T.); #24889=ORIENTED_EDGE('',*,*,#18993,.T.); #24890=ORIENTED_EDGE('',*,*,#18994,.F.); #24891=ORIENTED_EDGE('',*,*,#18995,.F.); #24892=ORIENTED_EDGE('',*,*,#18996,.T.); #24893=ORIENTED_EDGE('',*,*,#18997,.T.); #24894=ORIENTED_EDGE('',*,*,#18992,.F.); #24895=ORIENTED_EDGE('',*,*,#18998,.F.); #24896=ORIENTED_EDGE('',*,*,#18999,.T.); #24897=ORIENTED_EDGE('',*,*,#19000,.T.); #24898=ORIENTED_EDGE('',*,*,#18996,.F.); #24899=ORIENTED_EDGE('',*,*,#19001,.F.); #24900=ORIENTED_EDGE('',*,*,#19002,.T.); #24901=ORIENTED_EDGE('',*,*,#19003,.T.); #24902=ORIENTED_EDGE('',*,*,#18999,.F.); #24903=ORIENTED_EDGE('',*,*,#19004,.F.); #24904=ORIENTED_EDGE('',*,*,#19005,.T.); #24905=ORIENTED_EDGE('',*,*,#19006,.T.); #24906=ORIENTED_EDGE('',*,*,#19002,.F.); #24907=ORIENTED_EDGE('',*,*,#19007,.F.); #24908=ORIENTED_EDGE('',*,*,#19008,.T.); #24909=ORIENTED_EDGE('',*,*,#19009,.T.); #24910=ORIENTED_EDGE('',*,*,#19005,.F.); #24911=ORIENTED_EDGE('',*,*,#19010,.F.); #24912=ORIENTED_EDGE('',*,*,#19011,.T.); #24913=ORIENTED_EDGE('',*,*,#19012,.T.); #24914=ORIENTED_EDGE('',*,*,#19008,.F.); #24915=ORIENTED_EDGE('',*,*,#19013,.F.); #24916=ORIENTED_EDGE('',*,*,#19014,.T.); #24917=ORIENTED_EDGE('',*,*,#19015,.T.); #24918=ORIENTED_EDGE('',*,*,#19011,.F.); #24919=ORIENTED_EDGE('',*,*,#19016,.F.); #24920=ORIENTED_EDGE('',*,*,#19017,.T.); #24921=ORIENTED_EDGE('',*,*,#19018,.T.); #24922=ORIENTED_EDGE('',*,*,#19014,.F.); #24923=ORIENTED_EDGE('',*,*,#19019,.F.); #24924=ORIENTED_EDGE('',*,*,#18994,.T.); #24925=ORIENTED_EDGE('',*,*,#19020,.T.); #24926=ORIENTED_EDGE('',*,*,#19017,.F.); #24927=ORIENTED_EDGE('',*,*,#19020,.F.); #24928=ORIENTED_EDGE('',*,*,#18993,.F.); #24929=ORIENTED_EDGE('',*,*,#18997,.F.); #24930=ORIENTED_EDGE('',*,*,#19000,.F.); #24931=ORIENTED_EDGE('',*,*,#19003,.F.); #24932=ORIENTED_EDGE('',*,*,#19006,.F.); #24933=ORIENTED_EDGE('',*,*,#19009,.F.); #24934=ORIENTED_EDGE('',*,*,#19012,.F.); #24935=ORIENTED_EDGE('',*,*,#19015,.F.); #24936=ORIENTED_EDGE('',*,*,#19018,.F.); #24937=ORIENTED_EDGE('',*,*,#18988,.F.); #24938=ORIENTED_EDGE('',*,*,#19019,.T.); #24939=ORIENTED_EDGE('',*,*,#19016,.T.); #24940=ORIENTED_EDGE('',*,*,#19013,.T.); #24941=ORIENTED_EDGE('',*,*,#19010,.T.); #24942=ORIENTED_EDGE('',*,*,#19007,.T.); #24943=ORIENTED_EDGE('',*,*,#19004,.T.); #24944=ORIENTED_EDGE('',*,*,#19001,.T.); #24945=ORIENTED_EDGE('',*,*,#18998,.T.); #24946=ORIENTED_EDGE('',*,*,#18995,.T.); #24947=ORIENTED_EDGE('',*,*,#18991,.T.); #24948=ORIENTED_EDGE('',*,*,#18990,.T.); #24949=ORIENTED_EDGE('',*,*,#19021,.T.); #24950=ORIENTED_EDGE('',*,*,#19022,.T.); #24951=ORIENTED_EDGE('',*,*,#19023,.F.); #24952=ORIENTED_EDGE('',*,*,#19022,.F.); #24953=ORIENTED_EDGE('',*,*,#19024,.T.); #24954=ORIENTED_EDGE('',*,*,#19025,.T.); #24955=ORIENTED_EDGE('',*,*,#19026,.T.); #24956=ORIENTED_EDGE('',*,*,#19027,.F.); #24957=ORIENTED_EDGE('',*,*,#19028,.F.); #24958=ORIENTED_EDGE('',*,*,#19029,.T.); #24959=ORIENTED_EDGE('',*,*,#19030,.T.); #24960=ORIENTED_EDGE('',*,*,#19025,.F.); #24961=ORIENTED_EDGE('',*,*,#19031,.T.); #24962=ORIENTED_EDGE('',*,*,#19032,.T.); #24963=ORIENTED_EDGE('',*,*,#19033,.T.); #24964=ORIENTED_EDGE('',*,*,#19029,.F.); #24965=ORIENTED_EDGE('',*,*,#19034,.T.); #24966=ORIENTED_EDGE('',*,*,#19035,.T.); #24967=ORIENTED_EDGE('',*,*,#19036,.T.); #24968=ORIENTED_EDGE('',*,*,#19032,.F.); #24969=ORIENTED_EDGE('',*,*,#19037,.T.); #24970=ORIENTED_EDGE('',*,*,#19038,.T.); #24971=ORIENTED_EDGE('',*,*,#19039,.T.); #24972=ORIENTED_EDGE('',*,*,#19035,.F.); #24973=ORIENTED_EDGE('',*,*,#19040,.T.); #24974=ORIENTED_EDGE('',*,*,#19041,.T.); #24975=ORIENTED_EDGE('',*,*,#19042,.T.); #24976=ORIENTED_EDGE('',*,*,#19038,.F.); #24977=ORIENTED_EDGE('',*,*,#19043,.T.); #24978=ORIENTED_EDGE('',*,*,#19044,.T.); #24979=ORIENTED_EDGE('',*,*,#19045,.T.); #24980=ORIENTED_EDGE('',*,*,#19041,.F.); #24981=ORIENTED_EDGE('',*,*,#19046,.T.); #24982=ORIENTED_EDGE('',*,*,#19047,.T.); #24983=ORIENTED_EDGE('',*,*,#19048,.T.); #24984=ORIENTED_EDGE('',*,*,#19044,.F.); #24985=ORIENTED_EDGE('',*,*,#19049,.T.); #24986=ORIENTED_EDGE('',*,*,#19050,.T.); #24987=ORIENTED_EDGE('',*,*,#19051,.T.); #24988=ORIENTED_EDGE('',*,*,#19047,.F.); #24989=ORIENTED_EDGE('',*,*,#19052,.F.); #24990=ORIENTED_EDGE('',*,*,#19027,.T.); #24991=ORIENTED_EDGE('',*,*,#19053,.T.); #24992=ORIENTED_EDGE('',*,*,#19050,.F.); #24993=ORIENTED_EDGE('',*,*,#19053,.F.); #24994=ORIENTED_EDGE('',*,*,#19026,.F.); #24995=ORIENTED_EDGE('',*,*,#19030,.F.); #24996=ORIENTED_EDGE('',*,*,#19033,.F.); #24997=ORIENTED_EDGE('',*,*,#19036,.F.); #24998=ORIENTED_EDGE('',*,*,#19039,.F.); #24999=ORIENTED_EDGE('',*,*,#19042,.F.); #25000=ORIENTED_EDGE('',*,*,#19045,.F.); #25001=ORIENTED_EDGE('',*,*,#19048,.F.); #25002=ORIENTED_EDGE('',*,*,#19051,.F.); #25003=ORIENTED_EDGE('',*,*,#19021,.F.); #25004=ORIENTED_EDGE('',*,*,#19052,.T.); #25005=ORIENTED_EDGE('',*,*,#19049,.F.); #25006=ORIENTED_EDGE('',*,*,#19046,.F.); #25007=ORIENTED_EDGE('',*,*,#19043,.F.); #25008=ORIENTED_EDGE('',*,*,#19040,.F.); #25009=ORIENTED_EDGE('',*,*,#19037,.F.); #25010=ORIENTED_EDGE('',*,*,#19034,.F.); #25011=ORIENTED_EDGE('',*,*,#19031,.F.); #25012=ORIENTED_EDGE('',*,*,#19028,.T.); #25013=ORIENTED_EDGE('',*,*,#19024,.F.); #25014=ORIENTED_EDGE('',*,*,#19023,.T.); #25015=ORIENTED_EDGE('',*,*,#19054,.T.); #25016=ORIENTED_EDGE('',*,*,#19055,.T.); #25017=ORIENTED_EDGE('',*,*,#19056,.T.); #25018=ORIENTED_EDGE('',*,*,#19055,.F.); #25019=ORIENTED_EDGE('',*,*,#19057,.T.); #25020=ORIENTED_EDGE('',*,*,#19058,.T.); #25021=ORIENTED_EDGE('',*,*,#19059,.T.); #25022=ORIENTED_EDGE('',*,*,#19058,.F.); #25023=ORIENTED_EDGE('',*,*,#19060,.T.); #25024=ORIENTED_EDGE('',*,*,#19061,.T.); #25025=ORIENTED_EDGE('',*,*,#19062,.T.); #25026=ORIENTED_EDGE('',*,*,#19061,.F.); #25027=ORIENTED_EDGE('',*,*,#19063,.T.); #25028=ORIENTED_EDGE('',*,*,#19064,.T.); #25029=ORIENTED_EDGE('',*,*,#19065,.T.); #25030=ORIENTED_EDGE('',*,*,#19066,.F.); #25031=ORIENTED_EDGE('',*,*,#19067,.T.); #25032=ORIENTED_EDGE('',*,*,#19068,.T.); #25033=ORIENTED_EDGE('',*,*,#19069,.T.); #25034=ORIENTED_EDGE('',*,*,#19064,.F.); #25035=ORIENTED_EDGE('',*,*,#19070,.T.); #25036=ORIENTED_EDGE('',*,*,#19071,.T.); #25037=ORIENTED_EDGE('',*,*,#19072,.T.); #25038=ORIENTED_EDGE('',*,*,#19068,.F.); #25039=ORIENTED_EDGE('',*,*,#19073,.T.); #25040=ORIENTED_EDGE('',*,*,#19074,.T.); #25041=ORIENTED_EDGE('',*,*,#19075,.T.); #25042=ORIENTED_EDGE('',*,*,#19071,.F.); #25043=ORIENTED_EDGE('',*,*,#19076,.T.); #25044=ORIENTED_EDGE('',*,*,#19077,.T.); #25045=ORIENTED_EDGE('',*,*,#19078,.T.); #25046=ORIENTED_EDGE('',*,*,#19074,.F.); #25047=ORIENTED_EDGE('',*,*,#19079,.T.); #25048=ORIENTED_EDGE('',*,*,#19080,.T.); #25049=ORIENTED_EDGE('',*,*,#19081,.T.); #25050=ORIENTED_EDGE('',*,*,#19077,.F.); #25051=ORIENTED_EDGE('',*,*,#19082,.T.); #25052=ORIENTED_EDGE('',*,*,#19083,.T.); #25053=ORIENTED_EDGE('',*,*,#19084,.T.); #25054=ORIENTED_EDGE('',*,*,#19080,.F.); #25055=ORIENTED_EDGE('',*,*,#19085,.T.); #25056=ORIENTED_EDGE('',*,*,#19086,.T.); #25057=ORIENTED_EDGE('',*,*,#19087,.T.); #25058=ORIENTED_EDGE('',*,*,#19083,.F.); #25059=ORIENTED_EDGE('',*,*,#19088,.T.); #25060=ORIENTED_EDGE('',*,*,#19089,.T.); #25061=ORIENTED_EDGE('',*,*,#19090,.T.); #25062=ORIENTED_EDGE('',*,*,#19086,.F.); #25063=ORIENTED_EDGE('',*,*,#19091,.T.); #25064=ORIENTED_EDGE('',*,*,#19066,.T.); #25065=ORIENTED_EDGE('',*,*,#19092,.T.); #25066=ORIENTED_EDGE('',*,*,#19089,.F.); #25067=ORIENTED_EDGE('',*,*,#19092,.F.); #25068=ORIENTED_EDGE('',*,*,#19065,.F.); #25069=ORIENTED_EDGE('',*,*,#19069,.F.); #25070=ORIENTED_EDGE('',*,*,#19072,.F.); #25071=ORIENTED_EDGE('',*,*,#19075,.F.); #25072=ORIENTED_EDGE('',*,*,#19078,.F.); #25073=ORIENTED_EDGE('',*,*,#19081,.F.); #25074=ORIENTED_EDGE('',*,*,#19084,.F.); #25075=ORIENTED_EDGE('',*,*,#19087,.F.); #25076=ORIENTED_EDGE('',*,*,#19090,.F.); #25077=ORIENTED_EDGE('',*,*,#19060,.F.); #25078=ORIENTED_EDGE('',*,*,#19057,.F.); #25079=ORIENTED_EDGE('',*,*,#19054,.F.); #25080=ORIENTED_EDGE('',*,*,#19091,.F.); #25081=ORIENTED_EDGE('',*,*,#19088,.F.); #25082=ORIENTED_EDGE('',*,*,#19085,.F.); #25083=ORIENTED_EDGE('',*,*,#19082,.F.); #25084=ORIENTED_EDGE('',*,*,#19079,.F.); #25085=ORIENTED_EDGE('',*,*,#19076,.F.); #25086=ORIENTED_EDGE('',*,*,#19073,.F.); #25087=ORIENTED_EDGE('',*,*,#19070,.F.); #25088=ORIENTED_EDGE('',*,*,#19067,.F.); #25089=ORIENTED_EDGE('',*,*,#19063,.F.); #25090=ORIENTED_EDGE('',*,*,#19062,.F.); #25091=ORIENTED_EDGE('',*,*,#19059,.F.); #25092=ORIENTED_EDGE('',*,*,#19056,.F.); #25093=ORIENTED_EDGE('',*,*,#19093,.T.); #25094=ORIENTED_EDGE('',*,*,#19094,.T.); #25095=ORIENTED_EDGE('',*,*,#19095,.T.); #25096=ORIENTED_EDGE('',*,*,#19094,.F.); #25097=ORIENTED_EDGE('',*,*,#19096,.T.); #25098=ORIENTED_EDGE('',*,*,#19097,.T.); #25099=ORIENTED_EDGE('',*,*,#19098,.T.); #25100=ORIENTED_EDGE('',*,*,#19097,.F.); #25101=ORIENTED_EDGE('',*,*,#19099,.T.); #25102=ORIENTED_EDGE('',*,*,#19100,.T.); #25103=ORIENTED_EDGE('',*,*,#19101,.T.); #25104=ORIENTED_EDGE('',*,*,#19100,.F.); #25105=ORIENTED_EDGE('',*,*,#19102,.T.); #25106=ORIENTED_EDGE('',*,*,#19103,.T.); #25107=ORIENTED_EDGE('',*,*,#19104,.T.); #25108=ORIENTED_EDGE('',*,*,#19105,.F.); #25109=ORIENTED_EDGE('',*,*,#19106,.T.); #25110=ORIENTED_EDGE('',*,*,#19107,.T.); #25111=ORIENTED_EDGE('',*,*,#19108,.T.); #25112=ORIENTED_EDGE('',*,*,#19103,.F.); #25113=ORIENTED_EDGE('',*,*,#19109,.T.); #25114=ORIENTED_EDGE('',*,*,#19110,.T.); #25115=ORIENTED_EDGE('',*,*,#19111,.T.); #25116=ORIENTED_EDGE('',*,*,#19107,.F.); #25117=ORIENTED_EDGE('',*,*,#19112,.T.); #25118=ORIENTED_EDGE('',*,*,#19113,.T.); #25119=ORIENTED_EDGE('',*,*,#19114,.T.); #25120=ORIENTED_EDGE('',*,*,#19110,.F.); #25121=ORIENTED_EDGE('',*,*,#19115,.T.); #25122=ORIENTED_EDGE('',*,*,#19116,.T.); #25123=ORIENTED_EDGE('',*,*,#19117,.T.); #25124=ORIENTED_EDGE('',*,*,#19113,.F.); #25125=ORIENTED_EDGE('',*,*,#19118,.T.); #25126=ORIENTED_EDGE('',*,*,#19119,.T.); #25127=ORIENTED_EDGE('',*,*,#19120,.T.); #25128=ORIENTED_EDGE('',*,*,#19116,.F.); #25129=ORIENTED_EDGE('',*,*,#19121,.T.); #25130=ORIENTED_EDGE('',*,*,#19122,.T.); #25131=ORIENTED_EDGE('',*,*,#19123,.T.); #25132=ORIENTED_EDGE('',*,*,#19119,.F.); #25133=ORIENTED_EDGE('',*,*,#19124,.T.); #25134=ORIENTED_EDGE('',*,*,#19125,.T.); #25135=ORIENTED_EDGE('',*,*,#19126,.T.); #25136=ORIENTED_EDGE('',*,*,#19122,.F.); #25137=ORIENTED_EDGE('',*,*,#19127,.T.); #25138=ORIENTED_EDGE('',*,*,#19128,.T.); #25139=ORIENTED_EDGE('',*,*,#19129,.T.); #25140=ORIENTED_EDGE('',*,*,#19125,.F.); #25141=ORIENTED_EDGE('',*,*,#19130,.T.); #25142=ORIENTED_EDGE('',*,*,#19105,.T.); #25143=ORIENTED_EDGE('',*,*,#19131,.T.); #25144=ORIENTED_EDGE('',*,*,#19128,.F.); #25145=ORIENTED_EDGE('',*,*,#19131,.F.); #25146=ORIENTED_EDGE('',*,*,#19104,.F.); #25147=ORIENTED_EDGE('',*,*,#19108,.F.); #25148=ORIENTED_EDGE('',*,*,#19111,.F.); #25149=ORIENTED_EDGE('',*,*,#19114,.F.); #25150=ORIENTED_EDGE('',*,*,#19117,.F.); #25151=ORIENTED_EDGE('',*,*,#19120,.F.); #25152=ORIENTED_EDGE('',*,*,#19123,.F.); #25153=ORIENTED_EDGE('',*,*,#19126,.F.); #25154=ORIENTED_EDGE('',*,*,#19129,.F.); #25155=ORIENTED_EDGE('',*,*,#19099,.F.); #25156=ORIENTED_EDGE('',*,*,#19096,.F.); #25157=ORIENTED_EDGE('',*,*,#19093,.F.); #25158=ORIENTED_EDGE('',*,*,#19130,.F.); #25159=ORIENTED_EDGE('',*,*,#19127,.F.); #25160=ORIENTED_EDGE('',*,*,#19124,.F.); #25161=ORIENTED_EDGE('',*,*,#19121,.F.); #25162=ORIENTED_EDGE('',*,*,#19118,.F.); #25163=ORIENTED_EDGE('',*,*,#19115,.F.); #25164=ORIENTED_EDGE('',*,*,#19112,.F.); #25165=ORIENTED_EDGE('',*,*,#19109,.F.); #25166=ORIENTED_EDGE('',*,*,#19106,.F.); #25167=ORIENTED_EDGE('',*,*,#19102,.F.); #25168=ORIENTED_EDGE('',*,*,#19101,.F.); #25169=ORIENTED_EDGE('',*,*,#19098,.F.); #25170=ORIENTED_EDGE('',*,*,#19095,.F.); #25171=ORIENTED_EDGE('',*,*,#19132,.F.); #25172=ORIENTED_EDGE('',*,*,#19133,.T.); #25173=ORIENTED_EDGE('',*,*,#19134,.F.); #25174=ORIENTED_EDGE('',*,*,#19135,.F.); #25175=ORIENTED_EDGE('',*,*,#19136,.F.); #25176=ORIENTED_EDGE('',*,*,#19135,.T.); #25177=ORIENTED_EDGE('',*,*,#19137,.F.); #25178=ORIENTED_EDGE('',*,*,#19138,.F.); #25179=ORIENTED_EDGE('',*,*,#19139,.F.); #25180=ORIENTED_EDGE('',*,*,#19138,.T.); #25181=ORIENTED_EDGE('',*,*,#19140,.F.); #25182=ORIENTED_EDGE('',*,*,#19141,.F.); #25183=ORIENTED_EDGE('',*,*,#19142,.F.); #25184=ORIENTED_EDGE('',*,*,#19141,.T.); #25185=ORIENTED_EDGE('',*,*,#19143,.F.); #25186=ORIENTED_EDGE('',*,*,#19133,.F.); #25187=ORIENTED_EDGE('',*,*,#19144,.F.); #25188=ORIENTED_EDGE('',*,*,#19145,.T.); #25189=ORIENTED_EDGE('',*,*,#19146,.F.); #25190=ORIENTED_EDGE('',*,*,#19147,.F.); #25191=ORIENTED_EDGE('',*,*,#19148,.F.); #25192=ORIENTED_EDGE('',*,*,#19147,.T.); #25193=ORIENTED_EDGE('',*,*,#19149,.F.); #25194=ORIENTED_EDGE('',*,*,#19150,.F.); #25195=ORIENTED_EDGE('',*,*,#19151,.F.); #25196=ORIENTED_EDGE('',*,*,#19150,.T.); #25197=ORIENTED_EDGE('',*,*,#19152,.F.); #25198=ORIENTED_EDGE('',*,*,#19153,.F.); #25199=ORIENTED_EDGE('',*,*,#19154,.F.); #25200=ORIENTED_EDGE('',*,*,#19153,.T.); #25201=ORIENTED_EDGE('',*,*,#19155,.F.); #25202=ORIENTED_EDGE('',*,*,#19145,.F.); #25203=ORIENTED_EDGE('',*,*,#19156,.F.); #25204=ORIENTED_EDGE('',*,*,#19157,.T.); #25205=ORIENTED_EDGE('',*,*,#19158,.F.); #25206=ORIENTED_EDGE('',*,*,#19159,.F.); #25207=ORIENTED_EDGE('',*,*,#19160,.F.); #25208=ORIENTED_EDGE('',*,*,#19159,.T.); #25209=ORIENTED_EDGE('',*,*,#19161,.F.); #25210=ORIENTED_EDGE('',*,*,#19162,.F.); #25211=ORIENTED_EDGE('',*,*,#19163,.F.); #25212=ORIENTED_EDGE('',*,*,#19162,.T.); #25213=ORIENTED_EDGE('',*,*,#19164,.F.); #25214=ORIENTED_EDGE('',*,*,#19165,.F.); #25215=ORIENTED_EDGE('',*,*,#19166,.F.); #25216=ORIENTED_EDGE('',*,*,#19165,.T.); #25217=ORIENTED_EDGE('',*,*,#19167,.F.); #25218=ORIENTED_EDGE('',*,*,#19157,.F.); #25219=ORIENTED_EDGE('',*,*,#19168,.F.); #25220=ORIENTED_EDGE('',*,*,#19169,.T.); #25221=ORIENTED_EDGE('',*,*,#19170,.F.); #25222=ORIENTED_EDGE('',*,*,#19169,.F.); #25223=ORIENTED_EDGE('',*,*,#19171,.F.); #25224=ORIENTED_EDGE('',*,*,#19172,.T.); #25225=ORIENTED_EDGE('',*,*,#19173,.F.); #25226=ORIENTED_EDGE('',*,*,#19172,.F.); #25227=ORIENTED_EDGE('',*,*,#19174,.F.); #25228=ORIENTED_EDGE('',*,*,#19175,.T.); #25229=ORIENTED_EDGE('',*,*,#19176,.F.); #25230=ORIENTED_EDGE('',*,*,#19175,.F.); #25231=ORIENTED_EDGE('',*,*,#19177,.F.); #25232=ORIENTED_EDGE('',*,*,#19178,.T.); #25233=ORIENTED_EDGE('',*,*,#19179,.F.); #25234=ORIENTED_EDGE('',*,*,#19178,.F.); #25235=ORIENTED_EDGE('',*,*,#19180,.F.); #25236=ORIENTED_EDGE('',*,*,#19181,.T.); #25237=ORIENTED_EDGE('',*,*,#19182,.F.); #25238=ORIENTED_EDGE('',*,*,#19181,.F.); #25239=ORIENTED_EDGE('',*,*,#19183,.F.); #25240=ORIENTED_EDGE('',*,*,#19184,.T.); #25241=ORIENTED_EDGE('',*,*,#19185,.F.); #25242=ORIENTED_EDGE('',*,*,#19184,.F.); #25243=ORIENTED_EDGE('',*,*,#19186,.F.); #25244=ORIENTED_EDGE('',*,*,#19187,.T.); #25245=ORIENTED_EDGE('',*,*,#19188,.F.); #25246=ORIENTED_EDGE('',*,*,#19189,.F.); #25247=ORIENTED_EDGE('',*,*,#19190,.F.); #25248=ORIENTED_EDGE('',*,*,#19189,.T.); #25249=ORIENTED_EDGE('',*,*,#19191,.F.); #25250=ORIENTED_EDGE('',*,*,#19192,.F.); #25251=ORIENTED_EDGE('',*,*,#19193,.F.); #25252=ORIENTED_EDGE('',*,*,#19192,.T.); #25253=ORIENTED_EDGE('',*,*,#19194,.F.); #25254=ORIENTED_EDGE('',*,*,#19195,.F.); #25255=ORIENTED_EDGE('',*,*,#19196,.F.); #25256=ORIENTED_EDGE('',*,*,#19195,.T.); #25257=ORIENTED_EDGE('',*,*,#19197,.F.); #25258=ORIENTED_EDGE('',*,*,#19187,.F.); #25259=ORIENTED_EDGE('',*,*,#19198,.F.); #25260=ORIENTED_EDGE('',*,*,#19199,.T.); #25261=ORIENTED_EDGE('',*,*,#19200,.F.); #25262=ORIENTED_EDGE('',*,*,#19201,.F.); #25263=ORIENTED_EDGE('',*,*,#19202,.F.); #25264=ORIENTED_EDGE('',*,*,#19201,.T.); #25265=ORIENTED_EDGE('',*,*,#19203,.F.); #25266=ORIENTED_EDGE('',*,*,#19204,.F.); #25267=ORIENTED_EDGE('',*,*,#19205,.F.); #25268=ORIENTED_EDGE('',*,*,#19204,.T.); #25269=ORIENTED_EDGE('',*,*,#19206,.F.); #25270=ORIENTED_EDGE('',*,*,#19207,.F.); #25271=ORIENTED_EDGE('',*,*,#19208,.F.); #25272=ORIENTED_EDGE('',*,*,#19207,.T.); #25273=ORIENTED_EDGE('',*,*,#19209,.F.); #25274=ORIENTED_EDGE('',*,*,#19199,.F.); #25275=ORIENTED_EDGE('',*,*,#19210,.F.); #25276=ORIENTED_EDGE('',*,*,#19211,.T.); #25277=ORIENTED_EDGE('',*,*,#19212,.F.); #25278=ORIENTED_EDGE('',*,*,#19213,.F.); #25279=ORIENTED_EDGE('',*,*,#19214,.F.); #25280=ORIENTED_EDGE('',*,*,#19213,.T.); #25281=ORIENTED_EDGE('',*,*,#19215,.F.); #25282=ORIENTED_EDGE('',*,*,#19216,.F.); #25283=ORIENTED_EDGE('',*,*,#19217,.F.); #25284=ORIENTED_EDGE('',*,*,#19216,.T.); #25285=ORIENTED_EDGE('',*,*,#19218,.F.); #25286=ORIENTED_EDGE('',*,*,#19219,.F.); #25287=ORIENTED_EDGE('',*,*,#19220,.F.); #25288=ORIENTED_EDGE('',*,*,#19219,.T.); #25289=ORIENTED_EDGE('',*,*,#19221,.F.); #25290=ORIENTED_EDGE('',*,*,#19211,.F.); #25291=ORIENTED_EDGE('',*,*,#19222,.F.); #25292=ORIENTED_EDGE('',*,*,#19223,.T.); #25293=ORIENTED_EDGE('',*,*,#19224,.F.); #25294=ORIENTED_EDGE('',*,*,#19225,.F.); #25295=ORIENTED_EDGE('',*,*,#19226,.F.); #25296=ORIENTED_EDGE('',*,*,#19225,.T.); #25297=ORIENTED_EDGE('',*,*,#19227,.F.); #25298=ORIENTED_EDGE('',*,*,#19228,.F.); #25299=ORIENTED_EDGE('',*,*,#19229,.F.); #25300=ORIENTED_EDGE('',*,*,#19228,.T.); #25301=ORIENTED_EDGE('',*,*,#19230,.F.); #25302=ORIENTED_EDGE('',*,*,#19231,.F.); #25303=ORIENTED_EDGE('',*,*,#19232,.F.); #25304=ORIENTED_EDGE('',*,*,#19231,.T.); #25305=ORIENTED_EDGE('',*,*,#19233,.F.); #25306=ORIENTED_EDGE('',*,*,#19223,.F.); #25307=ORIENTED_EDGE('',*,*,#19234,.F.); #25308=ORIENTED_EDGE('',*,*,#19235,.T.); #25309=ORIENTED_EDGE('',*,*,#19236,.F.); #25310=ORIENTED_EDGE('',*,*,#19237,.F.); #25311=ORIENTED_EDGE('',*,*,#19238,.F.); #25312=ORIENTED_EDGE('',*,*,#19237,.T.); #25313=ORIENTED_EDGE('',*,*,#19239,.F.); #25314=ORIENTED_EDGE('',*,*,#19240,.F.); #25315=ORIENTED_EDGE('',*,*,#19241,.F.); #25316=ORIENTED_EDGE('',*,*,#19240,.T.); #25317=ORIENTED_EDGE('',*,*,#19242,.F.); #25318=ORIENTED_EDGE('',*,*,#19243,.F.); #25319=ORIENTED_EDGE('',*,*,#19244,.F.); #25320=ORIENTED_EDGE('',*,*,#19243,.T.); #25321=ORIENTED_EDGE('',*,*,#19245,.F.); #25322=ORIENTED_EDGE('',*,*,#19235,.F.); #25323=ORIENTED_EDGE('',*,*,#19246,.F.); #25324=ORIENTED_EDGE('',*,*,#19247,.T.); #25325=ORIENTED_EDGE('',*,*,#19248,.F.); #25326=ORIENTED_EDGE('',*,*,#19249,.F.); #25327=ORIENTED_EDGE('',*,*,#19250,.F.); #25328=ORIENTED_EDGE('',*,*,#19249,.T.); #25329=ORIENTED_EDGE('',*,*,#19251,.F.); #25330=ORIENTED_EDGE('',*,*,#19252,.F.); #25331=ORIENTED_EDGE('',*,*,#19253,.F.); #25332=ORIENTED_EDGE('',*,*,#19252,.T.); #25333=ORIENTED_EDGE('',*,*,#19254,.F.); #25334=ORIENTED_EDGE('',*,*,#19255,.F.); #25335=ORIENTED_EDGE('',*,*,#19256,.F.); #25336=ORIENTED_EDGE('',*,*,#19255,.T.); #25337=ORIENTED_EDGE('',*,*,#19257,.F.); #25338=ORIENTED_EDGE('',*,*,#19247,.F.); #25339=ORIENTED_EDGE('',*,*,#19258,.F.); #25340=ORIENTED_EDGE('',*,*,#19259,.T.); #25341=ORIENTED_EDGE('',*,*,#19260,.F.); #25342=ORIENTED_EDGE('',*,*,#19259,.F.); #25343=ORIENTED_EDGE('',*,*,#19261,.F.); #25344=ORIENTED_EDGE('',*,*,#19262,.T.); #25345=ORIENTED_EDGE('',*,*,#19263,.F.); #25346=ORIENTED_EDGE('',*,*,#19264,.F.); #25347=ORIENTED_EDGE('',*,*,#19265,.F.); #25348=ORIENTED_EDGE('',*,*,#19264,.T.); #25349=ORIENTED_EDGE('',*,*,#19266,.F.); #25350=ORIENTED_EDGE('',*,*,#19267,.F.); #25351=ORIENTED_EDGE('',*,*,#19268,.F.); #25352=ORIENTED_EDGE('',*,*,#19267,.T.); #25353=ORIENTED_EDGE('',*,*,#19269,.F.); #25354=ORIENTED_EDGE('',*,*,#19270,.F.); #25355=ORIENTED_EDGE('',*,*,#19271,.F.); #25356=ORIENTED_EDGE('',*,*,#19270,.T.); #25357=ORIENTED_EDGE('',*,*,#19272,.F.); #25358=ORIENTED_EDGE('',*,*,#19262,.F.); #25359=ORIENTED_EDGE('',*,*,#19273,.F.); #25360=ORIENTED_EDGE('',*,*,#19274,.T.); #25361=ORIENTED_EDGE('',*,*,#19275,.F.); #25362=ORIENTED_EDGE('',*,*,#19276,.F.); #25363=ORIENTED_EDGE('',*,*,#19277,.F.); #25364=ORIENTED_EDGE('',*,*,#19276,.T.); #25365=ORIENTED_EDGE('',*,*,#19278,.F.); #25366=ORIENTED_EDGE('',*,*,#19279,.F.); #25367=ORIENTED_EDGE('',*,*,#19280,.F.); #25368=ORIENTED_EDGE('',*,*,#19279,.T.); #25369=ORIENTED_EDGE('',*,*,#19281,.F.); #25370=ORIENTED_EDGE('',*,*,#19282,.F.); #25371=ORIENTED_EDGE('',*,*,#19283,.F.); #25372=ORIENTED_EDGE('',*,*,#19282,.T.); #25373=ORIENTED_EDGE('',*,*,#19284,.F.); #25374=ORIENTED_EDGE('',*,*,#19274,.F.); #25375=ORIENTED_EDGE('',*,*,#19285,.F.); #25376=ORIENTED_EDGE('',*,*,#19286,.T.); #25377=ORIENTED_EDGE('',*,*,#19287,.F.); #25378=ORIENTED_EDGE('',*,*,#19288,.F.); #25379=ORIENTED_EDGE('',*,*,#19289,.F.); #25380=ORIENTED_EDGE('',*,*,#19288,.T.); #25381=ORIENTED_EDGE('',*,*,#19290,.F.); #25382=ORIENTED_EDGE('',*,*,#19291,.F.); #25383=ORIENTED_EDGE('',*,*,#19292,.F.); #25384=ORIENTED_EDGE('',*,*,#19291,.T.); #25385=ORIENTED_EDGE('',*,*,#19293,.F.); #25386=ORIENTED_EDGE('',*,*,#19294,.F.); #25387=ORIENTED_EDGE('',*,*,#19295,.F.); #25388=ORIENTED_EDGE('',*,*,#19294,.T.); #25389=ORIENTED_EDGE('',*,*,#19296,.F.); #25390=ORIENTED_EDGE('',*,*,#19286,.F.); #25391=ORIENTED_EDGE('',*,*,#19297,.F.); #25392=ORIENTED_EDGE('',*,*,#19298,.T.); #25393=ORIENTED_EDGE('',*,*,#19299,.F.); #25394=ORIENTED_EDGE('',*,*,#19300,.F.); #25395=ORIENTED_EDGE('',*,*,#19301,.F.); #25396=ORIENTED_EDGE('',*,*,#19300,.T.); #25397=ORIENTED_EDGE('',*,*,#19302,.F.); #25398=ORIENTED_EDGE('',*,*,#19303,.F.); #25399=ORIENTED_EDGE('',*,*,#19304,.F.); #25400=ORIENTED_EDGE('',*,*,#19303,.T.); #25401=ORIENTED_EDGE('',*,*,#19305,.F.); #25402=ORIENTED_EDGE('',*,*,#19306,.F.); #25403=ORIENTED_EDGE('',*,*,#19307,.F.); #25404=ORIENTED_EDGE('',*,*,#19306,.T.); #25405=ORIENTED_EDGE('',*,*,#19308,.F.); #25406=ORIENTED_EDGE('',*,*,#19298,.F.); #25407=ORIENTED_EDGE('',*,*,#19309,.F.); #25408=ORIENTED_EDGE('',*,*,#19310,.T.); #25409=ORIENTED_EDGE('',*,*,#19311,.F.); #25410=ORIENTED_EDGE('',*,*,#19312,.F.); #25411=ORIENTED_EDGE('',*,*,#19313,.F.); #25412=ORIENTED_EDGE('',*,*,#19312,.T.); #25413=ORIENTED_EDGE('',*,*,#19314,.F.); #25414=ORIENTED_EDGE('',*,*,#19315,.F.); #25415=ORIENTED_EDGE('',*,*,#19316,.F.); #25416=ORIENTED_EDGE('',*,*,#19315,.T.); #25417=ORIENTED_EDGE('',*,*,#19317,.F.); #25418=ORIENTED_EDGE('',*,*,#19318,.F.); #25419=ORIENTED_EDGE('',*,*,#19319,.F.); #25420=ORIENTED_EDGE('',*,*,#19318,.T.); #25421=ORIENTED_EDGE('',*,*,#19320,.F.); #25422=ORIENTED_EDGE('',*,*,#19310,.F.); #25423=ORIENTED_EDGE('',*,*,#19321,.F.); #25424=ORIENTED_EDGE('',*,*,#19322,.T.); #25425=ORIENTED_EDGE('',*,*,#19323,.F.); #25426=ORIENTED_EDGE('',*,*,#19322,.F.); #25427=ORIENTED_EDGE('',*,*,#19324,.F.); #25428=ORIENTED_EDGE('',*,*,#19325,.T.); #25429=ORIENTED_EDGE('',*,*,#19326,.F.); #25430=ORIENTED_EDGE('',*,*,#19325,.F.); #25431=ORIENTED_EDGE('',*,*,#19327,.F.); #25432=ORIENTED_EDGE('',*,*,#19328,.T.); #25433=ORIENTED_EDGE('',*,*,#19329,.F.); #25434=ORIENTED_EDGE('',*,*,#19330,.F.); #25435=ORIENTED_EDGE('',*,*,#19331,.F.); #25436=ORIENTED_EDGE('',*,*,#19330,.T.); #25437=ORIENTED_EDGE('',*,*,#19332,.F.); #25438=ORIENTED_EDGE('',*,*,#19333,.F.); #25439=ORIENTED_EDGE('',*,*,#19334,.F.); #25440=ORIENTED_EDGE('',*,*,#19333,.T.); #25441=ORIENTED_EDGE('',*,*,#19335,.F.); #25442=ORIENTED_EDGE('',*,*,#19336,.F.); #25443=ORIENTED_EDGE('',*,*,#19337,.F.); #25444=ORIENTED_EDGE('',*,*,#19336,.T.); #25445=ORIENTED_EDGE('',*,*,#19338,.F.); #25446=ORIENTED_EDGE('',*,*,#19328,.F.); #25447=ORIENTED_EDGE('',*,*,#19339,.F.); #25448=ORIENTED_EDGE('',*,*,#19340,.T.); #25449=ORIENTED_EDGE('',*,*,#19341,.F.); #25450=ORIENTED_EDGE('',*,*,#19340,.F.); #25451=ORIENTED_EDGE('',*,*,#19342,.F.); #25452=ORIENTED_EDGE('',*,*,#19343,.T.); #25453=ORIENTED_EDGE('',*,*,#19344,.F.); #25454=ORIENTED_EDGE('',*,*,#19343,.F.); #25455=ORIENTED_EDGE('',*,*,#19345,.F.); #25456=ORIENTED_EDGE('',*,*,#19346,.T.); #25457=ORIENTED_EDGE('',*,*,#19347,.F.); #25458=ORIENTED_EDGE('',*,*,#19346,.F.); #25459=ORIENTED_EDGE('',*,*,#19348,.F.); #25460=ORIENTED_EDGE('',*,*,#19349,.T.); #25461=ORIENTED_EDGE('',*,*,#19350,.F.); #25462=ORIENTED_EDGE('',*,*,#19351,.F.); #25463=ORIENTED_EDGE('',*,*,#19352,.F.); #25464=ORIENTED_EDGE('',*,*,#19351,.T.); #25465=ORIENTED_EDGE('',*,*,#19353,.F.); #25466=ORIENTED_EDGE('',*,*,#19354,.F.); #25467=ORIENTED_EDGE('',*,*,#19355,.F.); #25468=ORIENTED_EDGE('',*,*,#19354,.T.); #25469=ORIENTED_EDGE('',*,*,#19356,.F.); #25470=ORIENTED_EDGE('',*,*,#19357,.F.); #25471=ORIENTED_EDGE('',*,*,#19358,.F.); #25472=ORIENTED_EDGE('',*,*,#19357,.T.); #25473=ORIENTED_EDGE('',*,*,#19359,.F.); #25474=ORIENTED_EDGE('',*,*,#19349,.F.); #25475=ORIENTED_EDGE('',*,*,#19360,.F.); #25476=ORIENTED_EDGE('',*,*,#19361,.T.); #25477=ORIENTED_EDGE('',*,*,#19362,.F.); #25478=ORIENTED_EDGE('',*,*,#19361,.F.); #25479=ORIENTED_EDGE('',*,*,#19363,.F.); #25480=ORIENTED_EDGE('',*,*,#19364,.T.); #25481=ORIENTED_EDGE('',*,*,#19365,.F.); #25482=ORIENTED_EDGE('',*,*,#19364,.F.); #25483=ORIENTED_EDGE('',*,*,#19366,.F.); #25484=ORIENTED_EDGE('',*,*,#19367,.T.); #25485=ORIENTED_EDGE('',*,*,#19368,.F.); #25486=ORIENTED_EDGE('',*,*,#19367,.F.); #25487=ORIENTED_EDGE('',*,*,#19369,.F.); #25488=ORIENTED_EDGE('',*,*,#19370,.T.); #25489=ORIENTED_EDGE('',*,*,#19371,.F.); #25490=ORIENTED_EDGE('',*,*,#19372,.F.); #25491=ORIENTED_EDGE('',*,*,#19373,.F.); #25492=ORIENTED_EDGE('',*,*,#19372,.T.); #25493=ORIENTED_EDGE('',*,*,#19374,.F.); #25494=ORIENTED_EDGE('',*,*,#19375,.F.); #25495=ORIENTED_EDGE('',*,*,#19376,.F.); #25496=ORIENTED_EDGE('',*,*,#19375,.T.); #25497=ORIENTED_EDGE('',*,*,#19377,.F.); #25498=ORIENTED_EDGE('',*,*,#19378,.F.); #25499=ORIENTED_EDGE('',*,*,#19379,.F.); #25500=ORIENTED_EDGE('',*,*,#19378,.T.); #25501=ORIENTED_EDGE('',*,*,#19380,.F.); #25502=ORIENTED_EDGE('',*,*,#19370,.F.); #25503=ORIENTED_EDGE('',*,*,#19381,.F.); #25504=ORIENTED_EDGE('',*,*,#19382,.T.); #25505=ORIENTED_EDGE('',*,*,#19383,.F.); #25506=ORIENTED_EDGE('',*,*,#19384,.F.); #25507=ORIENTED_EDGE('',*,*,#19385,.F.); #25508=ORIENTED_EDGE('',*,*,#19384,.T.); #25509=ORIENTED_EDGE('',*,*,#19386,.F.); #25510=ORIENTED_EDGE('',*,*,#19387,.F.); #25511=ORIENTED_EDGE('',*,*,#19388,.F.); #25512=ORIENTED_EDGE('',*,*,#19387,.T.); #25513=ORIENTED_EDGE('',*,*,#19389,.F.); #25514=ORIENTED_EDGE('',*,*,#19390,.F.); #25515=ORIENTED_EDGE('',*,*,#19391,.F.); #25516=ORIENTED_EDGE('',*,*,#19390,.T.); #25517=ORIENTED_EDGE('',*,*,#19392,.F.); #25518=ORIENTED_EDGE('',*,*,#19393,.F.); #25519=ORIENTED_EDGE('',*,*,#19394,.F.); #25520=ORIENTED_EDGE('',*,*,#19393,.T.); #25521=ORIENTED_EDGE('',*,*,#19395,.F.); #25522=ORIENTED_EDGE('',*,*,#19396,.F.); #25523=ORIENTED_EDGE('',*,*,#19397,.F.); #25524=ORIENTED_EDGE('',*,*,#19396,.T.); #25525=ORIENTED_EDGE('',*,*,#19398,.F.); #25526=ORIENTED_EDGE('',*,*,#19399,.F.); #25527=ORIENTED_EDGE('',*,*,#19400,.F.); #25528=ORIENTED_EDGE('',*,*,#19399,.T.); #25529=ORIENTED_EDGE('',*,*,#19401,.F.); #25530=ORIENTED_EDGE('',*,*,#19402,.F.); #25531=ORIENTED_EDGE('',*,*,#19403,.F.); #25532=ORIENTED_EDGE('',*,*,#19402,.T.); #25533=ORIENTED_EDGE('',*,*,#19404,.F.); #25534=ORIENTED_EDGE('',*,*,#19382,.F.); #25535=ORIENTED_EDGE('',*,*,#19405,.F.); #25536=ORIENTED_EDGE('',*,*,#19406,.T.); #25537=ORIENTED_EDGE('',*,*,#19407,.F.); #25538=ORIENTED_EDGE('',*,*,#19408,.F.); #25539=ORIENTED_EDGE('',*,*,#19409,.F.); #25540=ORIENTED_EDGE('',*,*,#19408,.T.); #25541=ORIENTED_EDGE('',*,*,#19410,.F.); #25542=ORIENTED_EDGE('',*,*,#19411,.F.); #25543=ORIENTED_EDGE('',*,*,#19412,.F.); #25544=ORIENTED_EDGE('',*,*,#19411,.T.); #25545=ORIENTED_EDGE('',*,*,#19413,.F.); #25546=ORIENTED_EDGE('',*,*,#19414,.F.); #25547=ORIENTED_EDGE('',*,*,#19415,.F.); #25548=ORIENTED_EDGE('',*,*,#19414,.T.); #25549=ORIENTED_EDGE('',*,*,#19416,.F.); #25550=ORIENTED_EDGE('',*,*,#19406,.F.); #25551=ORIENTED_EDGE('',*,*,#19417,.F.); #25552=ORIENTED_EDGE('',*,*,#19418,.T.); #25553=ORIENTED_EDGE('',*,*,#19419,.F.); #25554=ORIENTED_EDGE('',*,*,#19418,.F.); #25555=ORIENTED_EDGE('',*,*,#19420,.F.); #25556=ORIENTED_EDGE('',*,*,#19421,.T.); #25557=ORIENTED_EDGE('',*,*,#19422,.F.); #25558=ORIENTED_EDGE('',*,*,#19423,.F.); #25559=ORIENTED_EDGE('',*,*,#19424,.F.); #25560=ORIENTED_EDGE('',*,*,#19423,.T.); #25561=ORIENTED_EDGE('',*,*,#19425,.F.); #25562=ORIENTED_EDGE('',*,*,#19426,.F.); #25563=ORIENTED_EDGE('',*,*,#19427,.F.); #25564=ORIENTED_EDGE('',*,*,#19426,.T.); #25565=ORIENTED_EDGE('',*,*,#19428,.F.); #25566=ORIENTED_EDGE('',*,*,#19429,.F.); #25567=ORIENTED_EDGE('',*,*,#19430,.F.); #25568=ORIENTED_EDGE('',*,*,#19429,.T.); #25569=ORIENTED_EDGE('',*,*,#19431,.F.); #25570=ORIENTED_EDGE('',*,*,#19421,.F.); #25571=ORIENTED_EDGE('',*,*,#19432,.F.); #25572=ORIENTED_EDGE('',*,*,#19433,.T.); #25573=ORIENTED_EDGE('',*,*,#19434,.F.); #25574=ORIENTED_EDGE('',*,*,#19435,.F.); #25575=ORIENTED_EDGE('',*,*,#19436,.F.); #25576=ORIENTED_EDGE('',*,*,#19435,.T.); #25577=ORIENTED_EDGE('',*,*,#19437,.F.); #25578=ORIENTED_EDGE('',*,*,#19438,.F.); #25579=ORIENTED_EDGE('',*,*,#19439,.F.); #25580=ORIENTED_EDGE('',*,*,#19438,.T.); #25581=ORIENTED_EDGE('',*,*,#19440,.F.); #25582=ORIENTED_EDGE('',*,*,#19441,.F.); #25583=ORIENTED_EDGE('',*,*,#19442,.F.); #25584=ORIENTED_EDGE('',*,*,#19441,.T.); #25585=ORIENTED_EDGE('',*,*,#19443,.F.); #25586=ORIENTED_EDGE('',*,*,#19433,.F.); #25587=ORIENTED_EDGE('',*,*,#19444,.F.); #25588=ORIENTED_EDGE('',*,*,#19445,.T.); #25589=ORIENTED_EDGE('',*,*,#19446,.F.); #25590=ORIENTED_EDGE('',*,*,#19447,.F.); #25591=ORIENTED_EDGE('',*,*,#19448,.F.); #25592=ORIENTED_EDGE('',*,*,#19447,.T.); #25593=ORIENTED_EDGE('',*,*,#19449,.F.); #25594=ORIENTED_EDGE('',*,*,#19450,.F.); #25595=ORIENTED_EDGE('',*,*,#19451,.F.); #25596=ORIENTED_EDGE('',*,*,#19450,.T.); #25597=ORIENTED_EDGE('',*,*,#19452,.F.); #25598=ORIENTED_EDGE('',*,*,#19453,.F.); #25599=ORIENTED_EDGE('',*,*,#19454,.F.); #25600=ORIENTED_EDGE('',*,*,#19453,.T.); #25601=ORIENTED_EDGE('',*,*,#19455,.F.); #25602=ORIENTED_EDGE('',*,*,#19445,.F.); #25603=ORIENTED_EDGE('',*,*,#19456,.F.); #25604=ORIENTED_EDGE('',*,*,#19457,.T.); #25605=ORIENTED_EDGE('',*,*,#19458,.F.); #25606=ORIENTED_EDGE('',*,*,#19457,.F.); #25607=ORIENTED_EDGE('',*,*,#19459,.F.); #25608=ORIENTED_EDGE('',*,*,#19460,.T.); #25609=ORIENTED_EDGE('',*,*,#19461,.F.); #25610=ORIENTED_EDGE('',*,*,#19462,.F.); #25611=ORIENTED_EDGE('',*,*,#19463,.F.); #25612=ORIENTED_EDGE('',*,*,#19462,.T.); #25613=ORIENTED_EDGE('',*,*,#19464,.F.); #25614=ORIENTED_EDGE('',*,*,#19465,.F.); #25615=ORIENTED_EDGE('',*,*,#19466,.F.); #25616=ORIENTED_EDGE('',*,*,#19465,.T.); #25617=ORIENTED_EDGE('',*,*,#19467,.F.); #25618=ORIENTED_EDGE('',*,*,#19468,.F.); #25619=ORIENTED_EDGE('',*,*,#19469,.F.); #25620=ORIENTED_EDGE('',*,*,#19468,.T.); #25621=ORIENTED_EDGE('',*,*,#19470,.F.); #25622=ORIENTED_EDGE('',*,*,#19471,.F.); #25623=ORIENTED_EDGE('',*,*,#19472,.F.); #25624=ORIENTED_EDGE('',*,*,#19471,.T.); #25625=ORIENTED_EDGE('',*,*,#19473,.F.); #25626=ORIENTED_EDGE('',*,*,#19474,.F.); #25627=ORIENTED_EDGE('',*,*,#19475,.T.); #25628=ORIENTED_EDGE('',*,*,#19474,.T.); #25629=ORIENTED_EDGE('',*,*,#19476,.F.); #25630=ORIENTED_EDGE('',*,*,#19477,.F.); #25631=ORIENTED_EDGE('',*,*,#19478,.F.); #25632=ORIENTED_EDGE('',*,*,#19477,.T.); #25633=ORIENTED_EDGE('',*,*,#19479,.F.); #25634=ORIENTED_EDGE('',*,*,#19480,.F.); #25635=ORIENTED_EDGE('',*,*,#19481,.T.); #25636=ORIENTED_EDGE('',*,*,#19480,.T.); #25637=ORIENTED_EDGE('',*,*,#19482,.F.); #25638=ORIENTED_EDGE('',*,*,#19483,.F.); #25639=ORIENTED_EDGE('',*,*,#19484,.F.); #25640=ORIENTED_EDGE('',*,*,#19483,.T.); #25641=ORIENTED_EDGE('',*,*,#19485,.F.); #25642=ORIENTED_EDGE('',*,*,#19486,.F.); #25643=ORIENTED_EDGE('',*,*,#19487,.T.); #25644=ORIENTED_EDGE('',*,*,#19486,.T.); #25645=ORIENTED_EDGE('',*,*,#19488,.F.); #25646=ORIENTED_EDGE('',*,*,#19489,.F.); #25647=ORIENTED_EDGE('',*,*,#19490,.F.); #25648=ORIENTED_EDGE('',*,*,#19489,.T.); #25649=ORIENTED_EDGE('',*,*,#19491,.F.); #25650=ORIENTED_EDGE('',*,*,#19492,.F.); #25651=ORIENTED_EDGE('',*,*,#19493,.T.); #25652=ORIENTED_EDGE('',*,*,#19492,.T.); #25653=ORIENTED_EDGE('',*,*,#19494,.F.); #25654=ORIENTED_EDGE('',*,*,#19495,.F.); #25655=ORIENTED_EDGE('',*,*,#19496,.T.); #25656=ORIENTED_EDGE('',*,*,#19495,.T.); #25657=ORIENTED_EDGE('',*,*,#19497,.F.); #25658=ORIENTED_EDGE('',*,*,#19498,.F.); #25659=ORIENTED_EDGE('',*,*,#19499,.F.); #25660=ORIENTED_EDGE('',*,*,#19498,.T.); #25661=ORIENTED_EDGE('',*,*,#19500,.F.); #25662=ORIENTED_EDGE('',*,*,#19501,.F.); #25663=ORIENTED_EDGE('',*,*,#19502,.T.); #25664=ORIENTED_EDGE('',*,*,#19501,.T.); #25665=ORIENTED_EDGE('',*,*,#19503,.F.); #25666=ORIENTED_EDGE('',*,*,#19504,.F.); #25667=ORIENTED_EDGE('',*,*,#19505,.T.); #25668=ORIENTED_EDGE('',*,*,#19504,.T.); #25669=ORIENTED_EDGE('',*,*,#19506,.F.); #25670=ORIENTED_EDGE('',*,*,#19507,.F.); #25671=ORIENTED_EDGE('',*,*,#19508,.T.); #25672=ORIENTED_EDGE('',*,*,#19507,.T.); #25673=ORIENTED_EDGE('',*,*,#19509,.F.); #25674=ORIENTED_EDGE('',*,*,#19510,.F.); #25675=ORIENTED_EDGE('',*,*,#19511,.T.); #25676=ORIENTED_EDGE('',*,*,#19510,.T.); #25677=ORIENTED_EDGE('',*,*,#19512,.F.); #25678=ORIENTED_EDGE('',*,*,#19513,.F.); #25679=ORIENTED_EDGE('',*,*,#19514,.T.); #25680=ORIENTED_EDGE('',*,*,#19513,.T.); #25681=ORIENTED_EDGE('',*,*,#19515,.F.); #25682=ORIENTED_EDGE('',*,*,#19516,.F.); #25683=ORIENTED_EDGE('',*,*,#19517,.T.); #25684=ORIENTED_EDGE('',*,*,#19516,.T.); #25685=ORIENTED_EDGE('',*,*,#19518,.F.); #25686=ORIENTED_EDGE('',*,*,#19519,.F.); #25687=ORIENTED_EDGE('',*,*,#19520,.F.); #25688=ORIENTED_EDGE('',*,*,#19519,.T.); #25689=ORIENTED_EDGE('',*,*,#19521,.F.); #25690=ORIENTED_EDGE('',*,*,#19522,.F.); #25691=ORIENTED_EDGE('',*,*,#19523,.F.); #25692=ORIENTED_EDGE('',*,*,#19522,.T.); #25693=ORIENTED_EDGE('',*,*,#19524,.F.); #25694=ORIENTED_EDGE('',*,*,#19525,.F.); #25695=ORIENTED_EDGE('',*,*,#19526,.T.); #25696=ORIENTED_EDGE('',*,*,#19525,.T.); #25697=ORIENTED_EDGE('',*,*,#19527,.F.); #25698=ORIENTED_EDGE('',*,*,#19528,.F.); #25699=ORIENTED_EDGE('',*,*,#19529,.T.); #25700=ORIENTED_EDGE('',*,*,#19528,.T.); #25701=ORIENTED_EDGE('',*,*,#19530,.F.); #25702=ORIENTED_EDGE('',*,*,#19531,.F.); #25703=ORIENTED_EDGE('',*,*,#19532,.F.); #25704=ORIENTED_EDGE('',*,*,#19531,.T.); #25705=ORIENTED_EDGE('',*,*,#19533,.F.); #25706=ORIENTED_EDGE('',*,*,#19534,.F.); #25707=ORIENTED_EDGE('',*,*,#19535,.T.); #25708=ORIENTED_EDGE('',*,*,#19534,.T.); #25709=ORIENTED_EDGE('',*,*,#19536,.F.); #25710=ORIENTED_EDGE('',*,*,#19537,.F.); #25711=ORIENTED_EDGE('',*,*,#19538,.F.); #25712=ORIENTED_EDGE('',*,*,#19537,.T.); #25713=ORIENTED_EDGE('',*,*,#19539,.F.); #25714=ORIENTED_EDGE('',*,*,#19540,.F.); #25715=ORIENTED_EDGE('',*,*,#19541,.T.); #25716=ORIENTED_EDGE('',*,*,#19540,.T.); #25717=ORIENTED_EDGE('',*,*,#19542,.F.); #25718=ORIENTED_EDGE('',*,*,#19543,.F.); #25719=ORIENTED_EDGE('',*,*,#19544,.F.); #25720=ORIENTED_EDGE('',*,*,#19543,.T.); #25721=ORIENTED_EDGE('',*,*,#19545,.F.); #25722=ORIENTED_EDGE('',*,*,#19546,.F.); #25723=ORIENTED_EDGE('',*,*,#19547,.T.); #25724=ORIENTED_EDGE('',*,*,#19546,.T.); #25725=ORIENTED_EDGE('',*,*,#19548,.F.); #25726=ORIENTED_EDGE('',*,*,#19549,.F.); #25727=ORIENTED_EDGE('',*,*,#19550,.T.); #25728=ORIENTED_EDGE('',*,*,#19549,.T.); #25729=ORIENTED_EDGE('',*,*,#19551,.F.); #25730=ORIENTED_EDGE('',*,*,#19552,.F.); #25731=ORIENTED_EDGE('',*,*,#19553,.F.); #25732=ORIENTED_EDGE('',*,*,#19552,.T.); #25733=ORIENTED_EDGE('',*,*,#19554,.F.); #25734=ORIENTED_EDGE('',*,*,#19460,.F.); #25735=ORIENTED_EDGE('',*,*,#19553,.T.); #25736=ORIENTED_EDGE('',*,*,#19459,.T.); #25737=ORIENTED_EDGE('',*,*,#19463,.T.); #25738=ORIENTED_EDGE('',*,*,#19466,.T.); #25739=ORIENTED_EDGE('',*,*,#19469,.T.); #25740=ORIENTED_EDGE('',*,*,#19472,.T.); #25741=ORIENTED_EDGE('',*,*,#19475,.F.); #25742=ORIENTED_EDGE('',*,*,#19478,.T.); #25743=ORIENTED_EDGE('',*,*,#19481,.F.); #25744=ORIENTED_EDGE('',*,*,#19484,.T.); #25745=ORIENTED_EDGE('',*,*,#19487,.F.); #25746=ORIENTED_EDGE('',*,*,#19490,.T.); #25747=ORIENTED_EDGE('',*,*,#19493,.F.); #25748=ORIENTED_EDGE('',*,*,#19496,.F.); #25749=ORIENTED_EDGE('',*,*,#19499,.T.); #25750=ORIENTED_EDGE('',*,*,#19502,.F.); #25751=ORIENTED_EDGE('',*,*,#19505,.F.); #25752=ORIENTED_EDGE('',*,*,#19508,.F.); #25753=ORIENTED_EDGE('',*,*,#19511,.F.); #25754=ORIENTED_EDGE('',*,*,#19514,.F.); #25755=ORIENTED_EDGE('',*,*,#19517,.F.); #25756=ORIENTED_EDGE('',*,*,#19520,.T.); #25757=ORIENTED_EDGE('',*,*,#19523,.T.); #25758=ORIENTED_EDGE('',*,*,#19526,.F.); #25759=ORIENTED_EDGE('',*,*,#19529,.F.); #25760=ORIENTED_EDGE('',*,*,#19532,.T.); #25761=ORIENTED_EDGE('',*,*,#19535,.F.); #25762=ORIENTED_EDGE('',*,*,#19538,.T.); #25763=ORIENTED_EDGE('',*,*,#19541,.F.); #25764=ORIENTED_EDGE('',*,*,#19544,.T.); #25765=ORIENTED_EDGE('',*,*,#19547,.F.); #25766=ORIENTED_EDGE('',*,*,#19550,.F.); #25767=ORIENTED_EDGE('',*,*,#19458,.T.); #25768=ORIENTED_EDGE('',*,*,#19454,.T.); #25769=ORIENTED_EDGE('',*,*,#19444,.T.); #25770=ORIENTED_EDGE('',*,*,#19448,.T.); #25771=ORIENTED_EDGE('',*,*,#19451,.T.); #25772=ORIENTED_EDGE('',*,*,#19442,.T.); #25773=ORIENTED_EDGE('',*,*,#19432,.T.); #25774=ORIENTED_EDGE('',*,*,#19436,.T.); #25775=ORIENTED_EDGE('',*,*,#19439,.T.); #25776=ORIENTED_EDGE('',*,*,#19430,.T.); #25777=ORIENTED_EDGE('',*,*,#19420,.T.); #25778=ORIENTED_EDGE('',*,*,#19424,.T.); #25779=ORIENTED_EDGE('',*,*,#19427,.T.); #25780=ORIENTED_EDGE('',*,*,#19419,.T.); #25781=ORIENTED_EDGE('',*,*,#19415,.T.); #25782=ORIENTED_EDGE('',*,*,#19405,.T.); #25783=ORIENTED_EDGE('',*,*,#19409,.T.); #25784=ORIENTED_EDGE('',*,*,#19412,.T.); #25785=ORIENTED_EDGE('',*,*,#19403,.T.); #25786=ORIENTED_EDGE('',*,*,#19381,.T.); #25787=ORIENTED_EDGE('',*,*,#19385,.T.); #25788=ORIENTED_EDGE('',*,*,#19388,.T.); #25789=ORIENTED_EDGE('',*,*,#19391,.T.); #25790=ORIENTED_EDGE('',*,*,#19394,.T.); #25791=ORIENTED_EDGE('',*,*,#19397,.T.); #25792=ORIENTED_EDGE('',*,*,#19400,.T.); #25793=ORIENTED_EDGE('',*,*,#19379,.T.); #25794=ORIENTED_EDGE('',*,*,#19369,.T.); #25795=ORIENTED_EDGE('',*,*,#19373,.T.); #25796=ORIENTED_EDGE('',*,*,#19376,.T.); #25797=ORIENTED_EDGE('',*,*,#19368,.T.); #25798=ORIENTED_EDGE('',*,*,#19365,.T.); #25799=ORIENTED_EDGE('',*,*,#19362,.T.); #25800=ORIENTED_EDGE('',*,*,#19358,.T.); #25801=ORIENTED_EDGE('',*,*,#19348,.T.); #25802=ORIENTED_EDGE('',*,*,#19352,.T.); #25803=ORIENTED_EDGE('',*,*,#19355,.T.); #25804=ORIENTED_EDGE('',*,*,#19347,.T.); #25805=ORIENTED_EDGE('',*,*,#19344,.T.); #25806=ORIENTED_EDGE('',*,*,#19341,.T.); #25807=ORIENTED_EDGE('',*,*,#19337,.T.); #25808=ORIENTED_EDGE('',*,*,#19327,.T.); #25809=ORIENTED_EDGE('',*,*,#19331,.T.); #25810=ORIENTED_EDGE('',*,*,#19334,.T.); #25811=ORIENTED_EDGE('',*,*,#19326,.T.); #25812=ORIENTED_EDGE('',*,*,#19323,.T.); #25813=ORIENTED_EDGE('',*,*,#19319,.T.); #25814=ORIENTED_EDGE('',*,*,#19309,.T.); #25815=ORIENTED_EDGE('',*,*,#19313,.T.); #25816=ORIENTED_EDGE('',*,*,#19316,.T.); #25817=ORIENTED_EDGE('',*,*,#19307,.T.); #25818=ORIENTED_EDGE('',*,*,#19297,.T.); #25819=ORIENTED_EDGE('',*,*,#19301,.T.); #25820=ORIENTED_EDGE('',*,*,#19304,.T.); #25821=ORIENTED_EDGE('',*,*,#19295,.T.); #25822=ORIENTED_EDGE('',*,*,#19285,.T.); #25823=ORIENTED_EDGE('',*,*,#19289,.T.); #25824=ORIENTED_EDGE('',*,*,#19292,.T.); #25825=ORIENTED_EDGE('',*,*,#19283,.T.); #25826=ORIENTED_EDGE('',*,*,#19273,.T.); #25827=ORIENTED_EDGE('',*,*,#19277,.T.); #25828=ORIENTED_EDGE('',*,*,#19280,.T.); #25829=ORIENTED_EDGE('',*,*,#19271,.T.); #25830=ORIENTED_EDGE('',*,*,#19261,.T.); #25831=ORIENTED_EDGE('',*,*,#19265,.T.); #25832=ORIENTED_EDGE('',*,*,#19268,.T.); #25833=ORIENTED_EDGE('',*,*,#19260,.T.); #25834=ORIENTED_EDGE('',*,*,#19256,.T.); #25835=ORIENTED_EDGE('',*,*,#19246,.T.); #25836=ORIENTED_EDGE('',*,*,#19250,.T.); #25837=ORIENTED_EDGE('',*,*,#19253,.T.); #25838=ORIENTED_EDGE('',*,*,#19244,.T.); #25839=ORIENTED_EDGE('',*,*,#19234,.T.); #25840=ORIENTED_EDGE('',*,*,#19238,.T.); #25841=ORIENTED_EDGE('',*,*,#19241,.T.); #25842=ORIENTED_EDGE('',*,*,#19232,.T.); #25843=ORIENTED_EDGE('',*,*,#19222,.T.); #25844=ORIENTED_EDGE('',*,*,#19226,.T.); #25845=ORIENTED_EDGE('',*,*,#19229,.T.); #25846=ORIENTED_EDGE('',*,*,#19220,.T.); #25847=ORIENTED_EDGE('',*,*,#19210,.T.); #25848=ORIENTED_EDGE('',*,*,#19214,.T.); #25849=ORIENTED_EDGE('',*,*,#19217,.T.); #25850=ORIENTED_EDGE('',*,*,#19208,.T.); #25851=ORIENTED_EDGE('',*,*,#19198,.T.); #25852=ORIENTED_EDGE('',*,*,#19202,.T.); #25853=ORIENTED_EDGE('',*,*,#19205,.T.); #25854=ORIENTED_EDGE('',*,*,#19196,.T.); #25855=ORIENTED_EDGE('',*,*,#19186,.T.); #25856=ORIENTED_EDGE('',*,*,#19190,.T.); #25857=ORIENTED_EDGE('',*,*,#19193,.T.); #25858=ORIENTED_EDGE('',*,*,#19185,.T.); #25859=ORIENTED_EDGE('',*,*,#19182,.T.); #25860=ORIENTED_EDGE('',*,*,#19179,.T.); #25861=ORIENTED_EDGE('',*,*,#19176,.T.); #25862=ORIENTED_EDGE('',*,*,#19173,.T.); #25863=ORIENTED_EDGE('',*,*,#19170,.T.); #25864=ORIENTED_EDGE('',*,*,#19166,.T.); #25865=ORIENTED_EDGE('',*,*,#19156,.T.); #25866=ORIENTED_EDGE('',*,*,#19160,.T.); #25867=ORIENTED_EDGE('',*,*,#19163,.T.); #25868=ORIENTED_EDGE('',*,*,#19154,.T.); #25869=ORIENTED_EDGE('',*,*,#19144,.T.); #25870=ORIENTED_EDGE('',*,*,#19148,.T.); #25871=ORIENTED_EDGE('',*,*,#19151,.T.); #25872=ORIENTED_EDGE('',*,*,#19142,.T.); #25873=ORIENTED_EDGE('',*,*,#19132,.T.); #25874=ORIENTED_EDGE('',*,*,#19136,.T.); #25875=ORIENTED_EDGE('',*,*,#19139,.T.); #25876=ORIENTED_EDGE('',*,*,#19554,.T.); #25877=ORIENTED_EDGE('',*,*,#19551,.T.); #25878=ORIENTED_EDGE('',*,*,#19548,.T.); #25879=ORIENTED_EDGE('',*,*,#19545,.T.); #25880=ORIENTED_EDGE('',*,*,#19542,.T.); #25881=ORIENTED_EDGE('',*,*,#19539,.T.); #25882=ORIENTED_EDGE('',*,*,#19536,.T.); #25883=ORIENTED_EDGE('',*,*,#19533,.T.); #25884=ORIENTED_EDGE('',*,*,#19530,.T.); #25885=ORIENTED_EDGE('',*,*,#19527,.T.); #25886=ORIENTED_EDGE('',*,*,#19524,.T.); #25887=ORIENTED_EDGE('',*,*,#19521,.T.); #25888=ORIENTED_EDGE('',*,*,#19518,.T.); #25889=ORIENTED_EDGE('',*,*,#19515,.T.); #25890=ORIENTED_EDGE('',*,*,#19512,.T.); #25891=ORIENTED_EDGE('',*,*,#19509,.T.); #25892=ORIENTED_EDGE('',*,*,#19506,.T.); #25893=ORIENTED_EDGE('',*,*,#19503,.T.); #25894=ORIENTED_EDGE('',*,*,#19500,.T.); #25895=ORIENTED_EDGE('',*,*,#19497,.T.); #25896=ORIENTED_EDGE('',*,*,#19494,.T.); #25897=ORIENTED_EDGE('',*,*,#19491,.T.); #25898=ORIENTED_EDGE('',*,*,#19488,.T.); #25899=ORIENTED_EDGE('',*,*,#19485,.T.); #25900=ORIENTED_EDGE('',*,*,#19482,.T.); #25901=ORIENTED_EDGE('',*,*,#19479,.T.); #25902=ORIENTED_EDGE('',*,*,#19476,.T.); #25903=ORIENTED_EDGE('',*,*,#19473,.T.); #25904=ORIENTED_EDGE('',*,*,#19470,.T.); #25905=ORIENTED_EDGE('',*,*,#19467,.T.); #25906=ORIENTED_EDGE('',*,*,#19464,.T.); #25907=ORIENTED_EDGE('',*,*,#19461,.T.); #25908=ORIENTED_EDGE('',*,*,#19456,.T.); #25909=ORIENTED_EDGE('',*,*,#19455,.T.); #25910=ORIENTED_EDGE('',*,*,#19452,.T.); #25911=ORIENTED_EDGE('',*,*,#19449,.T.); #25912=ORIENTED_EDGE('',*,*,#19446,.T.); #25913=ORIENTED_EDGE('',*,*,#19443,.T.); #25914=ORIENTED_EDGE('',*,*,#19440,.T.); #25915=ORIENTED_EDGE('',*,*,#19437,.T.); #25916=ORIENTED_EDGE('',*,*,#19434,.T.); #25917=ORIENTED_EDGE('',*,*,#19431,.T.); #25918=ORIENTED_EDGE('',*,*,#19428,.T.); #25919=ORIENTED_EDGE('',*,*,#19425,.T.); #25920=ORIENTED_EDGE('',*,*,#19422,.T.); #25921=ORIENTED_EDGE('',*,*,#19417,.T.); #25922=ORIENTED_EDGE('',*,*,#19416,.T.); #25923=ORIENTED_EDGE('',*,*,#19413,.T.); #25924=ORIENTED_EDGE('',*,*,#19410,.T.); #25925=ORIENTED_EDGE('',*,*,#19407,.T.); #25926=ORIENTED_EDGE('',*,*,#19404,.T.); #25927=ORIENTED_EDGE('',*,*,#19401,.T.); #25928=ORIENTED_EDGE('',*,*,#19398,.T.); #25929=ORIENTED_EDGE('',*,*,#19395,.T.); #25930=ORIENTED_EDGE('',*,*,#19392,.T.); #25931=ORIENTED_EDGE('',*,*,#19389,.T.); #25932=ORIENTED_EDGE('',*,*,#19386,.T.); #25933=ORIENTED_EDGE('',*,*,#19383,.T.); #25934=ORIENTED_EDGE('',*,*,#19380,.T.); #25935=ORIENTED_EDGE('',*,*,#19377,.T.); #25936=ORIENTED_EDGE('',*,*,#19374,.T.); #25937=ORIENTED_EDGE('',*,*,#19371,.T.); #25938=ORIENTED_EDGE('',*,*,#19366,.T.); #25939=ORIENTED_EDGE('',*,*,#19363,.T.); #25940=ORIENTED_EDGE('',*,*,#19360,.T.); #25941=ORIENTED_EDGE('',*,*,#19359,.T.); #25942=ORIENTED_EDGE('',*,*,#19356,.T.); #25943=ORIENTED_EDGE('',*,*,#19353,.T.); #25944=ORIENTED_EDGE('',*,*,#19350,.T.); #25945=ORIENTED_EDGE('',*,*,#19345,.T.); #25946=ORIENTED_EDGE('',*,*,#19342,.T.); #25947=ORIENTED_EDGE('',*,*,#19339,.T.); #25948=ORIENTED_EDGE('',*,*,#19338,.T.); #25949=ORIENTED_EDGE('',*,*,#19335,.T.); #25950=ORIENTED_EDGE('',*,*,#19332,.T.); #25951=ORIENTED_EDGE('',*,*,#19329,.T.); #25952=ORIENTED_EDGE('',*,*,#19324,.T.); #25953=ORIENTED_EDGE('',*,*,#19321,.T.); #25954=ORIENTED_EDGE('',*,*,#19320,.T.); #25955=ORIENTED_EDGE('',*,*,#19317,.T.); #25956=ORIENTED_EDGE('',*,*,#19314,.T.); #25957=ORIENTED_EDGE('',*,*,#19311,.T.); #25958=ORIENTED_EDGE('',*,*,#19308,.T.); #25959=ORIENTED_EDGE('',*,*,#19305,.T.); #25960=ORIENTED_EDGE('',*,*,#19302,.T.); #25961=ORIENTED_EDGE('',*,*,#19299,.T.); #25962=ORIENTED_EDGE('',*,*,#19296,.T.); #25963=ORIENTED_EDGE('',*,*,#19293,.T.); #25964=ORIENTED_EDGE('',*,*,#19290,.T.); #25965=ORIENTED_EDGE('',*,*,#19287,.T.); #25966=ORIENTED_EDGE('',*,*,#19284,.T.); #25967=ORIENTED_EDGE('',*,*,#19281,.T.); #25968=ORIENTED_EDGE('',*,*,#19278,.T.); #25969=ORIENTED_EDGE('',*,*,#19275,.T.); #25970=ORIENTED_EDGE('',*,*,#19272,.T.); #25971=ORIENTED_EDGE('',*,*,#19269,.T.); #25972=ORIENTED_EDGE('',*,*,#19266,.T.); #25973=ORIENTED_EDGE('',*,*,#19263,.T.); #25974=ORIENTED_EDGE('',*,*,#19258,.T.); #25975=ORIENTED_EDGE('',*,*,#19257,.T.); #25976=ORIENTED_EDGE('',*,*,#19254,.T.); #25977=ORIENTED_EDGE('',*,*,#19251,.T.); #25978=ORIENTED_EDGE('',*,*,#19248,.T.); #25979=ORIENTED_EDGE('',*,*,#19245,.T.); #25980=ORIENTED_EDGE('',*,*,#19242,.T.); #25981=ORIENTED_EDGE('',*,*,#19239,.T.); #25982=ORIENTED_EDGE('',*,*,#19236,.T.); #25983=ORIENTED_EDGE('',*,*,#19233,.T.); #25984=ORIENTED_EDGE('',*,*,#19230,.T.); #25985=ORIENTED_EDGE('',*,*,#19227,.T.); #25986=ORIENTED_EDGE('',*,*,#19224,.T.); #25987=ORIENTED_EDGE('',*,*,#19221,.T.); #25988=ORIENTED_EDGE('',*,*,#19218,.T.); #25989=ORIENTED_EDGE('',*,*,#19215,.T.); #25990=ORIENTED_EDGE('',*,*,#19212,.T.); #25991=ORIENTED_EDGE('',*,*,#19209,.T.); #25992=ORIENTED_EDGE('',*,*,#19206,.T.); #25993=ORIENTED_EDGE('',*,*,#19203,.T.); #25994=ORIENTED_EDGE('',*,*,#19200,.T.); #25995=ORIENTED_EDGE('',*,*,#19197,.T.); #25996=ORIENTED_EDGE('',*,*,#19194,.T.); #25997=ORIENTED_EDGE('',*,*,#19191,.T.); #25998=ORIENTED_EDGE('',*,*,#19188,.T.); #25999=ORIENTED_EDGE('',*,*,#19183,.T.); #26000=ORIENTED_EDGE('',*,*,#19180,.T.); #26001=ORIENTED_EDGE('',*,*,#19177,.T.); #26002=ORIENTED_EDGE('',*,*,#19174,.T.); #26003=ORIENTED_EDGE('',*,*,#19171,.T.); #26004=ORIENTED_EDGE('',*,*,#19168,.T.); #26005=ORIENTED_EDGE('',*,*,#19167,.T.); #26006=ORIENTED_EDGE('',*,*,#19164,.T.); #26007=ORIENTED_EDGE('',*,*,#19161,.T.); #26008=ORIENTED_EDGE('',*,*,#19158,.T.); #26009=ORIENTED_EDGE('',*,*,#19155,.T.); #26010=ORIENTED_EDGE('',*,*,#19152,.T.); #26011=ORIENTED_EDGE('',*,*,#19149,.T.); #26012=ORIENTED_EDGE('',*,*,#19146,.T.); #26013=ORIENTED_EDGE('',*,*,#19143,.T.); #26014=ORIENTED_EDGE('',*,*,#19140,.T.); #26015=ORIENTED_EDGE('',*,*,#19137,.T.); #26016=ORIENTED_EDGE('',*,*,#19134,.T.); #26017=ORIENTED_EDGE('',*,*,#19555,.F.); #26018=ORIENTED_EDGE('',*,*,#19556,.T.); #26019=ORIENTED_EDGE('',*,*,#19557,.T.); #26020=ORIENTED_EDGE('',*,*,#19556,.F.); #26021=ORIENTED_EDGE('',*,*,#19558,.F.); #26022=ORIENTED_EDGE('',*,*,#19559,.T.); #26023=ORIENTED_EDGE('',*,*,#19560,.T.); #26024=ORIENTED_EDGE('',*,*,#19559,.F.); #26025=ORIENTED_EDGE('',*,*,#19561,.F.); #26026=ORIENTED_EDGE('',*,*,#19562,.T.); #26027=ORIENTED_EDGE('',*,*,#19563,.T.); #26028=ORIENTED_EDGE('',*,*,#19562,.F.); #26029=ORIENTED_EDGE('',*,*,#19564,.T.); #26030=ORIENTED_EDGE('',*,*,#19565,.T.); #26031=ORIENTED_EDGE('',*,*,#19566,.F.); #26032=ORIENTED_EDGE('',*,*,#19567,.F.); #26033=ORIENTED_EDGE('',*,*,#19568,.T.); #26034=ORIENTED_EDGE('',*,*,#19567,.T.); #26035=ORIENTED_EDGE('',*,*,#19569,.F.); #26036=ORIENTED_EDGE('',*,*,#19570,.F.); #26037=ORIENTED_EDGE('',*,*,#19571,.T.); #26038=ORIENTED_EDGE('',*,*,#19570,.T.); #26039=ORIENTED_EDGE('',*,*,#19572,.F.); #26040=ORIENTED_EDGE('',*,*,#19573,.F.); #26041=ORIENTED_EDGE('',*,*,#19574,.T.); #26042=ORIENTED_EDGE('',*,*,#19573,.T.); #26043=ORIENTED_EDGE('',*,*,#19575,.F.); #26044=ORIENTED_EDGE('',*,*,#19576,.F.); #26045=ORIENTED_EDGE('',*,*,#19577,.T.); #26046=ORIENTED_EDGE('',*,*,#19576,.T.); #26047=ORIENTED_EDGE('',*,*,#19578,.F.); #26048=ORIENTED_EDGE('',*,*,#19579,.F.); #26049=ORIENTED_EDGE('',*,*,#19580,.T.); #26050=ORIENTED_EDGE('',*,*,#19579,.T.); #26051=ORIENTED_EDGE('',*,*,#19581,.F.); #26052=ORIENTED_EDGE('',*,*,#19582,.F.); #26053=ORIENTED_EDGE('',*,*,#19583,.T.); #26054=ORIENTED_EDGE('',*,*,#19582,.T.); #26055=ORIENTED_EDGE('',*,*,#19584,.F.); #26056=ORIENTED_EDGE('',*,*,#19585,.F.); #26057=ORIENTED_EDGE('',*,*,#19586,.T.); #26058=ORIENTED_EDGE('',*,*,#19585,.T.); #26059=ORIENTED_EDGE('',*,*,#19587,.F.); #26060=ORIENTED_EDGE('',*,*,#19565,.F.); #26061=ORIENTED_EDGE('',*,*,#19587,.T.); #26062=ORIENTED_EDGE('',*,*,#19584,.T.); #26063=ORIENTED_EDGE('',*,*,#19581,.T.); #26064=ORIENTED_EDGE('',*,*,#19578,.T.); #26065=ORIENTED_EDGE('',*,*,#19575,.T.); #26066=ORIENTED_EDGE('',*,*,#19572,.T.); #26067=ORIENTED_EDGE('',*,*,#19569,.T.); #26068=ORIENTED_EDGE('',*,*,#19566,.T.); #26069=ORIENTED_EDGE('',*,*,#19561,.T.); #26070=ORIENTED_EDGE('',*,*,#19558,.T.); #26071=ORIENTED_EDGE('',*,*,#19555,.T.); #26072=ORIENTED_EDGE('',*,*,#19586,.F.); #26073=ORIENTED_EDGE('',*,*,#19564,.F.); #26074=ORIENTED_EDGE('',*,*,#19568,.F.); #26075=ORIENTED_EDGE('',*,*,#19571,.F.); #26076=ORIENTED_EDGE('',*,*,#19574,.F.); #26077=ORIENTED_EDGE('',*,*,#19577,.F.); #26078=ORIENTED_EDGE('',*,*,#19580,.F.); #26079=ORIENTED_EDGE('',*,*,#19583,.F.); #26080=ORIENTED_EDGE('',*,*,#19563,.F.); #26081=ORIENTED_EDGE('',*,*,#19560,.F.); #26082=ORIENTED_EDGE('',*,*,#19557,.F.); #26083=ORIENTED_EDGE('',*,*,#19588,.F.); #26084=ORIENTED_EDGE('',*,*,#19589,.T.); #26085=ORIENTED_EDGE('',*,*,#19590,.T.); #26086=ORIENTED_EDGE('',*,*,#19589,.F.); #26087=ORIENTED_EDGE('',*,*,#19591,.F.); #26088=ORIENTED_EDGE('',*,*,#19592,.T.); #26089=ORIENTED_EDGE('',*,*,#19593,.T.); #26090=ORIENTED_EDGE('',*,*,#19592,.F.); #26091=ORIENTED_EDGE('',*,*,#19594,.F.); #26092=ORIENTED_EDGE('',*,*,#19595,.T.); #26093=ORIENTED_EDGE('',*,*,#19596,.F.); #26094=ORIENTED_EDGE('',*,*,#19597,.F.); #26095=ORIENTED_EDGE('',*,*,#19598,.F.); #26096=ORIENTED_EDGE('',*,*,#19597,.T.); #26097=ORIENTED_EDGE('',*,*,#19599,.F.); #26098=ORIENTED_EDGE('',*,*,#19600,.F.); #26099=ORIENTED_EDGE('',*,*,#19601,.F.); #26100=ORIENTED_EDGE('',*,*,#19600,.T.); #26101=ORIENTED_EDGE('',*,*,#19602,.F.); #26102=ORIENTED_EDGE('',*,*,#19603,.F.); #26103=ORIENTED_EDGE('',*,*,#19604,.F.); #26104=ORIENTED_EDGE('',*,*,#19603,.T.); #26105=ORIENTED_EDGE('',*,*,#19605,.F.); #26106=ORIENTED_EDGE('',*,*,#19606,.F.); #26107=ORIENTED_EDGE('',*,*,#19607,.F.); #26108=ORIENTED_EDGE('',*,*,#19606,.T.); #26109=ORIENTED_EDGE('',*,*,#19608,.F.); #26110=ORIENTED_EDGE('',*,*,#19609,.F.); #26111=ORIENTED_EDGE('',*,*,#19610,.T.); #26112=ORIENTED_EDGE('',*,*,#19609,.T.); #26113=ORIENTED_EDGE('',*,*,#19611,.F.); #26114=ORIENTED_EDGE('',*,*,#19612,.F.); #26115=ORIENTED_EDGE('',*,*,#19613,.T.); #26116=ORIENTED_EDGE('',*,*,#19612,.T.); #26117=ORIENTED_EDGE('',*,*,#19614,.F.); #26118=ORIENTED_EDGE('',*,*,#19615,.F.); #26119=ORIENTED_EDGE('',*,*,#19616,.T.); #26120=ORIENTED_EDGE('',*,*,#19615,.T.); #26121=ORIENTED_EDGE('',*,*,#19617,.F.); #26122=ORIENTED_EDGE('',*,*,#19618,.F.); #26123=ORIENTED_EDGE('',*,*,#19619,.T.); #26124=ORIENTED_EDGE('',*,*,#19618,.T.); #26125=ORIENTED_EDGE('',*,*,#19620,.F.); #26126=ORIENTED_EDGE('',*,*,#19621,.F.); #26127=ORIENTED_EDGE('',*,*,#19622,.T.); #26128=ORIENTED_EDGE('',*,*,#19621,.T.); #26129=ORIENTED_EDGE('',*,*,#19623,.F.); #26130=ORIENTED_EDGE('',*,*,#19624,.F.); #26131=ORIENTED_EDGE('',*,*,#19625,.T.); #26132=ORIENTED_EDGE('',*,*,#19624,.T.); #26133=ORIENTED_EDGE('',*,*,#19626,.F.); #26134=ORIENTED_EDGE('',*,*,#19627,.F.); #26135=ORIENTED_EDGE('',*,*,#19628,.T.); #26136=ORIENTED_EDGE('',*,*,#19627,.T.); #26137=ORIENTED_EDGE('',*,*,#19629,.F.); #26138=ORIENTED_EDGE('',*,*,#19595,.F.); #26139=ORIENTED_EDGE('',*,*,#19629,.T.); #26140=ORIENTED_EDGE('',*,*,#19626,.T.); #26141=ORIENTED_EDGE('',*,*,#19623,.T.); #26142=ORIENTED_EDGE('',*,*,#19620,.T.); #26143=ORIENTED_EDGE('',*,*,#19617,.T.); #26144=ORIENTED_EDGE('',*,*,#19614,.T.); #26145=ORIENTED_EDGE('',*,*,#19611,.T.); #26146=ORIENTED_EDGE('',*,*,#19608,.T.); #26147=ORIENTED_EDGE('',*,*,#19605,.T.); #26148=ORIENTED_EDGE('',*,*,#19602,.T.); #26149=ORIENTED_EDGE('',*,*,#19599,.T.); #26150=ORIENTED_EDGE('',*,*,#19596,.T.); #26151=ORIENTED_EDGE('',*,*,#19591,.T.); #26152=ORIENTED_EDGE('',*,*,#19588,.T.); #26153=ORIENTED_EDGE('',*,*,#19628,.F.); #26154=ORIENTED_EDGE('',*,*,#19594,.T.); #26155=ORIENTED_EDGE('',*,*,#19598,.T.); #26156=ORIENTED_EDGE('',*,*,#19601,.T.); #26157=ORIENTED_EDGE('',*,*,#19604,.T.); #26158=ORIENTED_EDGE('',*,*,#19607,.T.); #26159=ORIENTED_EDGE('',*,*,#19610,.F.); #26160=ORIENTED_EDGE('',*,*,#19613,.F.); #26161=ORIENTED_EDGE('',*,*,#19616,.F.); #26162=ORIENTED_EDGE('',*,*,#19619,.F.); #26163=ORIENTED_EDGE('',*,*,#19622,.F.); #26164=ORIENTED_EDGE('',*,*,#19625,.F.); #26165=ORIENTED_EDGE('',*,*,#19593,.F.); #26166=ORIENTED_EDGE('',*,*,#19590,.F.); #26167=ORIENTED_EDGE('',*,*,#19630,.F.); #26168=ORIENTED_EDGE('',*,*,#19631,.T.); #26169=ORIENTED_EDGE('',*,*,#19632,.T.); #26170=ORIENTED_EDGE('',*,*,#19631,.F.); #26171=ORIENTED_EDGE('',*,*,#19633,.F.); #26172=ORIENTED_EDGE('',*,*,#19634,.T.); #26173=ORIENTED_EDGE('',*,*,#19635,.T.); #26174=ORIENTED_EDGE('',*,*,#19634,.F.); #26175=ORIENTED_EDGE('',*,*,#19636,.F.); #26176=ORIENTED_EDGE('',*,*,#19637,.T.); #26177=ORIENTED_EDGE('',*,*,#19638,.F.); #26178=ORIENTED_EDGE('',*,*,#19639,.F.); #26179=ORIENTED_EDGE('',*,*,#19640,.F.); #26180=ORIENTED_EDGE('',*,*,#19639,.T.); #26181=ORIENTED_EDGE('',*,*,#19641,.F.); #26182=ORIENTED_EDGE('',*,*,#19642,.F.); #26183=ORIENTED_EDGE('',*,*,#19643,.F.); #26184=ORIENTED_EDGE('',*,*,#19642,.T.); #26185=ORIENTED_EDGE('',*,*,#19644,.F.); #26186=ORIENTED_EDGE('',*,*,#19645,.F.); #26187=ORIENTED_EDGE('',*,*,#19646,.F.); #26188=ORIENTED_EDGE('',*,*,#19645,.T.); #26189=ORIENTED_EDGE('',*,*,#19647,.F.); #26190=ORIENTED_EDGE('',*,*,#19648,.F.); #26191=ORIENTED_EDGE('',*,*,#19649,.F.); #26192=ORIENTED_EDGE('',*,*,#19648,.T.); #26193=ORIENTED_EDGE('',*,*,#19650,.F.); #26194=ORIENTED_EDGE('',*,*,#19651,.F.); #26195=ORIENTED_EDGE('',*,*,#19652,.F.); #26196=ORIENTED_EDGE('',*,*,#19651,.T.); #26197=ORIENTED_EDGE('',*,*,#19653,.F.); #26198=ORIENTED_EDGE('',*,*,#19654,.F.); #26199=ORIENTED_EDGE('',*,*,#19655,.F.); #26200=ORIENTED_EDGE('',*,*,#19654,.T.); #26201=ORIENTED_EDGE('',*,*,#19656,.F.); #26202=ORIENTED_EDGE('',*,*,#19657,.F.); #26203=ORIENTED_EDGE('',*,*,#19658,.T.); #26204=ORIENTED_EDGE('',*,*,#19657,.T.); #26205=ORIENTED_EDGE('',*,*,#19659,.F.); #26206=ORIENTED_EDGE('',*,*,#19660,.F.); #26207=ORIENTED_EDGE('',*,*,#19661,.T.); #26208=ORIENTED_EDGE('',*,*,#19660,.T.); #26209=ORIENTED_EDGE('',*,*,#19662,.F.); #26210=ORIENTED_EDGE('',*,*,#19663,.F.); #26211=ORIENTED_EDGE('',*,*,#19664,.T.); #26212=ORIENTED_EDGE('',*,*,#19663,.T.); #26213=ORIENTED_EDGE('',*,*,#19665,.F.); #26214=ORIENTED_EDGE('',*,*,#19666,.F.); #26215=ORIENTED_EDGE('',*,*,#19667,.T.); #26216=ORIENTED_EDGE('',*,*,#19666,.T.); #26217=ORIENTED_EDGE('',*,*,#19668,.F.); #26218=ORIENTED_EDGE('',*,*,#19669,.F.); #26219=ORIENTED_EDGE('',*,*,#19670,.T.); #26220=ORIENTED_EDGE('',*,*,#19669,.T.); #26221=ORIENTED_EDGE('',*,*,#19671,.F.); #26222=ORIENTED_EDGE('',*,*,#19637,.F.); #26223=ORIENTED_EDGE('',*,*,#19671,.T.); #26224=ORIENTED_EDGE('',*,*,#19668,.T.); #26225=ORIENTED_EDGE('',*,*,#19665,.T.); #26226=ORIENTED_EDGE('',*,*,#19662,.T.); #26227=ORIENTED_EDGE('',*,*,#19659,.T.); #26228=ORIENTED_EDGE('',*,*,#19656,.T.); #26229=ORIENTED_EDGE('',*,*,#19653,.T.); #26230=ORIENTED_EDGE('',*,*,#19650,.T.); #26231=ORIENTED_EDGE('',*,*,#19647,.T.); #26232=ORIENTED_EDGE('',*,*,#19644,.T.); #26233=ORIENTED_EDGE('',*,*,#19641,.T.); #26234=ORIENTED_EDGE('',*,*,#19638,.T.); #26235=ORIENTED_EDGE('',*,*,#19633,.T.); #26236=ORIENTED_EDGE('',*,*,#19630,.T.); #26237=ORIENTED_EDGE('',*,*,#19670,.F.); #26238=ORIENTED_EDGE('',*,*,#19636,.T.); #26239=ORIENTED_EDGE('',*,*,#19640,.T.); #26240=ORIENTED_EDGE('',*,*,#19643,.T.); #26241=ORIENTED_EDGE('',*,*,#19646,.T.); #26242=ORIENTED_EDGE('',*,*,#19649,.T.); #26243=ORIENTED_EDGE('',*,*,#19652,.T.); #26244=ORIENTED_EDGE('',*,*,#19655,.T.); #26245=ORIENTED_EDGE('',*,*,#19658,.F.); #26246=ORIENTED_EDGE('',*,*,#19661,.F.); #26247=ORIENTED_EDGE('',*,*,#19664,.F.); #26248=ORIENTED_EDGE('',*,*,#19667,.F.); #26249=ORIENTED_EDGE('',*,*,#19635,.F.); #26250=ORIENTED_EDGE('',*,*,#19632,.F.); #26251=ORIENTED_EDGE('',*,*,#19672,.T.); #26252=ORIENTED_EDGE('',*,*,#19673,.T.); #26253=ORIENTED_EDGE('',*,*,#19674,.F.); #26254=ORIENTED_EDGE('',*,*,#19673,.F.); #26255=ORIENTED_EDGE('',*,*,#19675,.T.); #26256=ORIENTED_EDGE('',*,*,#19676,.T.); #26257=ORIENTED_EDGE('',*,*,#19677,.F.); #26258=ORIENTED_EDGE('',*,*,#19676,.F.); #26259=ORIENTED_EDGE('',*,*,#19678,.T.); #26260=ORIENTED_EDGE('',*,*,#19679,.T.); #26261=ORIENTED_EDGE('',*,*,#19680,.T.); #26262=ORIENTED_EDGE('',*,*,#19681,.F.); #26263=ORIENTED_EDGE('',*,*,#19682,.T.); #26264=ORIENTED_EDGE('',*,*,#19683,.T.); #26265=ORIENTED_EDGE('',*,*,#19684,.T.); #26266=ORIENTED_EDGE('',*,*,#19679,.F.); #26267=ORIENTED_EDGE('',*,*,#19685,.T.); #26268=ORIENTED_EDGE('',*,*,#19686,.T.); #26269=ORIENTED_EDGE('',*,*,#19687,.T.); #26270=ORIENTED_EDGE('',*,*,#19683,.F.); #26271=ORIENTED_EDGE('',*,*,#19688,.T.); #26272=ORIENTED_EDGE('',*,*,#19689,.T.); #26273=ORIENTED_EDGE('',*,*,#19690,.T.); #26274=ORIENTED_EDGE('',*,*,#19686,.F.); #26275=ORIENTED_EDGE('',*,*,#19691,.T.); #26276=ORIENTED_EDGE('',*,*,#19692,.T.); #26277=ORIENTED_EDGE('',*,*,#19693,.T.); #26278=ORIENTED_EDGE('',*,*,#19689,.F.); #26279=ORIENTED_EDGE('',*,*,#19694,.F.); #26280=ORIENTED_EDGE('',*,*,#19695,.T.); #26281=ORIENTED_EDGE('',*,*,#19696,.T.); #26282=ORIENTED_EDGE('',*,*,#19692,.F.); #26283=ORIENTED_EDGE('',*,*,#19697,.F.); #26284=ORIENTED_EDGE('',*,*,#19698,.T.); #26285=ORIENTED_EDGE('',*,*,#19699,.T.); #26286=ORIENTED_EDGE('',*,*,#19695,.F.); #26287=ORIENTED_EDGE('',*,*,#19700,.F.); #26288=ORIENTED_EDGE('',*,*,#19701,.T.); #26289=ORIENTED_EDGE('',*,*,#19702,.T.); #26290=ORIENTED_EDGE('',*,*,#19698,.F.); #26291=ORIENTED_EDGE('',*,*,#19703,.F.); #26292=ORIENTED_EDGE('',*,*,#19704,.T.); #26293=ORIENTED_EDGE('',*,*,#19705,.T.); #26294=ORIENTED_EDGE('',*,*,#19701,.F.); #26295=ORIENTED_EDGE('',*,*,#19706,.F.); #26296=ORIENTED_EDGE('',*,*,#19707,.T.); #26297=ORIENTED_EDGE('',*,*,#19708,.T.); #26298=ORIENTED_EDGE('',*,*,#19704,.F.); #26299=ORIENTED_EDGE('',*,*,#19709,.F.); #26300=ORIENTED_EDGE('',*,*,#19710,.T.); #26301=ORIENTED_EDGE('',*,*,#19711,.T.); #26302=ORIENTED_EDGE('',*,*,#19707,.F.); #26303=ORIENTED_EDGE('',*,*,#19712,.F.); #26304=ORIENTED_EDGE('',*,*,#19681,.T.); #26305=ORIENTED_EDGE('',*,*,#19713,.T.); #26306=ORIENTED_EDGE('',*,*,#19710,.F.); #26307=ORIENTED_EDGE('',*,*,#19713,.F.); #26308=ORIENTED_EDGE('',*,*,#19680,.F.); #26309=ORIENTED_EDGE('',*,*,#19684,.F.); #26310=ORIENTED_EDGE('',*,*,#19687,.F.); #26311=ORIENTED_EDGE('',*,*,#19690,.F.); #26312=ORIENTED_EDGE('',*,*,#19693,.F.); #26313=ORIENTED_EDGE('',*,*,#19696,.F.); #26314=ORIENTED_EDGE('',*,*,#19699,.F.); #26315=ORIENTED_EDGE('',*,*,#19702,.F.); #26316=ORIENTED_EDGE('',*,*,#19705,.F.); #26317=ORIENTED_EDGE('',*,*,#19708,.F.); #26318=ORIENTED_EDGE('',*,*,#19711,.F.); #26319=ORIENTED_EDGE('',*,*,#19675,.F.); #26320=ORIENTED_EDGE('',*,*,#19672,.F.); #26321=ORIENTED_EDGE('',*,*,#19712,.T.); #26322=ORIENTED_EDGE('',*,*,#19709,.T.); #26323=ORIENTED_EDGE('',*,*,#19706,.T.); #26324=ORIENTED_EDGE('',*,*,#19703,.T.); #26325=ORIENTED_EDGE('',*,*,#19700,.T.); #26326=ORIENTED_EDGE('',*,*,#19697,.T.); #26327=ORIENTED_EDGE('',*,*,#19694,.T.); #26328=ORIENTED_EDGE('',*,*,#19691,.F.); #26329=ORIENTED_EDGE('',*,*,#19688,.F.); #26330=ORIENTED_EDGE('',*,*,#19685,.F.); #26331=ORIENTED_EDGE('',*,*,#19682,.F.); #26332=ORIENTED_EDGE('',*,*,#19678,.F.); #26333=ORIENTED_EDGE('',*,*,#19677,.T.); #26334=ORIENTED_EDGE('',*,*,#19674,.T.); #26335=ORIENTED_EDGE('',*,*,#19714,.T.); #26336=ORIENTED_EDGE('',*,*,#19715,.T.); #26337=ORIENTED_EDGE('',*,*,#19716,.F.); #26338=ORIENTED_EDGE('',*,*,#19715,.F.); #26339=ORIENTED_EDGE('',*,*,#19717,.T.); #26340=ORIENTED_EDGE('',*,*,#19718,.T.); #26341=ORIENTED_EDGE('',*,*,#19719,.F.); #26342=ORIENTED_EDGE('',*,*,#19718,.F.); #26343=ORIENTED_EDGE('',*,*,#19720,.T.); #26344=ORIENTED_EDGE('',*,*,#19721,.T.); #26345=ORIENTED_EDGE('',*,*,#19722,.T.); #26346=ORIENTED_EDGE('',*,*,#19723,.F.); #26347=ORIENTED_EDGE('',*,*,#19724,.T.); #26348=ORIENTED_EDGE('',*,*,#19725,.T.); #26349=ORIENTED_EDGE('',*,*,#19726,.T.); #26350=ORIENTED_EDGE('',*,*,#19721,.F.); #26351=ORIENTED_EDGE('',*,*,#19727,.T.); #26352=ORIENTED_EDGE('',*,*,#19728,.T.); #26353=ORIENTED_EDGE('',*,*,#19729,.T.); #26354=ORIENTED_EDGE('',*,*,#19725,.F.); #26355=ORIENTED_EDGE('',*,*,#19730,.T.); #26356=ORIENTED_EDGE('',*,*,#19731,.T.); #26357=ORIENTED_EDGE('',*,*,#19732,.T.); #26358=ORIENTED_EDGE('',*,*,#19728,.F.); #26359=ORIENTED_EDGE('',*,*,#19733,.T.); #26360=ORIENTED_EDGE('',*,*,#19734,.T.); #26361=ORIENTED_EDGE('',*,*,#19735,.T.); #26362=ORIENTED_EDGE('',*,*,#19731,.F.); #26363=ORIENTED_EDGE('',*,*,#19736,.T.); #26364=ORIENTED_EDGE('',*,*,#19737,.T.); #26365=ORIENTED_EDGE('',*,*,#19738,.T.); #26366=ORIENTED_EDGE('',*,*,#19734,.F.); #26367=ORIENTED_EDGE('',*,*,#19739,.T.); #26368=ORIENTED_EDGE('',*,*,#19740,.T.); #26369=ORIENTED_EDGE('',*,*,#19741,.T.); #26370=ORIENTED_EDGE('',*,*,#19737,.F.); #26371=ORIENTED_EDGE('',*,*,#19742,.F.); #26372=ORIENTED_EDGE('',*,*,#19743,.T.); #26373=ORIENTED_EDGE('',*,*,#19744,.T.); #26374=ORIENTED_EDGE('',*,*,#19740,.F.); #26375=ORIENTED_EDGE('',*,*,#19745,.F.); #26376=ORIENTED_EDGE('',*,*,#19746,.T.); #26377=ORIENTED_EDGE('',*,*,#19747,.T.); #26378=ORIENTED_EDGE('',*,*,#19743,.F.); #26379=ORIENTED_EDGE('',*,*,#19748,.F.); #26380=ORIENTED_EDGE('',*,*,#19749,.T.); #26381=ORIENTED_EDGE('',*,*,#19750,.T.); #26382=ORIENTED_EDGE('',*,*,#19746,.F.); #26383=ORIENTED_EDGE('',*,*,#19751,.F.); #26384=ORIENTED_EDGE('',*,*,#19752,.T.); #26385=ORIENTED_EDGE('',*,*,#19753,.T.); #26386=ORIENTED_EDGE('',*,*,#19749,.F.); #26387=ORIENTED_EDGE('',*,*,#19754,.F.); #26388=ORIENTED_EDGE('',*,*,#19723,.T.); #26389=ORIENTED_EDGE('',*,*,#19755,.T.); #26390=ORIENTED_EDGE('',*,*,#19752,.F.); #26391=ORIENTED_EDGE('',*,*,#19755,.F.); #26392=ORIENTED_EDGE('',*,*,#19722,.F.); #26393=ORIENTED_EDGE('',*,*,#19726,.F.); #26394=ORIENTED_EDGE('',*,*,#19729,.F.); #26395=ORIENTED_EDGE('',*,*,#19732,.F.); #26396=ORIENTED_EDGE('',*,*,#19735,.F.); #26397=ORIENTED_EDGE('',*,*,#19738,.F.); #26398=ORIENTED_EDGE('',*,*,#19741,.F.); #26399=ORIENTED_EDGE('',*,*,#19744,.F.); #26400=ORIENTED_EDGE('',*,*,#19747,.F.); #26401=ORIENTED_EDGE('',*,*,#19750,.F.); #26402=ORIENTED_EDGE('',*,*,#19753,.F.); #26403=ORIENTED_EDGE('',*,*,#19717,.F.); #26404=ORIENTED_EDGE('',*,*,#19714,.F.); #26405=ORIENTED_EDGE('',*,*,#19754,.T.); #26406=ORIENTED_EDGE('',*,*,#19751,.T.); #26407=ORIENTED_EDGE('',*,*,#19748,.T.); #26408=ORIENTED_EDGE('',*,*,#19745,.T.); #26409=ORIENTED_EDGE('',*,*,#19742,.T.); #26410=ORIENTED_EDGE('',*,*,#19739,.F.); #26411=ORIENTED_EDGE('',*,*,#19736,.F.); #26412=ORIENTED_EDGE('',*,*,#19733,.F.); #26413=ORIENTED_EDGE('',*,*,#19730,.F.); #26414=ORIENTED_EDGE('',*,*,#19727,.F.); #26415=ORIENTED_EDGE('',*,*,#19724,.F.); #26416=ORIENTED_EDGE('',*,*,#19720,.F.); #26417=ORIENTED_EDGE('',*,*,#19719,.T.); #26418=ORIENTED_EDGE('',*,*,#19716,.T.); #26419=ORIENTED_EDGE('',*,*,#19756,.F.); #26420=ORIENTED_EDGE('',*,*,#19757,.T.); #26421=ORIENTED_EDGE('',*,*,#19758,.T.); #26422=ORIENTED_EDGE('',*,*,#19757,.F.); #26423=ORIENTED_EDGE('',*,*,#19759,.F.); #26424=ORIENTED_EDGE('',*,*,#19760,.T.); #26425=ORIENTED_EDGE('',*,*,#19761,.T.); #26426=ORIENTED_EDGE('',*,*,#19760,.F.); #26427=ORIENTED_EDGE('',*,*,#19762,.F.); #26428=ORIENTED_EDGE('',*,*,#19763,.T.); #26429=ORIENTED_EDGE('',*,*,#19764,.F.); #26430=ORIENTED_EDGE('',*,*,#19765,.F.); #26431=ORIENTED_EDGE('',*,*,#19766,.F.); #26432=ORIENTED_EDGE('',*,*,#19765,.T.); #26433=ORIENTED_EDGE('',*,*,#19767,.F.); #26434=ORIENTED_EDGE('',*,*,#19768,.F.); #26435=ORIENTED_EDGE('',*,*,#19769,.F.); #26436=ORIENTED_EDGE('',*,*,#19768,.T.); #26437=ORIENTED_EDGE('',*,*,#19770,.F.); #26438=ORIENTED_EDGE('',*,*,#19771,.F.); #26439=ORIENTED_EDGE('',*,*,#19772,.F.); #26440=ORIENTED_EDGE('',*,*,#19771,.T.); #26441=ORIENTED_EDGE('',*,*,#19773,.F.); #26442=ORIENTED_EDGE('',*,*,#19774,.F.); #26443=ORIENTED_EDGE('',*,*,#19775,.F.); #26444=ORIENTED_EDGE('',*,*,#19774,.T.); #26445=ORIENTED_EDGE('',*,*,#19776,.F.); #26446=ORIENTED_EDGE('',*,*,#19777,.F.); #26447=ORIENTED_EDGE('',*,*,#19778,.F.); #26448=ORIENTED_EDGE('',*,*,#19777,.T.); #26449=ORIENTED_EDGE('',*,*,#19779,.F.); #26450=ORIENTED_EDGE('',*,*,#19780,.F.); #26451=ORIENTED_EDGE('',*,*,#19781,.F.); #26452=ORIENTED_EDGE('',*,*,#19780,.T.); #26453=ORIENTED_EDGE('',*,*,#19782,.F.); #26454=ORIENTED_EDGE('',*,*,#19783,.F.); #26455=ORIENTED_EDGE('',*,*,#19784,.F.); #26456=ORIENTED_EDGE('',*,*,#19783,.T.); #26457=ORIENTED_EDGE('',*,*,#19785,.F.); #26458=ORIENTED_EDGE('',*,*,#19786,.F.); #26459=ORIENTED_EDGE('',*,*,#19787,.F.); #26460=ORIENTED_EDGE('',*,*,#19786,.T.); #26461=ORIENTED_EDGE('',*,*,#19788,.F.); #26462=ORIENTED_EDGE('',*,*,#19789,.F.); #26463=ORIENTED_EDGE('',*,*,#19790,.T.); #26464=ORIENTED_EDGE('',*,*,#19789,.T.); #26465=ORIENTED_EDGE('',*,*,#19791,.F.); #26466=ORIENTED_EDGE('',*,*,#19792,.F.); #26467=ORIENTED_EDGE('',*,*,#19793,.T.); #26468=ORIENTED_EDGE('',*,*,#19792,.T.); #26469=ORIENTED_EDGE('',*,*,#19794,.F.); #26470=ORIENTED_EDGE('',*,*,#19795,.F.); #26471=ORIENTED_EDGE('',*,*,#19796,.T.); #26472=ORIENTED_EDGE('',*,*,#19795,.T.); #26473=ORIENTED_EDGE('',*,*,#19797,.F.); #26474=ORIENTED_EDGE('',*,*,#19763,.F.); #26475=ORIENTED_EDGE('',*,*,#19797,.T.); #26476=ORIENTED_EDGE('',*,*,#19794,.T.); #26477=ORIENTED_EDGE('',*,*,#19791,.T.); #26478=ORIENTED_EDGE('',*,*,#19788,.T.); #26479=ORIENTED_EDGE('',*,*,#19785,.T.); #26480=ORIENTED_EDGE('',*,*,#19782,.T.); #26481=ORIENTED_EDGE('',*,*,#19779,.T.); #26482=ORIENTED_EDGE('',*,*,#19776,.T.); #26483=ORIENTED_EDGE('',*,*,#19773,.T.); #26484=ORIENTED_EDGE('',*,*,#19770,.T.); #26485=ORIENTED_EDGE('',*,*,#19767,.T.); #26486=ORIENTED_EDGE('',*,*,#19764,.T.); #26487=ORIENTED_EDGE('',*,*,#19759,.T.); #26488=ORIENTED_EDGE('',*,*,#19756,.T.); #26489=ORIENTED_EDGE('',*,*,#19796,.F.); #26490=ORIENTED_EDGE('',*,*,#19762,.T.); #26491=ORIENTED_EDGE('',*,*,#19766,.T.); #26492=ORIENTED_EDGE('',*,*,#19769,.T.); #26493=ORIENTED_EDGE('',*,*,#19772,.T.); #26494=ORIENTED_EDGE('',*,*,#19775,.T.); #26495=ORIENTED_EDGE('',*,*,#19778,.T.); #26496=ORIENTED_EDGE('',*,*,#19781,.T.); #26497=ORIENTED_EDGE('',*,*,#19784,.T.); #26498=ORIENTED_EDGE('',*,*,#19787,.T.); #26499=ORIENTED_EDGE('',*,*,#19790,.F.); #26500=ORIENTED_EDGE('',*,*,#19793,.F.); #26501=ORIENTED_EDGE('',*,*,#19761,.F.); #26502=ORIENTED_EDGE('',*,*,#19758,.F.); #26503=ORIENTED_EDGE('',*,*,#19798,.T.); #26504=ORIENTED_EDGE('',*,*,#19799,.T.); #26505=ORIENTED_EDGE('',*,*,#19800,.F.); #26506=ORIENTED_EDGE('',*,*,#19799,.F.); #26507=ORIENTED_EDGE('',*,*,#19801,.T.); #26508=ORIENTED_EDGE('',*,*,#19802,.T.); #26509=ORIENTED_EDGE('',*,*,#19803,.F.); #26510=ORIENTED_EDGE('',*,*,#19802,.F.); #26511=ORIENTED_EDGE('',*,*,#19804,.T.); #26512=ORIENTED_EDGE('',*,*,#19805,.T.); #26513=ORIENTED_EDGE('',*,*,#19806,.T.); #26514=ORIENTED_EDGE('',*,*,#19807,.F.); #26515=ORIENTED_EDGE('',*,*,#19808,.T.); #26516=ORIENTED_EDGE('',*,*,#19809,.T.); #26517=ORIENTED_EDGE('',*,*,#19810,.T.); #26518=ORIENTED_EDGE('',*,*,#19805,.F.); #26519=ORIENTED_EDGE('',*,*,#19811,.T.); #26520=ORIENTED_EDGE('',*,*,#19812,.T.); #26521=ORIENTED_EDGE('',*,*,#19813,.T.); #26522=ORIENTED_EDGE('',*,*,#19809,.F.); #26523=ORIENTED_EDGE('',*,*,#19814,.T.); #26524=ORIENTED_EDGE('',*,*,#19815,.T.); #26525=ORIENTED_EDGE('',*,*,#19816,.T.); #26526=ORIENTED_EDGE('',*,*,#19812,.F.); #26527=ORIENTED_EDGE('',*,*,#19817,.T.); #26528=ORIENTED_EDGE('',*,*,#19818,.T.); #26529=ORIENTED_EDGE('',*,*,#19819,.T.); #26530=ORIENTED_EDGE('',*,*,#19815,.F.); #26531=ORIENTED_EDGE('',*,*,#19820,.T.); #26532=ORIENTED_EDGE('',*,*,#19821,.T.); #26533=ORIENTED_EDGE('',*,*,#19822,.T.); #26534=ORIENTED_EDGE('',*,*,#19818,.F.); #26535=ORIENTED_EDGE('',*,*,#19823,.T.); #26536=ORIENTED_EDGE('',*,*,#19824,.T.); #26537=ORIENTED_EDGE('',*,*,#19825,.T.); #26538=ORIENTED_EDGE('',*,*,#19821,.F.); #26539=ORIENTED_EDGE('',*,*,#19826,.T.); #26540=ORIENTED_EDGE('',*,*,#19827,.T.); #26541=ORIENTED_EDGE('',*,*,#19828,.T.); #26542=ORIENTED_EDGE('',*,*,#19824,.F.); #26543=ORIENTED_EDGE('',*,*,#19829,.T.); #26544=ORIENTED_EDGE('',*,*,#19830,.T.); #26545=ORIENTED_EDGE('',*,*,#19831,.T.); #26546=ORIENTED_EDGE('',*,*,#19827,.F.); #26547=ORIENTED_EDGE('',*,*,#19832,.F.); #26548=ORIENTED_EDGE('',*,*,#19833,.T.); #26549=ORIENTED_EDGE('',*,*,#19834,.T.); #26550=ORIENTED_EDGE('',*,*,#19830,.F.); #26551=ORIENTED_EDGE('',*,*,#19835,.F.); #26552=ORIENTED_EDGE('',*,*,#19836,.T.); #26553=ORIENTED_EDGE('',*,*,#19837,.T.); #26554=ORIENTED_EDGE('',*,*,#19833,.F.); #26555=ORIENTED_EDGE('',*,*,#19838,.F.); #26556=ORIENTED_EDGE('',*,*,#19807,.T.); #26557=ORIENTED_EDGE('',*,*,#19839,.T.); #26558=ORIENTED_EDGE('',*,*,#19836,.F.); #26559=ORIENTED_EDGE('',*,*,#19839,.F.); #26560=ORIENTED_EDGE('',*,*,#19806,.F.); #26561=ORIENTED_EDGE('',*,*,#19810,.F.); #26562=ORIENTED_EDGE('',*,*,#19813,.F.); #26563=ORIENTED_EDGE('',*,*,#19816,.F.); #26564=ORIENTED_EDGE('',*,*,#19819,.F.); #26565=ORIENTED_EDGE('',*,*,#19822,.F.); #26566=ORIENTED_EDGE('',*,*,#19825,.F.); #26567=ORIENTED_EDGE('',*,*,#19828,.F.); #26568=ORIENTED_EDGE('',*,*,#19831,.F.); #26569=ORIENTED_EDGE('',*,*,#19834,.F.); #26570=ORIENTED_EDGE('',*,*,#19837,.F.); #26571=ORIENTED_EDGE('',*,*,#19801,.F.); #26572=ORIENTED_EDGE('',*,*,#19798,.F.); #26573=ORIENTED_EDGE('',*,*,#19838,.T.); #26574=ORIENTED_EDGE('',*,*,#19835,.T.); #26575=ORIENTED_EDGE('',*,*,#19832,.T.); #26576=ORIENTED_EDGE('',*,*,#19829,.F.); #26577=ORIENTED_EDGE('',*,*,#19826,.F.); #26578=ORIENTED_EDGE('',*,*,#19823,.F.); #26579=ORIENTED_EDGE('',*,*,#19820,.F.); #26580=ORIENTED_EDGE('',*,*,#19817,.F.); #26581=ORIENTED_EDGE('',*,*,#19814,.F.); #26582=ORIENTED_EDGE('',*,*,#19811,.F.); #26583=ORIENTED_EDGE('',*,*,#19808,.F.); #26584=ORIENTED_EDGE('',*,*,#19804,.F.); #26585=ORIENTED_EDGE('',*,*,#19803,.T.); #26586=ORIENTED_EDGE('',*,*,#19800,.T.); #26587=ORIENTED_EDGE('',*,*,#19840,.T.); #26588=ORIENTED_EDGE('',*,*,#19841,.T.); #26589=ORIENTED_EDGE('',*,*,#19842,.F.); #26590=ORIENTED_EDGE('',*,*,#19843,.F.); #26591=ORIENTED_EDGE('',*,*,#19844,.T.); #26592=ORIENTED_EDGE('',*,*,#19843,.T.); #26593=ORIENTED_EDGE('',*,*,#19845,.F.); #26594=ORIENTED_EDGE('',*,*,#19846,.F.); #26595=ORIENTED_EDGE('',*,*,#19847,.T.); #26596=ORIENTED_EDGE('',*,*,#19846,.T.); #26597=ORIENTED_EDGE('',*,*,#19848,.F.); #26598=ORIENTED_EDGE('',*,*,#19849,.F.); #26599=ORIENTED_EDGE('',*,*,#19850,.T.); #26600=ORIENTED_EDGE('',*,*,#19849,.T.); #26601=ORIENTED_EDGE('',*,*,#19851,.F.); #26602=ORIENTED_EDGE('',*,*,#19852,.F.); #26603=ORIENTED_EDGE('',*,*,#19853,.T.); #26604=ORIENTED_EDGE('',*,*,#19852,.T.); #26605=ORIENTED_EDGE('',*,*,#19854,.F.); #26606=ORIENTED_EDGE('',*,*,#19855,.F.); #26607=ORIENTED_EDGE('',*,*,#19856,.T.); #26608=ORIENTED_EDGE('',*,*,#19855,.T.); #26609=ORIENTED_EDGE('',*,*,#19857,.F.); #26610=ORIENTED_EDGE('',*,*,#19858,.F.); #26611=ORIENTED_EDGE('',*,*,#19859,.T.); #26612=ORIENTED_EDGE('',*,*,#19858,.T.); #26613=ORIENTED_EDGE('',*,*,#19860,.F.); #26614=ORIENTED_EDGE('',*,*,#19861,.F.); #26615=ORIENTED_EDGE('',*,*,#19862,.T.); #26616=ORIENTED_EDGE('',*,*,#19861,.T.); #26617=ORIENTED_EDGE('',*,*,#19863,.F.); #26618=ORIENTED_EDGE('',*,*,#19841,.F.); #26619=ORIENTED_EDGE('',*,*,#19864,.T.); #26620=ORIENTED_EDGE('',*,*,#19865,.T.); #26621=ORIENTED_EDGE('',*,*,#19866,.F.); #26622=ORIENTED_EDGE('',*,*,#19867,.F.); #26623=ORIENTED_EDGE('',*,*,#19868,.T.); #26624=ORIENTED_EDGE('',*,*,#19867,.T.); #26625=ORIENTED_EDGE('',*,*,#19869,.F.); #26626=ORIENTED_EDGE('',*,*,#19870,.F.); #26627=ORIENTED_EDGE('',*,*,#19871,.T.); #26628=ORIENTED_EDGE('',*,*,#19870,.T.); #26629=ORIENTED_EDGE('',*,*,#19872,.F.); #26630=ORIENTED_EDGE('',*,*,#19873,.F.); #26631=ORIENTED_EDGE('',*,*,#19874,.T.); #26632=ORIENTED_EDGE('',*,*,#19873,.T.); #26633=ORIENTED_EDGE('',*,*,#19875,.F.); #26634=ORIENTED_EDGE('',*,*,#19876,.F.); #26635=ORIENTED_EDGE('',*,*,#19877,.T.); #26636=ORIENTED_EDGE('',*,*,#19876,.T.); #26637=ORIENTED_EDGE('',*,*,#19878,.F.); #26638=ORIENTED_EDGE('',*,*,#19879,.F.); #26639=ORIENTED_EDGE('',*,*,#19880,.T.); #26640=ORIENTED_EDGE('',*,*,#19879,.T.); #26641=ORIENTED_EDGE('',*,*,#19881,.F.); #26642=ORIENTED_EDGE('',*,*,#19882,.F.); #26643=ORIENTED_EDGE('',*,*,#19883,.T.); #26644=ORIENTED_EDGE('',*,*,#19882,.T.); #26645=ORIENTED_EDGE('',*,*,#19884,.F.); #26646=ORIENTED_EDGE('',*,*,#19885,.F.); #26647=ORIENTED_EDGE('',*,*,#19886,.T.); #26648=ORIENTED_EDGE('',*,*,#19885,.T.); #26649=ORIENTED_EDGE('',*,*,#19887,.F.); #26650=ORIENTED_EDGE('',*,*,#19865,.F.); #26651=ORIENTED_EDGE('',*,*,#19888,.T.); #26652=ORIENTED_EDGE('',*,*,#19889,.T.); #26653=ORIENTED_EDGE('',*,*,#19890,.F.); #26654=ORIENTED_EDGE('',*,*,#19891,.F.); #26655=ORIENTED_EDGE('',*,*,#19892,.T.); #26656=ORIENTED_EDGE('',*,*,#19891,.T.); #26657=ORIENTED_EDGE('',*,*,#19893,.F.); #26658=ORIENTED_EDGE('',*,*,#19894,.F.); #26659=ORIENTED_EDGE('',*,*,#19895,.T.); #26660=ORIENTED_EDGE('',*,*,#19894,.T.); #26661=ORIENTED_EDGE('',*,*,#19896,.F.); #26662=ORIENTED_EDGE('',*,*,#19897,.F.); #26663=ORIENTED_EDGE('',*,*,#19898,.T.); #26664=ORIENTED_EDGE('',*,*,#19897,.T.); #26665=ORIENTED_EDGE('',*,*,#19899,.F.); #26666=ORIENTED_EDGE('',*,*,#19900,.F.); #26667=ORIENTED_EDGE('',*,*,#19901,.T.); #26668=ORIENTED_EDGE('',*,*,#19900,.T.); #26669=ORIENTED_EDGE('',*,*,#19902,.F.); #26670=ORIENTED_EDGE('',*,*,#19903,.F.); #26671=ORIENTED_EDGE('',*,*,#19904,.T.); #26672=ORIENTED_EDGE('',*,*,#19903,.T.); #26673=ORIENTED_EDGE('',*,*,#19905,.F.); #26674=ORIENTED_EDGE('',*,*,#19906,.F.); #26675=ORIENTED_EDGE('',*,*,#19907,.T.); #26676=ORIENTED_EDGE('',*,*,#19906,.T.); #26677=ORIENTED_EDGE('',*,*,#19908,.F.); #26678=ORIENTED_EDGE('',*,*,#19909,.F.); #26679=ORIENTED_EDGE('',*,*,#19910,.T.); #26680=ORIENTED_EDGE('',*,*,#19909,.T.); #26681=ORIENTED_EDGE('',*,*,#19911,.F.); #26682=ORIENTED_EDGE('',*,*,#19889,.F.); #26683=ORIENTED_EDGE('',*,*,#19912,.T.); #26684=ORIENTED_EDGE('',*,*,#19913,.T.); #26685=ORIENTED_EDGE('',*,*,#19914,.F.); #26686=ORIENTED_EDGE('',*,*,#19915,.F.); #26687=ORIENTED_EDGE('',*,*,#19916,.T.); #26688=ORIENTED_EDGE('',*,*,#19915,.T.); #26689=ORIENTED_EDGE('',*,*,#19917,.F.); #26690=ORIENTED_EDGE('',*,*,#19918,.F.); #26691=ORIENTED_EDGE('',*,*,#19919,.T.); #26692=ORIENTED_EDGE('',*,*,#19918,.T.); #26693=ORIENTED_EDGE('',*,*,#19920,.F.); #26694=ORIENTED_EDGE('',*,*,#19921,.F.); #26695=ORIENTED_EDGE('',*,*,#19922,.T.); #26696=ORIENTED_EDGE('',*,*,#19921,.T.); #26697=ORIENTED_EDGE('',*,*,#19923,.F.); #26698=ORIENTED_EDGE('',*,*,#19924,.F.); #26699=ORIENTED_EDGE('',*,*,#19925,.T.); #26700=ORIENTED_EDGE('',*,*,#19924,.T.); #26701=ORIENTED_EDGE('',*,*,#19926,.F.); #26702=ORIENTED_EDGE('',*,*,#19927,.F.); #26703=ORIENTED_EDGE('',*,*,#19928,.T.); #26704=ORIENTED_EDGE('',*,*,#19927,.T.); #26705=ORIENTED_EDGE('',*,*,#19929,.F.); #26706=ORIENTED_EDGE('',*,*,#19930,.F.); #26707=ORIENTED_EDGE('',*,*,#19931,.T.); #26708=ORIENTED_EDGE('',*,*,#19930,.T.); #26709=ORIENTED_EDGE('',*,*,#19932,.F.); #26710=ORIENTED_EDGE('',*,*,#19933,.F.); #26711=ORIENTED_EDGE('',*,*,#19934,.T.); #26712=ORIENTED_EDGE('',*,*,#19933,.T.); #26713=ORIENTED_EDGE('',*,*,#19935,.F.); #26714=ORIENTED_EDGE('',*,*,#19913,.F.); #26715=ORIENTED_EDGE('',*,*,#19936,.T.); #26716=ORIENTED_EDGE('',*,*,#19937,.T.); #26717=ORIENTED_EDGE('',*,*,#19938,.F.); #26718=ORIENTED_EDGE('',*,*,#19939,.F.); #26719=ORIENTED_EDGE('',*,*,#19940,.T.); #26720=ORIENTED_EDGE('',*,*,#19939,.T.); #26721=ORIENTED_EDGE('',*,*,#19941,.F.); #26722=ORIENTED_EDGE('',*,*,#19942,.F.); #26723=ORIENTED_EDGE('',*,*,#19943,.T.); #26724=ORIENTED_EDGE('',*,*,#19942,.T.); #26725=ORIENTED_EDGE('',*,*,#19944,.F.); #26726=ORIENTED_EDGE('',*,*,#19945,.F.); #26727=ORIENTED_EDGE('',*,*,#19946,.T.); #26728=ORIENTED_EDGE('',*,*,#19945,.T.); #26729=ORIENTED_EDGE('',*,*,#19947,.F.); #26730=ORIENTED_EDGE('',*,*,#19948,.F.); #26731=ORIENTED_EDGE('',*,*,#19949,.T.); #26732=ORIENTED_EDGE('',*,*,#19948,.T.); #26733=ORIENTED_EDGE('',*,*,#19950,.F.); #26734=ORIENTED_EDGE('',*,*,#19951,.F.); #26735=ORIENTED_EDGE('',*,*,#19952,.T.); #26736=ORIENTED_EDGE('',*,*,#19951,.T.); #26737=ORIENTED_EDGE('',*,*,#19953,.F.); #26738=ORIENTED_EDGE('',*,*,#19954,.F.); #26739=ORIENTED_EDGE('',*,*,#19955,.T.); #26740=ORIENTED_EDGE('',*,*,#19954,.T.); #26741=ORIENTED_EDGE('',*,*,#19956,.F.); #26742=ORIENTED_EDGE('',*,*,#19957,.F.); #26743=ORIENTED_EDGE('',*,*,#19958,.T.); #26744=ORIENTED_EDGE('',*,*,#19957,.T.); #26745=ORIENTED_EDGE('',*,*,#19959,.F.); #26746=ORIENTED_EDGE('',*,*,#19937,.F.); #26747=ORIENTED_EDGE('',*,*,#19960,.T.); #26748=ORIENTED_EDGE('',*,*,#19961,.T.); #26749=ORIENTED_EDGE('',*,*,#19962,.F.); #26750=ORIENTED_EDGE('',*,*,#19963,.F.); #26751=ORIENTED_EDGE('',*,*,#19964,.T.); #26752=ORIENTED_EDGE('',*,*,#19963,.T.); #26753=ORIENTED_EDGE('',*,*,#19965,.F.); #26754=ORIENTED_EDGE('',*,*,#19966,.F.); #26755=ORIENTED_EDGE('',*,*,#19967,.T.); #26756=ORIENTED_EDGE('',*,*,#19966,.T.); #26757=ORIENTED_EDGE('',*,*,#19968,.F.); #26758=ORIENTED_EDGE('',*,*,#19969,.F.); #26759=ORIENTED_EDGE('',*,*,#19970,.T.); #26760=ORIENTED_EDGE('',*,*,#19969,.T.); #26761=ORIENTED_EDGE('',*,*,#19971,.F.); #26762=ORIENTED_EDGE('',*,*,#19972,.F.); #26763=ORIENTED_EDGE('',*,*,#19973,.T.); #26764=ORIENTED_EDGE('',*,*,#19972,.T.); #26765=ORIENTED_EDGE('',*,*,#19974,.F.); #26766=ORIENTED_EDGE('',*,*,#19975,.F.); #26767=ORIENTED_EDGE('',*,*,#19976,.T.); #26768=ORIENTED_EDGE('',*,*,#19975,.T.); #26769=ORIENTED_EDGE('',*,*,#19977,.F.); #26770=ORIENTED_EDGE('',*,*,#19978,.F.); #26771=ORIENTED_EDGE('',*,*,#19979,.T.); #26772=ORIENTED_EDGE('',*,*,#19978,.T.); #26773=ORIENTED_EDGE('',*,*,#19980,.F.); #26774=ORIENTED_EDGE('',*,*,#19981,.F.); #26775=ORIENTED_EDGE('',*,*,#19982,.T.); #26776=ORIENTED_EDGE('',*,*,#19981,.T.); #26777=ORIENTED_EDGE('',*,*,#19983,.F.); #26778=ORIENTED_EDGE('',*,*,#19961,.F.); #26779=ORIENTED_EDGE('',*,*,#19984,.T.); #26780=ORIENTED_EDGE('',*,*,#19985,.T.); #26781=ORIENTED_EDGE('',*,*,#19986,.F.); #26782=ORIENTED_EDGE('',*,*,#19987,.F.); #26783=ORIENTED_EDGE('',*,*,#19988,.T.); #26784=ORIENTED_EDGE('',*,*,#19987,.T.); #26785=ORIENTED_EDGE('',*,*,#19989,.F.); #26786=ORIENTED_EDGE('',*,*,#19990,.F.); #26787=ORIENTED_EDGE('',*,*,#19991,.T.); #26788=ORIENTED_EDGE('',*,*,#19990,.T.); #26789=ORIENTED_EDGE('',*,*,#19992,.F.); #26790=ORIENTED_EDGE('',*,*,#19993,.F.); #26791=ORIENTED_EDGE('',*,*,#19994,.T.); #26792=ORIENTED_EDGE('',*,*,#19993,.T.); #26793=ORIENTED_EDGE('',*,*,#19995,.F.); #26794=ORIENTED_EDGE('',*,*,#19996,.F.); #26795=ORIENTED_EDGE('',*,*,#19997,.T.); #26796=ORIENTED_EDGE('',*,*,#19996,.T.); #26797=ORIENTED_EDGE('',*,*,#19998,.F.); #26798=ORIENTED_EDGE('',*,*,#19999,.F.); #26799=ORIENTED_EDGE('',*,*,#20000,.T.); #26800=ORIENTED_EDGE('',*,*,#19999,.T.); #26801=ORIENTED_EDGE('',*,*,#20001,.F.); #26802=ORIENTED_EDGE('',*,*,#20002,.F.); #26803=ORIENTED_EDGE('',*,*,#20003,.T.); #26804=ORIENTED_EDGE('',*,*,#20002,.T.); #26805=ORIENTED_EDGE('',*,*,#20004,.F.); #26806=ORIENTED_EDGE('',*,*,#20005,.F.); #26807=ORIENTED_EDGE('',*,*,#20006,.T.); #26808=ORIENTED_EDGE('',*,*,#20005,.T.); #26809=ORIENTED_EDGE('',*,*,#20007,.F.); #26810=ORIENTED_EDGE('',*,*,#19985,.F.); #26811=ORIENTED_EDGE('',*,*,#20008,.T.); #26812=ORIENTED_EDGE('',*,*,#20009,.T.); #26813=ORIENTED_EDGE('',*,*,#20010,.F.); #26814=ORIENTED_EDGE('',*,*,#20011,.F.); #26815=ORIENTED_EDGE('',*,*,#20012,.T.); #26816=ORIENTED_EDGE('',*,*,#20011,.T.); #26817=ORIENTED_EDGE('',*,*,#20013,.F.); #26818=ORIENTED_EDGE('',*,*,#20014,.F.); #26819=ORIENTED_EDGE('',*,*,#20015,.T.); #26820=ORIENTED_EDGE('',*,*,#20014,.T.); #26821=ORIENTED_EDGE('',*,*,#20016,.F.); #26822=ORIENTED_EDGE('',*,*,#20017,.F.); #26823=ORIENTED_EDGE('',*,*,#20018,.T.); #26824=ORIENTED_EDGE('',*,*,#20017,.T.); #26825=ORIENTED_EDGE('',*,*,#20019,.F.); #26826=ORIENTED_EDGE('',*,*,#20020,.F.); #26827=ORIENTED_EDGE('',*,*,#20021,.T.); #26828=ORIENTED_EDGE('',*,*,#20020,.T.); #26829=ORIENTED_EDGE('',*,*,#20022,.F.); #26830=ORIENTED_EDGE('',*,*,#20023,.F.); #26831=ORIENTED_EDGE('',*,*,#20024,.T.); #26832=ORIENTED_EDGE('',*,*,#20023,.T.); #26833=ORIENTED_EDGE('',*,*,#20025,.F.); #26834=ORIENTED_EDGE('',*,*,#20026,.F.); #26835=ORIENTED_EDGE('',*,*,#20027,.T.); #26836=ORIENTED_EDGE('',*,*,#20026,.T.); #26837=ORIENTED_EDGE('',*,*,#20028,.F.); #26838=ORIENTED_EDGE('',*,*,#20029,.F.); #26839=ORIENTED_EDGE('',*,*,#20030,.T.); #26840=ORIENTED_EDGE('',*,*,#20029,.T.); #26841=ORIENTED_EDGE('',*,*,#20031,.F.); #26842=ORIENTED_EDGE('',*,*,#20009,.F.); #26843=ORIENTED_EDGE('',*,*,#20032,.T.); #26844=ORIENTED_EDGE('',*,*,#20033,.T.); #26845=ORIENTED_EDGE('',*,*,#20034,.F.); #26846=ORIENTED_EDGE('',*,*,#20035,.F.); #26847=ORIENTED_EDGE('',*,*,#20036,.T.); #26848=ORIENTED_EDGE('',*,*,#20035,.T.); #26849=ORIENTED_EDGE('',*,*,#20037,.F.); #26850=ORIENTED_EDGE('',*,*,#20038,.F.); #26851=ORIENTED_EDGE('',*,*,#20039,.T.); #26852=ORIENTED_EDGE('',*,*,#20038,.T.); #26853=ORIENTED_EDGE('',*,*,#20040,.F.); #26854=ORIENTED_EDGE('',*,*,#20041,.F.); #26855=ORIENTED_EDGE('',*,*,#20042,.T.); #26856=ORIENTED_EDGE('',*,*,#20041,.T.); #26857=ORIENTED_EDGE('',*,*,#20043,.F.); #26858=ORIENTED_EDGE('',*,*,#20044,.F.); #26859=ORIENTED_EDGE('',*,*,#20045,.T.); #26860=ORIENTED_EDGE('',*,*,#20044,.T.); #26861=ORIENTED_EDGE('',*,*,#20046,.F.); #26862=ORIENTED_EDGE('',*,*,#20047,.F.); #26863=ORIENTED_EDGE('',*,*,#20048,.T.); #26864=ORIENTED_EDGE('',*,*,#20047,.T.); #26865=ORIENTED_EDGE('',*,*,#20049,.F.); #26866=ORIENTED_EDGE('',*,*,#20050,.F.); #26867=ORIENTED_EDGE('',*,*,#20051,.T.); #26868=ORIENTED_EDGE('',*,*,#20050,.T.); #26869=ORIENTED_EDGE('',*,*,#20052,.F.); #26870=ORIENTED_EDGE('',*,*,#20053,.F.); #26871=ORIENTED_EDGE('',*,*,#20054,.T.); #26872=ORIENTED_EDGE('',*,*,#20053,.T.); #26873=ORIENTED_EDGE('',*,*,#20055,.F.); #26874=ORIENTED_EDGE('',*,*,#20033,.F.); #26875=ORIENTED_EDGE('',*,*,#20056,.T.); #26876=ORIENTED_EDGE('',*,*,#20057,.T.); #26877=ORIENTED_EDGE('',*,*,#20058,.F.); #26878=ORIENTED_EDGE('',*,*,#20059,.F.); #26879=ORIENTED_EDGE('',*,*,#20060,.T.); #26880=ORIENTED_EDGE('',*,*,#20059,.T.); #26881=ORIENTED_EDGE('',*,*,#20061,.F.); #26882=ORIENTED_EDGE('',*,*,#20062,.F.); #26883=ORIENTED_EDGE('',*,*,#20063,.T.); #26884=ORIENTED_EDGE('',*,*,#20062,.T.); #26885=ORIENTED_EDGE('',*,*,#20064,.F.); #26886=ORIENTED_EDGE('',*,*,#20065,.F.); #26887=ORIENTED_EDGE('',*,*,#20066,.T.); #26888=ORIENTED_EDGE('',*,*,#20065,.T.); #26889=ORIENTED_EDGE('',*,*,#20067,.F.); #26890=ORIENTED_EDGE('',*,*,#20068,.F.); #26891=ORIENTED_EDGE('',*,*,#20069,.T.); #26892=ORIENTED_EDGE('',*,*,#20068,.T.); #26893=ORIENTED_EDGE('',*,*,#20070,.F.); #26894=ORIENTED_EDGE('',*,*,#20071,.F.); #26895=ORIENTED_EDGE('',*,*,#20072,.T.); #26896=ORIENTED_EDGE('',*,*,#20071,.T.); #26897=ORIENTED_EDGE('',*,*,#20073,.F.); #26898=ORIENTED_EDGE('',*,*,#20074,.F.); #26899=ORIENTED_EDGE('',*,*,#20075,.T.); #26900=ORIENTED_EDGE('',*,*,#20074,.T.); #26901=ORIENTED_EDGE('',*,*,#20076,.F.); #26902=ORIENTED_EDGE('',*,*,#20077,.F.); #26903=ORIENTED_EDGE('',*,*,#20078,.T.); #26904=ORIENTED_EDGE('',*,*,#20077,.T.); #26905=ORIENTED_EDGE('',*,*,#20079,.F.); #26906=ORIENTED_EDGE('',*,*,#20057,.F.); #26907=ORIENTED_EDGE('',*,*,#20080,.T.); #26908=ORIENTED_EDGE('',*,*,#20081,.T.); #26909=ORIENTED_EDGE('',*,*,#20082,.F.); #26910=ORIENTED_EDGE('',*,*,#20083,.F.); #26911=ORIENTED_EDGE('',*,*,#20084,.T.); #26912=ORIENTED_EDGE('',*,*,#20083,.T.); #26913=ORIENTED_EDGE('',*,*,#20085,.F.); #26914=ORIENTED_EDGE('',*,*,#20086,.F.); #26915=ORIENTED_EDGE('',*,*,#20087,.T.); #26916=ORIENTED_EDGE('',*,*,#20086,.T.); #26917=ORIENTED_EDGE('',*,*,#20088,.F.); #26918=ORIENTED_EDGE('',*,*,#20089,.F.); #26919=ORIENTED_EDGE('',*,*,#20090,.T.); #26920=ORIENTED_EDGE('',*,*,#20089,.T.); #26921=ORIENTED_EDGE('',*,*,#20091,.F.); #26922=ORIENTED_EDGE('',*,*,#20092,.F.); #26923=ORIENTED_EDGE('',*,*,#20093,.T.); #26924=ORIENTED_EDGE('',*,*,#20092,.T.); #26925=ORIENTED_EDGE('',*,*,#20094,.F.); #26926=ORIENTED_EDGE('',*,*,#20095,.F.); #26927=ORIENTED_EDGE('',*,*,#20096,.T.); #26928=ORIENTED_EDGE('',*,*,#20095,.T.); #26929=ORIENTED_EDGE('',*,*,#20097,.F.); #26930=ORIENTED_EDGE('',*,*,#20098,.F.); #26931=ORIENTED_EDGE('',*,*,#20099,.T.); #26932=ORIENTED_EDGE('',*,*,#20098,.T.); #26933=ORIENTED_EDGE('',*,*,#20100,.F.); #26934=ORIENTED_EDGE('',*,*,#20101,.F.); #26935=ORIENTED_EDGE('',*,*,#20102,.T.); #26936=ORIENTED_EDGE('',*,*,#20101,.T.); #26937=ORIENTED_EDGE('',*,*,#20103,.F.); #26938=ORIENTED_EDGE('',*,*,#20081,.F.); #26939=ORIENTED_EDGE('',*,*,#20104,.T.); #26940=ORIENTED_EDGE('',*,*,#20105,.T.); #26941=ORIENTED_EDGE('',*,*,#20106,.F.); #26942=ORIENTED_EDGE('',*,*,#20107,.F.); #26943=ORIENTED_EDGE('',*,*,#20108,.T.); #26944=ORIENTED_EDGE('',*,*,#20107,.T.); #26945=ORIENTED_EDGE('',*,*,#20109,.F.); #26946=ORIENTED_EDGE('',*,*,#20110,.F.); #26947=ORIENTED_EDGE('',*,*,#20111,.T.); #26948=ORIENTED_EDGE('',*,*,#20110,.T.); #26949=ORIENTED_EDGE('',*,*,#20112,.F.); #26950=ORIENTED_EDGE('',*,*,#20113,.F.); #26951=ORIENTED_EDGE('',*,*,#20114,.T.); #26952=ORIENTED_EDGE('',*,*,#20113,.T.); #26953=ORIENTED_EDGE('',*,*,#20115,.F.); #26954=ORIENTED_EDGE('',*,*,#20116,.F.); #26955=ORIENTED_EDGE('',*,*,#20117,.T.); #26956=ORIENTED_EDGE('',*,*,#20116,.T.); #26957=ORIENTED_EDGE('',*,*,#20118,.F.); #26958=ORIENTED_EDGE('',*,*,#20119,.F.); #26959=ORIENTED_EDGE('',*,*,#20120,.T.); #26960=ORIENTED_EDGE('',*,*,#20119,.T.); #26961=ORIENTED_EDGE('',*,*,#20121,.F.); #26962=ORIENTED_EDGE('',*,*,#20122,.F.); #26963=ORIENTED_EDGE('',*,*,#20123,.T.); #26964=ORIENTED_EDGE('',*,*,#20122,.T.); #26965=ORIENTED_EDGE('',*,*,#20124,.F.); #26966=ORIENTED_EDGE('',*,*,#20125,.F.); #26967=ORIENTED_EDGE('',*,*,#20126,.T.); #26968=ORIENTED_EDGE('',*,*,#20125,.T.); #26969=ORIENTED_EDGE('',*,*,#20127,.F.); #26970=ORIENTED_EDGE('',*,*,#20105,.F.); #26971=ORIENTED_EDGE('',*,*,#20128,.T.); #26972=ORIENTED_EDGE('',*,*,#20129,.T.); #26973=ORIENTED_EDGE('',*,*,#20130,.F.); #26974=ORIENTED_EDGE('',*,*,#20131,.F.); #26975=ORIENTED_EDGE('',*,*,#20132,.T.); #26976=ORIENTED_EDGE('',*,*,#20131,.T.); #26977=ORIENTED_EDGE('',*,*,#20133,.F.); #26978=ORIENTED_EDGE('',*,*,#20134,.F.); #26979=ORIENTED_EDGE('',*,*,#20135,.T.); #26980=ORIENTED_EDGE('',*,*,#20134,.T.); #26981=ORIENTED_EDGE('',*,*,#20136,.F.); #26982=ORIENTED_EDGE('',*,*,#20137,.F.); #26983=ORIENTED_EDGE('',*,*,#20138,.T.); #26984=ORIENTED_EDGE('',*,*,#20137,.T.); #26985=ORIENTED_EDGE('',*,*,#20139,.F.); #26986=ORIENTED_EDGE('',*,*,#20140,.F.); #26987=ORIENTED_EDGE('',*,*,#20141,.T.); #26988=ORIENTED_EDGE('',*,*,#20140,.T.); #26989=ORIENTED_EDGE('',*,*,#20142,.F.); #26990=ORIENTED_EDGE('',*,*,#20143,.F.); #26991=ORIENTED_EDGE('',*,*,#20144,.T.); #26992=ORIENTED_EDGE('',*,*,#20143,.T.); #26993=ORIENTED_EDGE('',*,*,#20145,.F.); #26994=ORIENTED_EDGE('',*,*,#20146,.F.); #26995=ORIENTED_EDGE('',*,*,#20147,.T.); #26996=ORIENTED_EDGE('',*,*,#20146,.T.); #26997=ORIENTED_EDGE('',*,*,#20148,.F.); #26998=ORIENTED_EDGE('',*,*,#20149,.F.); #26999=ORIENTED_EDGE('',*,*,#20150,.T.); #27000=ORIENTED_EDGE('',*,*,#20149,.T.); #27001=ORIENTED_EDGE('',*,*,#20151,.F.); #27002=ORIENTED_EDGE('',*,*,#20129,.F.); #27003=ORIENTED_EDGE('',*,*,#20152,.T.); #27004=ORIENTED_EDGE('',*,*,#20153,.T.); #27005=ORIENTED_EDGE('',*,*,#20154,.F.); #27006=ORIENTED_EDGE('',*,*,#20155,.F.); #27007=ORIENTED_EDGE('',*,*,#20156,.T.); #27008=ORIENTED_EDGE('',*,*,#20155,.T.); #27009=ORIENTED_EDGE('',*,*,#20157,.F.); #27010=ORIENTED_EDGE('',*,*,#20158,.F.); #27011=ORIENTED_EDGE('',*,*,#20159,.T.); #27012=ORIENTED_EDGE('',*,*,#20158,.T.); #27013=ORIENTED_EDGE('',*,*,#20160,.F.); #27014=ORIENTED_EDGE('',*,*,#20161,.F.); #27015=ORIENTED_EDGE('',*,*,#20162,.T.); #27016=ORIENTED_EDGE('',*,*,#20161,.T.); #27017=ORIENTED_EDGE('',*,*,#20163,.F.); #27018=ORIENTED_EDGE('',*,*,#20164,.F.); #27019=ORIENTED_EDGE('',*,*,#20165,.T.); #27020=ORIENTED_EDGE('',*,*,#20164,.T.); #27021=ORIENTED_EDGE('',*,*,#20166,.F.); #27022=ORIENTED_EDGE('',*,*,#20167,.F.); #27023=ORIENTED_EDGE('',*,*,#20168,.T.); #27024=ORIENTED_EDGE('',*,*,#20167,.T.); #27025=ORIENTED_EDGE('',*,*,#20169,.F.); #27026=ORIENTED_EDGE('',*,*,#20170,.F.); #27027=ORIENTED_EDGE('',*,*,#20171,.T.); #27028=ORIENTED_EDGE('',*,*,#20170,.T.); #27029=ORIENTED_EDGE('',*,*,#20172,.F.); #27030=ORIENTED_EDGE('',*,*,#20173,.F.); #27031=ORIENTED_EDGE('',*,*,#20174,.T.); #27032=ORIENTED_EDGE('',*,*,#20173,.T.); #27033=ORIENTED_EDGE('',*,*,#20175,.F.); #27034=ORIENTED_EDGE('',*,*,#20153,.F.); #27035=ORIENTED_EDGE('',*,*,#20176,.T.); #27036=ORIENTED_EDGE('',*,*,#20177,.T.); #27037=ORIENTED_EDGE('',*,*,#20178,.F.); #27038=ORIENTED_EDGE('',*,*,#20179,.F.); #27039=ORIENTED_EDGE('',*,*,#20180,.T.); #27040=ORIENTED_EDGE('',*,*,#20179,.T.); #27041=ORIENTED_EDGE('',*,*,#20181,.F.); #27042=ORIENTED_EDGE('',*,*,#20182,.F.); #27043=ORIENTED_EDGE('',*,*,#20183,.T.); #27044=ORIENTED_EDGE('',*,*,#20182,.T.); #27045=ORIENTED_EDGE('',*,*,#20184,.F.); #27046=ORIENTED_EDGE('',*,*,#20185,.F.); #27047=ORIENTED_EDGE('',*,*,#20186,.T.); #27048=ORIENTED_EDGE('',*,*,#20185,.T.); #27049=ORIENTED_EDGE('',*,*,#20187,.F.); #27050=ORIENTED_EDGE('',*,*,#20188,.F.); #27051=ORIENTED_EDGE('',*,*,#20189,.T.); #27052=ORIENTED_EDGE('',*,*,#20188,.T.); #27053=ORIENTED_EDGE('',*,*,#20190,.F.); #27054=ORIENTED_EDGE('',*,*,#20191,.F.); #27055=ORIENTED_EDGE('',*,*,#20192,.T.); #27056=ORIENTED_EDGE('',*,*,#20191,.T.); #27057=ORIENTED_EDGE('',*,*,#20193,.F.); #27058=ORIENTED_EDGE('',*,*,#20194,.F.); #27059=ORIENTED_EDGE('',*,*,#20195,.T.); #27060=ORIENTED_EDGE('',*,*,#20194,.T.); #27061=ORIENTED_EDGE('',*,*,#20196,.F.); #27062=ORIENTED_EDGE('',*,*,#20197,.F.); #27063=ORIENTED_EDGE('',*,*,#20198,.T.); #27064=ORIENTED_EDGE('',*,*,#20197,.T.); #27065=ORIENTED_EDGE('',*,*,#20199,.F.); #27066=ORIENTED_EDGE('',*,*,#20177,.F.); #27067=ORIENTED_EDGE('',*,*,#20200,.T.); #27068=ORIENTED_EDGE('',*,*,#20201,.T.); #27069=ORIENTED_EDGE('',*,*,#20202,.F.); #27070=ORIENTED_EDGE('',*,*,#20203,.F.); #27071=ORIENTED_EDGE('',*,*,#20204,.T.); #27072=ORIENTED_EDGE('',*,*,#20203,.T.); #27073=ORIENTED_EDGE('',*,*,#20205,.F.); #27074=ORIENTED_EDGE('',*,*,#20206,.F.); #27075=ORIENTED_EDGE('',*,*,#20207,.T.); #27076=ORIENTED_EDGE('',*,*,#20206,.T.); #27077=ORIENTED_EDGE('',*,*,#20208,.F.); #27078=ORIENTED_EDGE('',*,*,#20209,.F.); #27079=ORIENTED_EDGE('',*,*,#20210,.T.); #27080=ORIENTED_EDGE('',*,*,#20209,.T.); #27081=ORIENTED_EDGE('',*,*,#20211,.F.); #27082=ORIENTED_EDGE('',*,*,#20212,.F.); #27083=ORIENTED_EDGE('',*,*,#20213,.T.); #27084=ORIENTED_EDGE('',*,*,#20212,.T.); #27085=ORIENTED_EDGE('',*,*,#20214,.F.); #27086=ORIENTED_EDGE('',*,*,#20215,.F.); #27087=ORIENTED_EDGE('',*,*,#20216,.T.); #27088=ORIENTED_EDGE('',*,*,#20215,.T.); #27089=ORIENTED_EDGE('',*,*,#20217,.F.); #27090=ORIENTED_EDGE('',*,*,#20218,.F.); #27091=ORIENTED_EDGE('',*,*,#20219,.T.); #27092=ORIENTED_EDGE('',*,*,#20218,.T.); #27093=ORIENTED_EDGE('',*,*,#20220,.F.); #27094=ORIENTED_EDGE('',*,*,#20221,.F.); #27095=ORIENTED_EDGE('',*,*,#20222,.T.); #27096=ORIENTED_EDGE('',*,*,#20221,.T.); #27097=ORIENTED_EDGE('',*,*,#20223,.F.); #27098=ORIENTED_EDGE('',*,*,#20201,.F.); #27099=ORIENTED_EDGE('',*,*,#20224,.T.); #27100=ORIENTED_EDGE('',*,*,#20225,.T.); #27101=ORIENTED_EDGE('',*,*,#20226,.F.); #27102=ORIENTED_EDGE('',*,*,#20227,.F.); #27103=ORIENTED_EDGE('',*,*,#20228,.T.); #27104=ORIENTED_EDGE('',*,*,#20227,.T.); #27105=ORIENTED_EDGE('',*,*,#20229,.F.); #27106=ORIENTED_EDGE('',*,*,#20230,.F.); #27107=ORIENTED_EDGE('',*,*,#20231,.T.); #27108=ORIENTED_EDGE('',*,*,#20230,.T.); #27109=ORIENTED_EDGE('',*,*,#20232,.F.); #27110=ORIENTED_EDGE('',*,*,#20233,.F.); #27111=ORIENTED_EDGE('',*,*,#20234,.T.); #27112=ORIENTED_EDGE('',*,*,#20233,.T.); #27113=ORIENTED_EDGE('',*,*,#20235,.F.); #27114=ORIENTED_EDGE('',*,*,#20236,.F.); #27115=ORIENTED_EDGE('',*,*,#20237,.T.); #27116=ORIENTED_EDGE('',*,*,#20236,.T.); #27117=ORIENTED_EDGE('',*,*,#20238,.F.); #27118=ORIENTED_EDGE('',*,*,#20239,.F.); #27119=ORIENTED_EDGE('',*,*,#20240,.T.); #27120=ORIENTED_EDGE('',*,*,#20239,.T.); #27121=ORIENTED_EDGE('',*,*,#20241,.F.); #27122=ORIENTED_EDGE('',*,*,#20242,.F.); #27123=ORIENTED_EDGE('',*,*,#20243,.T.); #27124=ORIENTED_EDGE('',*,*,#20242,.T.); #27125=ORIENTED_EDGE('',*,*,#20244,.F.); #27126=ORIENTED_EDGE('',*,*,#20245,.F.); #27127=ORIENTED_EDGE('',*,*,#20246,.T.); #27128=ORIENTED_EDGE('',*,*,#20245,.T.); #27129=ORIENTED_EDGE('',*,*,#20247,.F.); #27130=ORIENTED_EDGE('',*,*,#20225,.F.); #27131=ORIENTED_EDGE('',*,*,#20248,.T.); #27132=ORIENTED_EDGE('',*,*,#20249,.T.); #27133=ORIENTED_EDGE('',*,*,#20250,.F.); #27134=ORIENTED_EDGE('',*,*,#20251,.F.); #27135=ORIENTED_EDGE('',*,*,#20252,.T.); #27136=ORIENTED_EDGE('',*,*,#20251,.T.); #27137=ORIENTED_EDGE('',*,*,#20253,.F.); #27138=ORIENTED_EDGE('',*,*,#20254,.F.); #27139=ORIENTED_EDGE('',*,*,#20255,.T.); #27140=ORIENTED_EDGE('',*,*,#20254,.T.); #27141=ORIENTED_EDGE('',*,*,#20256,.F.); #27142=ORIENTED_EDGE('',*,*,#20257,.F.); #27143=ORIENTED_EDGE('',*,*,#20258,.T.); #27144=ORIENTED_EDGE('',*,*,#20257,.T.); #27145=ORIENTED_EDGE('',*,*,#20259,.F.); #27146=ORIENTED_EDGE('',*,*,#20260,.F.); #27147=ORIENTED_EDGE('',*,*,#20261,.T.); #27148=ORIENTED_EDGE('',*,*,#20260,.T.); #27149=ORIENTED_EDGE('',*,*,#20262,.F.); #27150=ORIENTED_EDGE('',*,*,#20263,.F.); #27151=ORIENTED_EDGE('',*,*,#20264,.T.); #27152=ORIENTED_EDGE('',*,*,#20263,.T.); #27153=ORIENTED_EDGE('',*,*,#20265,.F.); #27154=ORIENTED_EDGE('',*,*,#20266,.F.); #27155=ORIENTED_EDGE('',*,*,#20267,.T.); #27156=ORIENTED_EDGE('',*,*,#20266,.T.); #27157=ORIENTED_EDGE('',*,*,#20268,.F.); #27158=ORIENTED_EDGE('',*,*,#20269,.F.); #27159=ORIENTED_EDGE('',*,*,#20270,.T.); #27160=ORIENTED_EDGE('',*,*,#20269,.T.); #27161=ORIENTED_EDGE('',*,*,#20271,.F.); #27162=ORIENTED_EDGE('',*,*,#20249,.F.); #27163=ORIENTED_EDGE('',*,*,#20272,.F.); #27164=ORIENTED_EDGE('',*,*,#20273,.T.); #27165=ORIENTED_EDGE('',*,*,#20274,.F.); #27166=ORIENTED_EDGE('',*,*,#20275,.F.); #27167=ORIENTED_EDGE('',*,*,#20276,.T.); #27168=ORIENTED_EDGE('',*,*,#20275,.T.); #27169=ORIENTED_EDGE('',*,*,#20277,.F.); #27170=ORIENTED_EDGE('',*,*,#20278,.F.); #27171=ORIENTED_EDGE('',*,*,#20279,.T.); #27172=ORIENTED_EDGE('',*,*,#20278,.T.); #27173=ORIENTED_EDGE('',*,*,#20280,.F.); #27174=ORIENTED_EDGE('',*,*,#20281,.F.); #27175=ORIENTED_EDGE('',*,*,#20282,.T.); #27176=ORIENTED_EDGE('',*,*,#20281,.T.); #27177=ORIENTED_EDGE('',*,*,#20283,.F.); #27178=ORIENTED_EDGE('',*,*,#20284,.F.); #27179=ORIENTED_EDGE('',*,*,#20285,.F.); #27180=ORIENTED_EDGE('',*,*,#20284,.T.); #27181=ORIENTED_EDGE('',*,*,#20286,.F.); #27182=ORIENTED_EDGE('',*,*,#20287,.F.); #27183=ORIENTED_EDGE('',*,*,#20288,.T.); #27184=ORIENTED_EDGE('',*,*,#20287,.T.); #27185=ORIENTED_EDGE('',*,*,#20289,.F.); #27186=ORIENTED_EDGE('',*,*,#20290,.F.); #27187=ORIENTED_EDGE('',*,*,#20291,.F.); #27188=ORIENTED_EDGE('',*,*,#20290,.T.); #27189=ORIENTED_EDGE('',*,*,#20292,.F.); #27190=ORIENTED_EDGE('',*,*,#20293,.F.); #27191=ORIENTED_EDGE('',*,*,#20294,.T.); #27192=ORIENTED_EDGE('',*,*,#20293,.T.); #27193=ORIENTED_EDGE('',*,*,#20295,.F.); #27194=ORIENTED_EDGE('',*,*,#20296,.F.); #27195=ORIENTED_EDGE('',*,*,#20297,.T.); #27196=ORIENTED_EDGE('',*,*,#20296,.T.); #27197=ORIENTED_EDGE('',*,*,#20298,.F.); #27198=ORIENTED_EDGE('',*,*,#20299,.F.); #27199=ORIENTED_EDGE('',*,*,#20300,.T.); #27200=ORIENTED_EDGE('',*,*,#20299,.T.); #27201=ORIENTED_EDGE('',*,*,#20301,.F.); #27202=ORIENTED_EDGE('',*,*,#20302,.F.); #27203=ORIENTED_EDGE('',*,*,#20303,.T.); #27204=ORIENTED_EDGE('',*,*,#20302,.T.); #27205=ORIENTED_EDGE('',*,*,#20304,.F.); #27206=ORIENTED_EDGE('',*,*,#20305,.F.); #27207=ORIENTED_EDGE('',*,*,#20306,.F.); #27208=ORIENTED_EDGE('',*,*,#20305,.T.); #27209=ORIENTED_EDGE('',*,*,#20307,.F.); #27210=ORIENTED_EDGE('',*,*,#20308,.F.); #27211=ORIENTED_EDGE('',*,*,#20309,.F.); #27212=ORIENTED_EDGE('',*,*,#20308,.T.); #27213=ORIENTED_EDGE('',*,*,#20310,.F.); #27214=ORIENTED_EDGE('',*,*,#20311,.F.); #27215=ORIENTED_EDGE('',*,*,#20312,.T.); #27216=ORIENTED_EDGE('',*,*,#20311,.T.); #27217=ORIENTED_EDGE('',*,*,#20313,.F.); #27218=ORIENTED_EDGE('',*,*,#20314,.F.); #27219=ORIENTED_EDGE('',*,*,#20315,.T.); #27220=ORIENTED_EDGE('',*,*,#20314,.T.); #27221=ORIENTED_EDGE('',*,*,#20316,.F.); #27222=ORIENTED_EDGE('',*,*,#20317,.F.); #27223=ORIENTED_EDGE('',*,*,#20318,.T.); #27224=ORIENTED_EDGE('',*,*,#20317,.T.); #27225=ORIENTED_EDGE('',*,*,#20319,.F.); #27226=ORIENTED_EDGE('',*,*,#20320,.F.); #27227=ORIENTED_EDGE('',*,*,#20321,.T.); #27228=ORIENTED_EDGE('',*,*,#20320,.T.); #27229=ORIENTED_EDGE('',*,*,#20322,.F.); #27230=ORIENTED_EDGE('',*,*,#20323,.F.); #27231=ORIENTED_EDGE('',*,*,#20324,.F.); #27232=ORIENTED_EDGE('',*,*,#20323,.T.); #27233=ORIENTED_EDGE('',*,*,#20325,.F.); #27234=ORIENTED_EDGE('',*,*,#20273,.F.); #27235=ORIENTED_EDGE('',*,*,#20325,.T.); #27236=ORIENTED_EDGE('',*,*,#20322,.T.); #27237=ORIENTED_EDGE('',*,*,#20319,.T.); #27238=ORIENTED_EDGE('',*,*,#20316,.T.); #27239=ORIENTED_EDGE('',*,*,#20313,.T.); #27240=ORIENTED_EDGE('',*,*,#20310,.T.); #27241=ORIENTED_EDGE('',*,*,#20307,.T.); #27242=ORIENTED_EDGE('',*,*,#20304,.T.); #27243=ORIENTED_EDGE('',*,*,#20301,.T.); #27244=ORIENTED_EDGE('',*,*,#20298,.T.); #27245=ORIENTED_EDGE('',*,*,#20295,.T.); #27246=ORIENTED_EDGE('',*,*,#20292,.T.); #27247=ORIENTED_EDGE('',*,*,#20289,.T.); #27248=ORIENTED_EDGE('',*,*,#20286,.T.); #27249=ORIENTED_EDGE('',*,*,#20283,.T.); #27250=ORIENTED_EDGE('',*,*,#20280,.T.); #27251=ORIENTED_EDGE('',*,*,#20277,.T.); #27252=ORIENTED_EDGE('',*,*,#20274,.T.); #27253=ORIENTED_EDGE('',*,*,#20271,.T.); #27254=ORIENTED_EDGE('',*,*,#20268,.T.); #27255=ORIENTED_EDGE('',*,*,#20265,.T.); #27256=ORIENTED_EDGE('',*,*,#20262,.T.); #27257=ORIENTED_EDGE('',*,*,#20259,.T.); #27258=ORIENTED_EDGE('',*,*,#20256,.T.); #27259=ORIENTED_EDGE('',*,*,#20253,.T.); #27260=ORIENTED_EDGE('',*,*,#20250,.T.); #27261=ORIENTED_EDGE('',*,*,#20247,.T.); #27262=ORIENTED_EDGE('',*,*,#20244,.T.); #27263=ORIENTED_EDGE('',*,*,#20241,.T.); #27264=ORIENTED_EDGE('',*,*,#20238,.T.); #27265=ORIENTED_EDGE('',*,*,#20235,.T.); #27266=ORIENTED_EDGE('',*,*,#20232,.T.); #27267=ORIENTED_EDGE('',*,*,#20229,.T.); #27268=ORIENTED_EDGE('',*,*,#20226,.T.); #27269=ORIENTED_EDGE('',*,*,#20223,.T.); #27270=ORIENTED_EDGE('',*,*,#20220,.T.); #27271=ORIENTED_EDGE('',*,*,#20217,.T.); #27272=ORIENTED_EDGE('',*,*,#20214,.T.); #27273=ORIENTED_EDGE('',*,*,#20211,.T.); #27274=ORIENTED_EDGE('',*,*,#20208,.T.); #27275=ORIENTED_EDGE('',*,*,#20205,.T.); #27276=ORIENTED_EDGE('',*,*,#20202,.T.); #27277=ORIENTED_EDGE('',*,*,#20199,.T.); #27278=ORIENTED_EDGE('',*,*,#20196,.T.); #27279=ORIENTED_EDGE('',*,*,#20193,.T.); #27280=ORIENTED_EDGE('',*,*,#20190,.T.); #27281=ORIENTED_EDGE('',*,*,#20187,.T.); #27282=ORIENTED_EDGE('',*,*,#20184,.T.); #27283=ORIENTED_EDGE('',*,*,#20181,.T.); #27284=ORIENTED_EDGE('',*,*,#20178,.T.); #27285=ORIENTED_EDGE('',*,*,#20175,.T.); #27286=ORIENTED_EDGE('',*,*,#20172,.T.); #27287=ORIENTED_EDGE('',*,*,#20169,.T.); #27288=ORIENTED_EDGE('',*,*,#20166,.T.); #27289=ORIENTED_EDGE('',*,*,#20163,.T.); #27290=ORIENTED_EDGE('',*,*,#20160,.T.); #27291=ORIENTED_EDGE('',*,*,#20157,.T.); #27292=ORIENTED_EDGE('',*,*,#20154,.T.); #27293=ORIENTED_EDGE('',*,*,#20151,.T.); #27294=ORIENTED_EDGE('',*,*,#20148,.T.); #27295=ORIENTED_EDGE('',*,*,#20145,.T.); #27296=ORIENTED_EDGE('',*,*,#20142,.T.); #27297=ORIENTED_EDGE('',*,*,#20139,.T.); #27298=ORIENTED_EDGE('',*,*,#20136,.T.); #27299=ORIENTED_EDGE('',*,*,#20133,.T.); #27300=ORIENTED_EDGE('',*,*,#20130,.T.); #27301=ORIENTED_EDGE('',*,*,#20127,.T.); #27302=ORIENTED_EDGE('',*,*,#20124,.T.); #27303=ORIENTED_EDGE('',*,*,#20121,.T.); #27304=ORIENTED_EDGE('',*,*,#20118,.T.); #27305=ORIENTED_EDGE('',*,*,#20115,.T.); #27306=ORIENTED_EDGE('',*,*,#20112,.T.); #27307=ORIENTED_EDGE('',*,*,#20109,.T.); #27308=ORIENTED_EDGE('',*,*,#20106,.T.); #27309=ORIENTED_EDGE('',*,*,#20103,.T.); #27310=ORIENTED_EDGE('',*,*,#20100,.T.); #27311=ORIENTED_EDGE('',*,*,#20097,.T.); #27312=ORIENTED_EDGE('',*,*,#20094,.T.); #27313=ORIENTED_EDGE('',*,*,#20091,.T.); #27314=ORIENTED_EDGE('',*,*,#20088,.T.); #27315=ORIENTED_EDGE('',*,*,#20085,.T.); #27316=ORIENTED_EDGE('',*,*,#20082,.T.); #27317=ORIENTED_EDGE('',*,*,#20079,.T.); #27318=ORIENTED_EDGE('',*,*,#20076,.T.); #27319=ORIENTED_EDGE('',*,*,#20073,.T.); #27320=ORIENTED_EDGE('',*,*,#20070,.T.); #27321=ORIENTED_EDGE('',*,*,#20067,.T.); #27322=ORIENTED_EDGE('',*,*,#20064,.T.); #27323=ORIENTED_EDGE('',*,*,#20061,.T.); #27324=ORIENTED_EDGE('',*,*,#20058,.T.); #27325=ORIENTED_EDGE('',*,*,#20055,.T.); #27326=ORIENTED_EDGE('',*,*,#20052,.T.); #27327=ORIENTED_EDGE('',*,*,#20049,.T.); #27328=ORIENTED_EDGE('',*,*,#20046,.T.); #27329=ORIENTED_EDGE('',*,*,#20043,.T.); #27330=ORIENTED_EDGE('',*,*,#20040,.T.); #27331=ORIENTED_EDGE('',*,*,#20037,.T.); #27332=ORIENTED_EDGE('',*,*,#20034,.T.); #27333=ORIENTED_EDGE('',*,*,#20031,.T.); #27334=ORIENTED_EDGE('',*,*,#20028,.T.); #27335=ORIENTED_EDGE('',*,*,#20025,.T.); #27336=ORIENTED_EDGE('',*,*,#20022,.T.); #27337=ORIENTED_EDGE('',*,*,#20019,.T.); #27338=ORIENTED_EDGE('',*,*,#20016,.T.); #27339=ORIENTED_EDGE('',*,*,#20013,.T.); #27340=ORIENTED_EDGE('',*,*,#20010,.T.); #27341=ORIENTED_EDGE('',*,*,#20007,.T.); #27342=ORIENTED_EDGE('',*,*,#20004,.T.); #27343=ORIENTED_EDGE('',*,*,#20001,.T.); #27344=ORIENTED_EDGE('',*,*,#19998,.T.); #27345=ORIENTED_EDGE('',*,*,#19995,.T.); #27346=ORIENTED_EDGE('',*,*,#19992,.T.); #27347=ORIENTED_EDGE('',*,*,#19989,.T.); #27348=ORIENTED_EDGE('',*,*,#19986,.T.); #27349=ORIENTED_EDGE('',*,*,#19983,.T.); #27350=ORIENTED_EDGE('',*,*,#19980,.T.); #27351=ORIENTED_EDGE('',*,*,#19977,.T.); #27352=ORIENTED_EDGE('',*,*,#19974,.T.); #27353=ORIENTED_EDGE('',*,*,#19971,.T.); #27354=ORIENTED_EDGE('',*,*,#19968,.T.); #27355=ORIENTED_EDGE('',*,*,#19965,.T.); #27356=ORIENTED_EDGE('',*,*,#19962,.T.); #27357=ORIENTED_EDGE('',*,*,#19959,.T.); #27358=ORIENTED_EDGE('',*,*,#19956,.T.); #27359=ORIENTED_EDGE('',*,*,#19953,.T.); #27360=ORIENTED_EDGE('',*,*,#19950,.T.); #27361=ORIENTED_EDGE('',*,*,#19947,.T.); #27362=ORIENTED_EDGE('',*,*,#19944,.T.); #27363=ORIENTED_EDGE('',*,*,#19941,.T.); #27364=ORIENTED_EDGE('',*,*,#19938,.T.); #27365=ORIENTED_EDGE('',*,*,#19935,.T.); #27366=ORIENTED_EDGE('',*,*,#19932,.T.); #27367=ORIENTED_EDGE('',*,*,#19929,.T.); #27368=ORIENTED_EDGE('',*,*,#19926,.T.); #27369=ORIENTED_EDGE('',*,*,#19923,.T.); #27370=ORIENTED_EDGE('',*,*,#19920,.T.); #27371=ORIENTED_EDGE('',*,*,#19917,.T.); #27372=ORIENTED_EDGE('',*,*,#19914,.T.); #27373=ORIENTED_EDGE('',*,*,#19911,.T.); #27374=ORIENTED_EDGE('',*,*,#19908,.T.); #27375=ORIENTED_EDGE('',*,*,#19905,.T.); #27376=ORIENTED_EDGE('',*,*,#19902,.T.); #27377=ORIENTED_EDGE('',*,*,#19899,.T.); #27378=ORIENTED_EDGE('',*,*,#19896,.T.); #27379=ORIENTED_EDGE('',*,*,#19893,.T.); #27380=ORIENTED_EDGE('',*,*,#19890,.T.); #27381=ORIENTED_EDGE('',*,*,#19887,.T.); #27382=ORIENTED_EDGE('',*,*,#19884,.T.); #27383=ORIENTED_EDGE('',*,*,#19881,.T.); #27384=ORIENTED_EDGE('',*,*,#19878,.T.); #27385=ORIENTED_EDGE('',*,*,#19875,.T.); #27386=ORIENTED_EDGE('',*,*,#19872,.T.); #27387=ORIENTED_EDGE('',*,*,#19869,.T.); #27388=ORIENTED_EDGE('',*,*,#19866,.T.); #27389=ORIENTED_EDGE('',*,*,#19863,.T.); #27390=ORIENTED_EDGE('',*,*,#19860,.T.); #27391=ORIENTED_EDGE('',*,*,#19857,.T.); #27392=ORIENTED_EDGE('',*,*,#19854,.T.); #27393=ORIENTED_EDGE('',*,*,#19851,.T.); #27394=ORIENTED_EDGE('',*,*,#19848,.T.); #27395=ORIENTED_EDGE('',*,*,#19845,.T.); #27396=ORIENTED_EDGE('',*,*,#19842,.T.); #27397=ORIENTED_EDGE('',*,*,#20324,.T.); #27398=ORIENTED_EDGE('',*,*,#20272,.T.); #27399=ORIENTED_EDGE('',*,*,#20276,.F.); #27400=ORIENTED_EDGE('',*,*,#20279,.F.); #27401=ORIENTED_EDGE('',*,*,#20282,.F.); #27402=ORIENTED_EDGE('',*,*,#20285,.T.); #27403=ORIENTED_EDGE('',*,*,#20288,.F.); #27404=ORIENTED_EDGE('',*,*,#20291,.T.); #27405=ORIENTED_EDGE('',*,*,#20294,.F.); #27406=ORIENTED_EDGE('',*,*,#20297,.F.); #27407=ORIENTED_EDGE('',*,*,#20300,.F.); #27408=ORIENTED_EDGE('',*,*,#20303,.F.); #27409=ORIENTED_EDGE('',*,*,#20306,.T.); #27410=ORIENTED_EDGE('',*,*,#20309,.T.); #27411=ORIENTED_EDGE('',*,*,#20312,.F.); #27412=ORIENTED_EDGE('',*,*,#20315,.F.); #27413=ORIENTED_EDGE('',*,*,#20318,.F.); #27414=ORIENTED_EDGE('',*,*,#20321,.F.); #27415=ORIENTED_EDGE('',*,*,#20270,.F.); #27416=ORIENTED_EDGE('',*,*,#20248,.F.); #27417=ORIENTED_EDGE('',*,*,#20252,.F.); #27418=ORIENTED_EDGE('',*,*,#20255,.F.); #27419=ORIENTED_EDGE('',*,*,#20258,.F.); #27420=ORIENTED_EDGE('',*,*,#20261,.F.); #27421=ORIENTED_EDGE('',*,*,#20264,.F.); #27422=ORIENTED_EDGE('',*,*,#20267,.F.); #27423=ORIENTED_EDGE('',*,*,#20246,.F.); #27424=ORIENTED_EDGE('',*,*,#20224,.F.); #27425=ORIENTED_EDGE('',*,*,#20228,.F.); #27426=ORIENTED_EDGE('',*,*,#20231,.F.); #27427=ORIENTED_EDGE('',*,*,#20234,.F.); #27428=ORIENTED_EDGE('',*,*,#20237,.F.); #27429=ORIENTED_EDGE('',*,*,#20240,.F.); #27430=ORIENTED_EDGE('',*,*,#20243,.F.); #27431=ORIENTED_EDGE('',*,*,#20222,.F.); #27432=ORIENTED_EDGE('',*,*,#20200,.F.); #27433=ORIENTED_EDGE('',*,*,#20204,.F.); #27434=ORIENTED_EDGE('',*,*,#20207,.F.); #27435=ORIENTED_EDGE('',*,*,#20210,.F.); #27436=ORIENTED_EDGE('',*,*,#20213,.F.); #27437=ORIENTED_EDGE('',*,*,#20216,.F.); #27438=ORIENTED_EDGE('',*,*,#20219,.F.); #27439=ORIENTED_EDGE('',*,*,#20198,.F.); #27440=ORIENTED_EDGE('',*,*,#20176,.F.); #27441=ORIENTED_EDGE('',*,*,#20180,.F.); #27442=ORIENTED_EDGE('',*,*,#20183,.F.); #27443=ORIENTED_EDGE('',*,*,#20186,.F.); #27444=ORIENTED_EDGE('',*,*,#20189,.F.); #27445=ORIENTED_EDGE('',*,*,#20192,.F.); #27446=ORIENTED_EDGE('',*,*,#20195,.F.); #27447=ORIENTED_EDGE('',*,*,#20174,.F.); #27448=ORIENTED_EDGE('',*,*,#20152,.F.); #27449=ORIENTED_EDGE('',*,*,#20156,.F.); #27450=ORIENTED_EDGE('',*,*,#20159,.F.); #27451=ORIENTED_EDGE('',*,*,#20162,.F.); #27452=ORIENTED_EDGE('',*,*,#20165,.F.); #27453=ORIENTED_EDGE('',*,*,#20168,.F.); #27454=ORIENTED_EDGE('',*,*,#20171,.F.); #27455=ORIENTED_EDGE('',*,*,#20150,.F.); #27456=ORIENTED_EDGE('',*,*,#20128,.F.); #27457=ORIENTED_EDGE('',*,*,#20132,.F.); #27458=ORIENTED_EDGE('',*,*,#20135,.F.); #27459=ORIENTED_EDGE('',*,*,#20138,.F.); #27460=ORIENTED_EDGE('',*,*,#20141,.F.); #27461=ORIENTED_EDGE('',*,*,#20144,.F.); #27462=ORIENTED_EDGE('',*,*,#20147,.F.); #27463=ORIENTED_EDGE('',*,*,#20126,.F.); #27464=ORIENTED_EDGE('',*,*,#20104,.F.); #27465=ORIENTED_EDGE('',*,*,#20108,.F.); #27466=ORIENTED_EDGE('',*,*,#20111,.F.); #27467=ORIENTED_EDGE('',*,*,#20114,.F.); #27468=ORIENTED_EDGE('',*,*,#20117,.F.); #27469=ORIENTED_EDGE('',*,*,#20120,.F.); #27470=ORIENTED_EDGE('',*,*,#20123,.F.); #27471=ORIENTED_EDGE('',*,*,#20102,.F.); #27472=ORIENTED_EDGE('',*,*,#20080,.F.); #27473=ORIENTED_EDGE('',*,*,#20084,.F.); #27474=ORIENTED_EDGE('',*,*,#20087,.F.); #27475=ORIENTED_EDGE('',*,*,#20090,.F.); #27476=ORIENTED_EDGE('',*,*,#20093,.F.); #27477=ORIENTED_EDGE('',*,*,#20096,.F.); #27478=ORIENTED_EDGE('',*,*,#20099,.F.); #27479=ORIENTED_EDGE('',*,*,#20078,.F.); #27480=ORIENTED_EDGE('',*,*,#20056,.F.); #27481=ORIENTED_EDGE('',*,*,#20060,.F.); #27482=ORIENTED_EDGE('',*,*,#20063,.F.); #27483=ORIENTED_EDGE('',*,*,#20066,.F.); #27484=ORIENTED_EDGE('',*,*,#20069,.F.); #27485=ORIENTED_EDGE('',*,*,#20072,.F.); #27486=ORIENTED_EDGE('',*,*,#20075,.F.); #27487=ORIENTED_EDGE('',*,*,#20054,.F.); #27488=ORIENTED_EDGE('',*,*,#20032,.F.); #27489=ORIENTED_EDGE('',*,*,#20036,.F.); #27490=ORIENTED_EDGE('',*,*,#20039,.F.); #27491=ORIENTED_EDGE('',*,*,#20042,.F.); #27492=ORIENTED_EDGE('',*,*,#20045,.F.); #27493=ORIENTED_EDGE('',*,*,#20048,.F.); #27494=ORIENTED_EDGE('',*,*,#20051,.F.); #27495=ORIENTED_EDGE('',*,*,#20030,.F.); #27496=ORIENTED_EDGE('',*,*,#20008,.F.); #27497=ORIENTED_EDGE('',*,*,#20012,.F.); #27498=ORIENTED_EDGE('',*,*,#20015,.F.); #27499=ORIENTED_EDGE('',*,*,#20018,.F.); #27500=ORIENTED_EDGE('',*,*,#20021,.F.); #27501=ORIENTED_EDGE('',*,*,#20024,.F.); #27502=ORIENTED_EDGE('',*,*,#20027,.F.); #27503=ORIENTED_EDGE('',*,*,#20006,.F.); #27504=ORIENTED_EDGE('',*,*,#19984,.F.); #27505=ORIENTED_EDGE('',*,*,#19988,.F.); #27506=ORIENTED_EDGE('',*,*,#19991,.F.); #27507=ORIENTED_EDGE('',*,*,#19994,.F.); #27508=ORIENTED_EDGE('',*,*,#19997,.F.); #27509=ORIENTED_EDGE('',*,*,#20000,.F.); #27510=ORIENTED_EDGE('',*,*,#20003,.F.); #27511=ORIENTED_EDGE('',*,*,#19982,.F.); #27512=ORIENTED_EDGE('',*,*,#19960,.F.); #27513=ORIENTED_EDGE('',*,*,#19964,.F.); #27514=ORIENTED_EDGE('',*,*,#19967,.F.); #27515=ORIENTED_EDGE('',*,*,#19970,.F.); #27516=ORIENTED_EDGE('',*,*,#19973,.F.); #27517=ORIENTED_EDGE('',*,*,#19976,.F.); #27518=ORIENTED_EDGE('',*,*,#19979,.F.); #27519=ORIENTED_EDGE('',*,*,#19958,.F.); #27520=ORIENTED_EDGE('',*,*,#19936,.F.); #27521=ORIENTED_EDGE('',*,*,#19940,.F.); #27522=ORIENTED_EDGE('',*,*,#19943,.F.); #27523=ORIENTED_EDGE('',*,*,#19946,.F.); #27524=ORIENTED_EDGE('',*,*,#19949,.F.); #27525=ORIENTED_EDGE('',*,*,#19952,.F.); #27526=ORIENTED_EDGE('',*,*,#19955,.F.); #27527=ORIENTED_EDGE('',*,*,#19934,.F.); #27528=ORIENTED_EDGE('',*,*,#19912,.F.); #27529=ORIENTED_EDGE('',*,*,#19916,.F.); #27530=ORIENTED_EDGE('',*,*,#19919,.F.); #27531=ORIENTED_EDGE('',*,*,#19922,.F.); #27532=ORIENTED_EDGE('',*,*,#19925,.F.); #27533=ORIENTED_EDGE('',*,*,#19928,.F.); #27534=ORIENTED_EDGE('',*,*,#19931,.F.); #27535=ORIENTED_EDGE('',*,*,#19910,.F.); #27536=ORIENTED_EDGE('',*,*,#19888,.F.); #27537=ORIENTED_EDGE('',*,*,#19892,.F.); #27538=ORIENTED_EDGE('',*,*,#19895,.F.); #27539=ORIENTED_EDGE('',*,*,#19898,.F.); #27540=ORIENTED_EDGE('',*,*,#19901,.F.); #27541=ORIENTED_EDGE('',*,*,#19904,.F.); #27542=ORIENTED_EDGE('',*,*,#19907,.F.); #27543=ORIENTED_EDGE('',*,*,#19886,.F.); #27544=ORIENTED_EDGE('',*,*,#19864,.F.); #27545=ORIENTED_EDGE('',*,*,#19868,.F.); #27546=ORIENTED_EDGE('',*,*,#19871,.F.); #27547=ORIENTED_EDGE('',*,*,#19874,.F.); #27548=ORIENTED_EDGE('',*,*,#19877,.F.); #27549=ORIENTED_EDGE('',*,*,#19880,.F.); #27550=ORIENTED_EDGE('',*,*,#19883,.F.); #27551=ORIENTED_EDGE('',*,*,#19862,.F.); #27552=ORIENTED_EDGE('',*,*,#19840,.F.); #27553=ORIENTED_EDGE('',*,*,#19844,.F.); #27554=ORIENTED_EDGE('',*,*,#19847,.F.); #27555=ORIENTED_EDGE('',*,*,#19850,.F.); #27556=ORIENTED_EDGE('',*,*,#19853,.F.); #27557=ORIENTED_EDGE('',*,*,#19856,.F.); #27558=ORIENTED_EDGE('',*,*,#19859,.F.); #27559=ORIENTED_EDGE('',*,*,#20326,.F.); #27560=ORIENTED_EDGE('',*,*,#20327,.T.); #27561=ORIENTED_EDGE('',*,*,#20328,.T.); #27562=ORIENTED_EDGE('',*,*,#20329,.F.); #27563=ORIENTED_EDGE('',*,*,#20330,.F.); #27564=ORIENTED_EDGE('',*,*,#20331,.T.); #27565=ORIENTED_EDGE('',*,*,#20332,.T.); #27566=ORIENTED_EDGE('',*,*,#20327,.F.); #27567=ORIENTED_EDGE('',*,*,#20333,.F.); #27568=ORIENTED_EDGE('',*,*,#20334,.T.); #27569=ORIENTED_EDGE('',*,*,#20335,.T.); #27570=ORIENTED_EDGE('',*,*,#20331,.F.); #27571=ORIENTED_EDGE('',*,*,#20336,.F.); #27572=ORIENTED_EDGE('',*,*,#20337,.T.); #27573=ORIENTED_EDGE('',*,*,#20338,.T.); #27574=ORIENTED_EDGE('',*,*,#20334,.F.); #27575=ORIENTED_EDGE('',*,*,#20339,.F.); #27576=ORIENTED_EDGE('',*,*,#20340,.T.); #27577=ORIENTED_EDGE('',*,*,#20341,.T.); #27578=ORIENTED_EDGE('',*,*,#20337,.F.); #27579=ORIENTED_EDGE('',*,*,#20342,.F.); #27580=ORIENTED_EDGE('',*,*,#20343,.T.); #27581=ORIENTED_EDGE('',*,*,#20344,.T.); #27582=ORIENTED_EDGE('',*,*,#20340,.F.); #27583=ORIENTED_EDGE('',*,*,#20345,.F.); #27584=ORIENTED_EDGE('',*,*,#20346,.T.); #27585=ORIENTED_EDGE('',*,*,#20347,.T.); #27586=ORIENTED_EDGE('',*,*,#20343,.F.); #27587=ORIENTED_EDGE('',*,*,#20348,.F.); #27588=ORIENTED_EDGE('',*,*,#20329,.T.); #27589=ORIENTED_EDGE('',*,*,#20349,.T.); #27590=ORIENTED_EDGE('',*,*,#20346,.F.); #27591=ORIENTED_EDGE('',*,*,#20350,.F.); #27592=ORIENTED_EDGE('',*,*,#20351,.T.); #27593=ORIENTED_EDGE('',*,*,#20352,.T.); #27594=ORIENTED_EDGE('',*,*,#20353,.F.); #27595=ORIENTED_EDGE('',*,*,#20354,.F.); #27596=ORIENTED_EDGE('',*,*,#20355,.T.); #27597=ORIENTED_EDGE('',*,*,#20356,.T.); #27598=ORIENTED_EDGE('',*,*,#20351,.F.); #27599=ORIENTED_EDGE('',*,*,#20357,.F.); #27600=ORIENTED_EDGE('',*,*,#20358,.T.); #27601=ORIENTED_EDGE('',*,*,#20359,.T.); #27602=ORIENTED_EDGE('',*,*,#20355,.F.); #27603=ORIENTED_EDGE('',*,*,#20360,.F.); #27604=ORIENTED_EDGE('',*,*,#20361,.T.); #27605=ORIENTED_EDGE('',*,*,#20362,.T.); #27606=ORIENTED_EDGE('',*,*,#20358,.F.); #27607=ORIENTED_EDGE('',*,*,#20363,.F.); #27608=ORIENTED_EDGE('',*,*,#20364,.T.); #27609=ORIENTED_EDGE('',*,*,#20365,.T.); #27610=ORIENTED_EDGE('',*,*,#20361,.F.); #27611=ORIENTED_EDGE('',*,*,#20366,.F.); #27612=ORIENTED_EDGE('',*,*,#20367,.T.); #27613=ORIENTED_EDGE('',*,*,#20368,.T.); #27614=ORIENTED_EDGE('',*,*,#20364,.F.); #27615=ORIENTED_EDGE('',*,*,#20369,.F.); #27616=ORIENTED_EDGE('',*,*,#20370,.T.); #27617=ORIENTED_EDGE('',*,*,#20371,.T.); #27618=ORIENTED_EDGE('',*,*,#20367,.F.); #27619=ORIENTED_EDGE('',*,*,#20372,.F.); #27620=ORIENTED_EDGE('',*,*,#20353,.T.); #27621=ORIENTED_EDGE('',*,*,#20373,.T.); #27622=ORIENTED_EDGE('',*,*,#20370,.F.); #27623=ORIENTED_EDGE('',*,*,#20374,.F.); #27624=ORIENTED_EDGE('',*,*,#20375,.T.); #27625=ORIENTED_EDGE('',*,*,#20376,.T.); #27626=ORIENTED_EDGE('',*,*,#20377,.F.); #27627=ORIENTED_EDGE('',*,*,#20378,.F.); #27628=ORIENTED_EDGE('',*,*,#20379,.T.); #27629=ORIENTED_EDGE('',*,*,#20380,.T.); #27630=ORIENTED_EDGE('',*,*,#20375,.F.); #27631=ORIENTED_EDGE('',*,*,#20381,.F.); #27632=ORIENTED_EDGE('',*,*,#20382,.T.); #27633=ORIENTED_EDGE('',*,*,#20383,.T.); #27634=ORIENTED_EDGE('',*,*,#20379,.F.); #27635=ORIENTED_EDGE('',*,*,#20384,.F.); #27636=ORIENTED_EDGE('',*,*,#20385,.T.); #27637=ORIENTED_EDGE('',*,*,#20386,.T.); #27638=ORIENTED_EDGE('',*,*,#20382,.F.); #27639=ORIENTED_EDGE('',*,*,#20387,.F.); #27640=ORIENTED_EDGE('',*,*,#20388,.T.); #27641=ORIENTED_EDGE('',*,*,#20389,.T.); #27642=ORIENTED_EDGE('',*,*,#20385,.F.); #27643=ORIENTED_EDGE('',*,*,#20390,.F.); #27644=ORIENTED_EDGE('',*,*,#20391,.T.); #27645=ORIENTED_EDGE('',*,*,#20392,.T.); #27646=ORIENTED_EDGE('',*,*,#20388,.F.); #27647=ORIENTED_EDGE('',*,*,#20393,.F.); #27648=ORIENTED_EDGE('',*,*,#20394,.T.); #27649=ORIENTED_EDGE('',*,*,#20395,.T.); #27650=ORIENTED_EDGE('',*,*,#20391,.F.); #27651=ORIENTED_EDGE('',*,*,#20396,.F.); #27652=ORIENTED_EDGE('',*,*,#20377,.T.); #27653=ORIENTED_EDGE('',*,*,#20397,.T.); #27654=ORIENTED_EDGE('',*,*,#20394,.F.); #27655=ORIENTED_EDGE('',*,*,#20398,.F.); #27656=ORIENTED_EDGE('',*,*,#20399,.T.); #27657=ORIENTED_EDGE('',*,*,#20400,.T.); #27658=ORIENTED_EDGE('',*,*,#20401,.F.); #27659=ORIENTED_EDGE('',*,*,#20402,.F.); #27660=ORIENTED_EDGE('',*,*,#20403,.T.); #27661=ORIENTED_EDGE('',*,*,#20404,.T.); #27662=ORIENTED_EDGE('',*,*,#20399,.F.); #27663=ORIENTED_EDGE('',*,*,#20405,.F.); #27664=ORIENTED_EDGE('',*,*,#20406,.T.); #27665=ORIENTED_EDGE('',*,*,#20407,.T.); #27666=ORIENTED_EDGE('',*,*,#20403,.F.); #27667=ORIENTED_EDGE('',*,*,#20408,.F.); #27668=ORIENTED_EDGE('',*,*,#20409,.T.); #27669=ORIENTED_EDGE('',*,*,#20410,.T.); #27670=ORIENTED_EDGE('',*,*,#20406,.F.); #27671=ORIENTED_EDGE('',*,*,#20411,.F.); #27672=ORIENTED_EDGE('',*,*,#20412,.T.); #27673=ORIENTED_EDGE('',*,*,#20413,.T.); #27674=ORIENTED_EDGE('',*,*,#20409,.F.); #27675=ORIENTED_EDGE('',*,*,#20414,.F.); #27676=ORIENTED_EDGE('',*,*,#20415,.T.); #27677=ORIENTED_EDGE('',*,*,#20416,.T.); #27678=ORIENTED_EDGE('',*,*,#20412,.F.); #27679=ORIENTED_EDGE('',*,*,#20417,.F.); #27680=ORIENTED_EDGE('',*,*,#20418,.T.); #27681=ORIENTED_EDGE('',*,*,#20419,.T.); #27682=ORIENTED_EDGE('',*,*,#20415,.F.); #27683=ORIENTED_EDGE('',*,*,#20420,.F.); #27684=ORIENTED_EDGE('',*,*,#20401,.T.); #27685=ORIENTED_EDGE('',*,*,#20421,.T.); #27686=ORIENTED_EDGE('',*,*,#20418,.F.); #27687=ORIENTED_EDGE('',*,*,#20422,.F.); #27688=ORIENTED_EDGE('',*,*,#20423,.T.); #27689=ORIENTED_EDGE('',*,*,#20424,.T.); #27690=ORIENTED_EDGE('',*,*,#20425,.F.); #27691=ORIENTED_EDGE('',*,*,#20426,.F.); #27692=ORIENTED_EDGE('',*,*,#20427,.T.); #27693=ORIENTED_EDGE('',*,*,#20428,.T.); #27694=ORIENTED_EDGE('',*,*,#20423,.F.); #27695=ORIENTED_EDGE('',*,*,#20429,.F.); #27696=ORIENTED_EDGE('',*,*,#20430,.T.); #27697=ORIENTED_EDGE('',*,*,#20431,.T.); #27698=ORIENTED_EDGE('',*,*,#20427,.F.); #27699=ORIENTED_EDGE('',*,*,#20432,.F.); #27700=ORIENTED_EDGE('',*,*,#20433,.T.); #27701=ORIENTED_EDGE('',*,*,#20434,.T.); #27702=ORIENTED_EDGE('',*,*,#20430,.F.); #27703=ORIENTED_EDGE('',*,*,#20435,.F.); #27704=ORIENTED_EDGE('',*,*,#20436,.T.); #27705=ORIENTED_EDGE('',*,*,#20437,.T.); #27706=ORIENTED_EDGE('',*,*,#20433,.F.); #27707=ORIENTED_EDGE('',*,*,#20438,.F.); #27708=ORIENTED_EDGE('',*,*,#20439,.T.); #27709=ORIENTED_EDGE('',*,*,#20440,.T.); #27710=ORIENTED_EDGE('',*,*,#20436,.F.); #27711=ORIENTED_EDGE('',*,*,#20441,.F.); #27712=ORIENTED_EDGE('',*,*,#20442,.T.); #27713=ORIENTED_EDGE('',*,*,#20443,.T.); #27714=ORIENTED_EDGE('',*,*,#20439,.F.); #27715=ORIENTED_EDGE('',*,*,#20444,.F.); #27716=ORIENTED_EDGE('',*,*,#20425,.T.); #27717=ORIENTED_EDGE('',*,*,#20445,.T.); #27718=ORIENTED_EDGE('',*,*,#20442,.F.); #27719=ORIENTED_EDGE('',*,*,#20446,.F.); #27720=ORIENTED_EDGE('',*,*,#20447,.T.); #27721=ORIENTED_EDGE('',*,*,#20448,.T.); #27722=ORIENTED_EDGE('',*,*,#20449,.F.); #27723=ORIENTED_EDGE('',*,*,#20450,.F.); #27724=ORIENTED_EDGE('',*,*,#20451,.T.); #27725=ORIENTED_EDGE('',*,*,#20452,.T.); #27726=ORIENTED_EDGE('',*,*,#20447,.F.); #27727=ORIENTED_EDGE('',*,*,#20453,.F.); #27728=ORIENTED_EDGE('',*,*,#20454,.T.); #27729=ORIENTED_EDGE('',*,*,#20455,.T.); #27730=ORIENTED_EDGE('',*,*,#20451,.F.); #27731=ORIENTED_EDGE('',*,*,#20456,.F.); #27732=ORIENTED_EDGE('',*,*,#20457,.T.); #27733=ORIENTED_EDGE('',*,*,#20458,.T.); #27734=ORIENTED_EDGE('',*,*,#20454,.F.); #27735=ORIENTED_EDGE('',*,*,#20459,.F.); #27736=ORIENTED_EDGE('',*,*,#20460,.T.); #27737=ORIENTED_EDGE('',*,*,#20461,.T.); #27738=ORIENTED_EDGE('',*,*,#20457,.F.); #27739=ORIENTED_EDGE('',*,*,#20462,.F.); #27740=ORIENTED_EDGE('',*,*,#20463,.T.); #27741=ORIENTED_EDGE('',*,*,#20464,.T.); #27742=ORIENTED_EDGE('',*,*,#20460,.F.); #27743=ORIENTED_EDGE('',*,*,#20465,.F.); #27744=ORIENTED_EDGE('',*,*,#20466,.T.); #27745=ORIENTED_EDGE('',*,*,#20467,.T.); #27746=ORIENTED_EDGE('',*,*,#20463,.F.); #27747=ORIENTED_EDGE('',*,*,#20468,.F.); #27748=ORIENTED_EDGE('',*,*,#20449,.T.); #27749=ORIENTED_EDGE('',*,*,#20469,.T.); #27750=ORIENTED_EDGE('',*,*,#20466,.F.); #27751=ORIENTED_EDGE('',*,*,#20470,.F.); #27752=ORIENTED_EDGE('',*,*,#20471,.T.); #27753=ORIENTED_EDGE('',*,*,#20472,.T.); #27754=ORIENTED_EDGE('',*,*,#20473,.F.); #27755=ORIENTED_EDGE('',*,*,#20474,.F.); #27756=ORIENTED_EDGE('',*,*,#20475,.T.); #27757=ORIENTED_EDGE('',*,*,#20476,.T.); #27758=ORIENTED_EDGE('',*,*,#20471,.F.); #27759=ORIENTED_EDGE('',*,*,#20477,.F.); #27760=ORIENTED_EDGE('',*,*,#20478,.T.); #27761=ORIENTED_EDGE('',*,*,#20479,.T.); #27762=ORIENTED_EDGE('',*,*,#20475,.F.); #27763=ORIENTED_EDGE('',*,*,#20480,.F.); #27764=ORIENTED_EDGE('',*,*,#20481,.T.); #27765=ORIENTED_EDGE('',*,*,#20482,.T.); #27766=ORIENTED_EDGE('',*,*,#20478,.F.); #27767=ORIENTED_EDGE('',*,*,#20483,.F.); #27768=ORIENTED_EDGE('',*,*,#20484,.T.); #27769=ORIENTED_EDGE('',*,*,#20485,.T.); #27770=ORIENTED_EDGE('',*,*,#20481,.F.); #27771=ORIENTED_EDGE('',*,*,#20486,.F.); #27772=ORIENTED_EDGE('',*,*,#20487,.T.); #27773=ORIENTED_EDGE('',*,*,#20488,.T.); #27774=ORIENTED_EDGE('',*,*,#20484,.F.); #27775=ORIENTED_EDGE('',*,*,#20489,.F.); #27776=ORIENTED_EDGE('',*,*,#20490,.T.); #27777=ORIENTED_EDGE('',*,*,#20491,.T.); #27778=ORIENTED_EDGE('',*,*,#20487,.F.); #27779=ORIENTED_EDGE('',*,*,#20492,.F.); #27780=ORIENTED_EDGE('',*,*,#20473,.T.); #27781=ORIENTED_EDGE('',*,*,#20493,.T.); #27782=ORIENTED_EDGE('',*,*,#20490,.F.); #27783=ORIENTED_EDGE('',*,*,#20494,.F.); #27784=ORIENTED_EDGE('',*,*,#20495,.T.); #27785=ORIENTED_EDGE('',*,*,#20496,.T.); #27786=ORIENTED_EDGE('',*,*,#20497,.F.); #27787=ORIENTED_EDGE('',*,*,#20498,.F.); #27788=ORIENTED_EDGE('',*,*,#20499,.T.); #27789=ORIENTED_EDGE('',*,*,#20500,.T.); #27790=ORIENTED_EDGE('',*,*,#20495,.F.); #27791=ORIENTED_EDGE('',*,*,#20501,.F.); #27792=ORIENTED_EDGE('',*,*,#20502,.T.); #27793=ORIENTED_EDGE('',*,*,#20503,.T.); #27794=ORIENTED_EDGE('',*,*,#20499,.F.); #27795=ORIENTED_EDGE('',*,*,#20504,.F.); #27796=ORIENTED_EDGE('',*,*,#20505,.T.); #27797=ORIENTED_EDGE('',*,*,#20506,.T.); #27798=ORIENTED_EDGE('',*,*,#20502,.F.); #27799=ORIENTED_EDGE('',*,*,#20507,.F.); #27800=ORIENTED_EDGE('',*,*,#20508,.T.); #27801=ORIENTED_EDGE('',*,*,#20509,.T.); #27802=ORIENTED_EDGE('',*,*,#20505,.F.); #27803=ORIENTED_EDGE('',*,*,#20510,.F.); #27804=ORIENTED_EDGE('',*,*,#20511,.T.); #27805=ORIENTED_EDGE('',*,*,#20512,.T.); #27806=ORIENTED_EDGE('',*,*,#20508,.F.); #27807=ORIENTED_EDGE('',*,*,#20513,.F.); #27808=ORIENTED_EDGE('',*,*,#20514,.T.); #27809=ORIENTED_EDGE('',*,*,#20515,.T.); #27810=ORIENTED_EDGE('',*,*,#20511,.F.); #27811=ORIENTED_EDGE('',*,*,#20516,.F.); #27812=ORIENTED_EDGE('',*,*,#20497,.T.); #27813=ORIENTED_EDGE('',*,*,#20517,.T.); #27814=ORIENTED_EDGE('',*,*,#20514,.F.); #27815=ORIENTED_EDGE('',*,*,#20518,.F.); #27816=ORIENTED_EDGE('',*,*,#20519,.T.); #27817=ORIENTED_EDGE('',*,*,#20520,.T.); #27818=ORIENTED_EDGE('',*,*,#20521,.F.); #27819=ORIENTED_EDGE('',*,*,#20522,.F.); #27820=ORIENTED_EDGE('',*,*,#20523,.T.); #27821=ORIENTED_EDGE('',*,*,#20524,.T.); #27822=ORIENTED_EDGE('',*,*,#20519,.F.); #27823=ORIENTED_EDGE('',*,*,#20525,.F.); #27824=ORIENTED_EDGE('',*,*,#20526,.T.); #27825=ORIENTED_EDGE('',*,*,#20527,.T.); #27826=ORIENTED_EDGE('',*,*,#20523,.F.); #27827=ORIENTED_EDGE('',*,*,#20528,.F.); #27828=ORIENTED_EDGE('',*,*,#20529,.T.); #27829=ORIENTED_EDGE('',*,*,#20530,.T.); #27830=ORIENTED_EDGE('',*,*,#20526,.F.); #27831=ORIENTED_EDGE('',*,*,#20531,.F.); #27832=ORIENTED_EDGE('',*,*,#20532,.T.); #27833=ORIENTED_EDGE('',*,*,#20533,.T.); #27834=ORIENTED_EDGE('',*,*,#20529,.F.); #27835=ORIENTED_EDGE('',*,*,#20534,.F.); #27836=ORIENTED_EDGE('',*,*,#20535,.T.); #27837=ORIENTED_EDGE('',*,*,#20536,.T.); #27838=ORIENTED_EDGE('',*,*,#20532,.F.); #27839=ORIENTED_EDGE('',*,*,#20537,.F.); #27840=ORIENTED_EDGE('',*,*,#20538,.T.); #27841=ORIENTED_EDGE('',*,*,#20539,.T.); #27842=ORIENTED_EDGE('',*,*,#20535,.F.); #27843=ORIENTED_EDGE('',*,*,#20540,.F.); #27844=ORIENTED_EDGE('',*,*,#20521,.T.); #27845=ORIENTED_EDGE('',*,*,#20541,.T.); #27846=ORIENTED_EDGE('',*,*,#20538,.F.); #27847=ORIENTED_EDGE('',*,*,#20542,.F.); #27848=ORIENTED_EDGE('',*,*,#20543,.T.); #27849=ORIENTED_EDGE('',*,*,#20544,.T.); #27850=ORIENTED_EDGE('',*,*,#20545,.F.); #27851=ORIENTED_EDGE('',*,*,#20546,.F.); #27852=ORIENTED_EDGE('',*,*,#20547,.T.); #27853=ORIENTED_EDGE('',*,*,#20548,.T.); #27854=ORIENTED_EDGE('',*,*,#20543,.F.); #27855=ORIENTED_EDGE('',*,*,#20549,.F.); #27856=ORIENTED_EDGE('',*,*,#20550,.T.); #27857=ORIENTED_EDGE('',*,*,#20551,.T.); #27858=ORIENTED_EDGE('',*,*,#20547,.F.); #27859=ORIENTED_EDGE('',*,*,#20552,.F.); #27860=ORIENTED_EDGE('',*,*,#20553,.T.); #27861=ORIENTED_EDGE('',*,*,#20554,.T.); #27862=ORIENTED_EDGE('',*,*,#20550,.F.); #27863=ORIENTED_EDGE('',*,*,#20555,.F.); #27864=ORIENTED_EDGE('',*,*,#20556,.T.); #27865=ORIENTED_EDGE('',*,*,#20557,.T.); #27866=ORIENTED_EDGE('',*,*,#20553,.F.); #27867=ORIENTED_EDGE('',*,*,#20558,.F.); #27868=ORIENTED_EDGE('',*,*,#20559,.T.); #27869=ORIENTED_EDGE('',*,*,#20560,.T.); #27870=ORIENTED_EDGE('',*,*,#20556,.F.); #27871=ORIENTED_EDGE('',*,*,#20561,.F.); #27872=ORIENTED_EDGE('',*,*,#20562,.T.); #27873=ORIENTED_EDGE('',*,*,#20563,.T.); #27874=ORIENTED_EDGE('',*,*,#20559,.F.); #27875=ORIENTED_EDGE('',*,*,#20564,.F.); #27876=ORIENTED_EDGE('',*,*,#20545,.T.); #27877=ORIENTED_EDGE('',*,*,#20565,.T.); #27878=ORIENTED_EDGE('',*,*,#20562,.F.); #27879=ORIENTED_EDGE('',*,*,#20566,.F.); #27880=ORIENTED_EDGE('',*,*,#20567,.T.); #27881=ORIENTED_EDGE('',*,*,#20568,.T.); #27882=ORIENTED_EDGE('',*,*,#20569,.F.); #27883=ORIENTED_EDGE('',*,*,#20570,.F.); #27884=ORIENTED_EDGE('',*,*,#20571,.T.); #27885=ORIENTED_EDGE('',*,*,#20572,.T.); #27886=ORIENTED_EDGE('',*,*,#20567,.F.); #27887=ORIENTED_EDGE('',*,*,#20573,.F.); #27888=ORIENTED_EDGE('',*,*,#20574,.T.); #27889=ORIENTED_EDGE('',*,*,#20575,.T.); #27890=ORIENTED_EDGE('',*,*,#20571,.F.); #27891=ORIENTED_EDGE('',*,*,#20576,.F.); #27892=ORIENTED_EDGE('',*,*,#20577,.T.); #27893=ORIENTED_EDGE('',*,*,#20578,.T.); #27894=ORIENTED_EDGE('',*,*,#20574,.F.); #27895=ORIENTED_EDGE('',*,*,#20579,.F.); #27896=ORIENTED_EDGE('',*,*,#20580,.T.); #27897=ORIENTED_EDGE('',*,*,#20581,.T.); #27898=ORIENTED_EDGE('',*,*,#20577,.F.); #27899=ORIENTED_EDGE('',*,*,#20582,.F.); #27900=ORIENTED_EDGE('',*,*,#20583,.T.); #27901=ORIENTED_EDGE('',*,*,#20584,.T.); #27902=ORIENTED_EDGE('',*,*,#20580,.F.); #27903=ORIENTED_EDGE('',*,*,#20585,.F.); #27904=ORIENTED_EDGE('',*,*,#20586,.T.); #27905=ORIENTED_EDGE('',*,*,#20587,.T.); #27906=ORIENTED_EDGE('',*,*,#20583,.F.); #27907=ORIENTED_EDGE('',*,*,#20588,.F.); #27908=ORIENTED_EDGE('',*,*,#20569,.T.); #27909=ORIENTED_EDGE('',*,*,#20589,.T.); #27910=ORIENTED_EDGE('',*,*,#20586,.F.); #27911=ORIENTED_EDGE('',*,*,#20590,.F.); #27912=ORIENTED_EDGE('',*,*,#20591,.T.); #27913=ORIENTED_EDGE('',*,*,#20592,.T.); #27914=ORIENTED_EDGE('',*,*,#20593,.F.); #27915=ORIENTED_EDGE('',*,*,#20594,.F.); #27916=ORIENTED_EDGE('',*,*,#20595,.T.); #27917=ORIENTED_EDGE('',*,*,#20596,.T.); #27918=ORIENTED_EDGE('',*,*,#20591,.F.); #27919=ORIENTED_EDGE('',*,*,#20597,.F.); #27920=ORIENTED_EDGE('',*,*,#20598,.T.); #27921=ORIENTED_EDGE('',*,*,#20599,.T.); #27922=ORIENTED_EDGE('',*,*,#20595,.F.); #27923=ORIENTED_EDGE('',*,*,#20600,.F.); #27924=ORIENTED_EDGE('',*,*,#20601,.T.); #27925=ORIENTED_EDGE('',*,*,#20602,.T.); #27926=ORIENTED_EDGE('',*,*,#20598,.F.); #27927=ORIENTED_EDGE('',*,*,#20603,.F.); #27928=ORIENTED_EDGE('',*,*,#20604,.T.); #27929=ORIENTED_EDGE('',*,*,#20605,.T.); #27930=ORIENTED_EDGE('',*,*,#20601,.F.); #27931=ORIENTED_EDGE('',*,*,#20606,.F.); #27932=ORIENTED_EDGE('',*,*,#20607,.T.); #27933=ORIENTED_EDGE('',*,*,#20608,.T.); #27934=ORIENTED_EDGE('',*,*,#20604,.F.); #27935=ORIENTED_EDGE('',*,*,#20609,.F.); #27936=ORIENTED_EDGE('',*,*,#20610,.T.); #27937=ORIENTED_EDGE('',*,*,#20611,.T.); #27938=ORIENTED_EDGE('',*,*,#20607,.F.); #27939=ORIENTED_EDGE('',*,*,#20612,.F.); #27940=ORIENTED_EDGE('',*,*,#20593,.T.); #27941=ORIENTED_EDGE('',*,*,#20613,.T.); #27942=ORIENTED_EDGE('',*,*,#20610,.F.); #27943=ORIENTED_EDGE('',*,*,#20614,.F.); #27944=ORIENTED_EDGE('',*,*,#20615,.T.); #27945=ORIENTED_EDGE('',*,*,#20616,.T.); #27946=ORIENTED_EDGE('',*,*,#20617,.F.); #27947=ORIENTED_EDGE('',*,*,#20618,.F.); #27948=ORIENTED_EDGE('',*,*,#20619,.T.); #27949=ORIENTED_EDGE('',*,*,#20620,.T.); #27950=ORIENTED_EDGE('',*,*,#20615,.F.); #27951=ORIENTED_EDGE('',*,*,#20621,.F.); #27952=ORIENTED_EDGE('',*,*,#20622,.T.); #27953=ORIENTED_EDGE('',*,*,#20623,.T.); #27954=ORIENTED_EDGE('',*,*,#20619,.F.); #27955=ORIENTED_EDGE('',*,*,#20624,.F.); #27956=ORIENTED_EDGE('',*,*,#20625,.T.); #27957=ORIENTED_EDGE('',*,*,#20626,.T.); #27958=ORIENTED_EDGE('',*,*,#20622,.F.); #27959=ORIENTED_EDGE('',*,*,#20627,.F.); #27960=ORIENTED_EDGE('',*,*,#20628,.T.); #27961=ORIENTED_EDGE('',*,*,#20629,.T.); #27962=ORIENTED_EDGE('',*,*,#20625,.F.); #27963=ORIENTED_EDGE('',*,*,#20630,.F.); #27964=ORIENTED_EDGE('',*,*,#20631,.T.); #27965=ORIENTED_EDGE('',*,*,#20632,.T.); #27966=ORIENTED_EDGE('',*,*,#20628,.F.); #27967=ORIENTED_EDGE('',*,*,#20633,.F.); #27968=ORIENTED_EDGE('',*,*,#20634,.T.); #27969=ORIENTED_EDGE('',*,*,#20635,.T.); #27970=ORIENTED_EDGE('',*,*,#20631,.F.); #27971=ORIENTED_EDGE('',*,*,#20636,.F.); #27972=ORIENTED_EDGE('',*,*,#20617,.T.); #27973=ORIENTED_EDGE('',*,*,#20637,.T.); #27974=ORIENTED_EDGE('',*,*,#20634,.F.); #27975=ORIENTED_EDGE('',*,*,#20638,.F.); #27976=ORIENTED_EDGE('',*,*,#20639,.T.); #27977=ORIENTED_EDGE('',*,*,#20640,.T.); #27978=ORIENTED_EDGE('',*,*,#20641,.F.); #27979=ORIENTED_EDGE('',*,*,#20642,.F.); #27980=ORIENTED_EDGE('',*,*,#20643,.T.); #27981=ORIENTED_EDGE('',*,*,#20644,.T.); #27982=ORIENTED_EDGE('',*,*,#20639,.F.); #27983=ORIENTED_EDGE('',*,*,#20645,.F.); #27984=ORIENTED_EDGE('',*,*,#20646,.T.); #27985=ORIENTED_EDGE('',*,*,#20647,.T.); #27986=ORIENTED_EDGE('',*,*,#20643,.F.); #27987=ORIENTED_EDGE('',*,*,#20648,.F.); #27988=ORIENTED_EDGE('',*,*,#20649,.T.); #27989=ORIENTED_EDGE('',*,*,#20650,.T.); #27990=ORIENTED_EDGE('',*,*,#20646,.F.); #27991=ORIENTED_EDGE('',*,*,#20651,.F.); #27992=ORIENTED_EDGE('',*,*,#20652,.T.); #27993=ORIENTED_EDGE('',*,*,#20653,.T.); #27994=ORIENTED_EDGE('',*,*,#20649,.F.); #27995=ORIENTED_EDGE('',*,*,#20654,.F.); #27996=ORIENTED_EDGE('',*,*,#20655,.T.); #27997=ORIENTED_EDGE('',*,*,#20656,.T.); #27998=ORIENTED_EDGE('',*,*,#20652,.F.); #27999=ORIENTED_EDGE('',*,*,#20657,.F.); #28000=ORIENTED_EDGE('',*,*,#20658,.T.); #28001=ORIENTED_EDGE('',*,*,#20659,.T.); #28002=ORIENTED_EDGE('',*,*,#20655,.F.); #28003=ORIENTED_EDGE('',*,*,#20660,.F.); #28004=ORIENTED_EDGE('',*,*,#20641,.T.); #28005=ORIENTED_EDGE('',*,*,#20661,.T.); #28006=ORIENTED_EDGE('',*,*,#20658,.F.); #28007=ORIENTED_EDGE('',*,*,#20662,.F.); #28008=ORIENTED_EDGE('',*,*,#20663,.T.); #28009=ORIENTED_EDGE('',*,*,#20664,.T.); #28010=ORIENTED_EDGE('',*,*,#20665,.F.); #28011=ORIENTED_EDGE('',*,*,#20666,.F.); #28012=ORIENTED_EDGE('',*,*,#20667,.T.); #28013=ORIENTED_EDGE('',*,*,#20668,.T.); #28014=ORIENTED_EDGE('',*,*,#20663,.F.); #28015=ORIENTED_EDGE('',*,*,#20669,.F.); #28016=ORIENTED_EDGE('',*,*,#20670,.T.); #28017=ORIENTED_EDGE('',*,*,#20671,.T.); #28018=ORIENTED_EDGE('',*,*,#20667,.F.); #28019=ORIENTED_EDGE('',*,*,#20672,.F.); #28020=ORIENTED_EDGE('',*,*,#20673,.T.); #28021=ORIENTED_EDGE('',*,*,#20674,.T.); #28022=ORIENTED_EDGE('',*,*,#20670,.F.); #28023=ORIENTED_EDGE('',*,*,#20675,.F.); #28024=ORIENTED_EDGE('',*,*,#20676,.T.); #28025=ORIENTED_EDGE('',*,*,#20677,.T.); #28026=ORIENTED_EDGE('',*,*,#20673,.F.); #28027=ORIENTED_EDGE('',*,*,#20678,.F.); #28028=ORIENTED_EDGE('',*,*,#20679,.T.); #28029=ORIENTED_EDGE('',*,*,#20680,.T.); #28030=ORIENTED_EDGE('',*,*,#20676,.F.); #28031=ORIENTED_EDGE('',*,*,#20681,.F.); #28032=ORIENTED_EDGE('',*,*,#20682,.T.); #28033=ORIENTED_EDGE('',*,*,#20683,.T.); #28034=ORIENTED_EDGE('',*,*,#20679,.F.); #28035=ORIENTED_EDGE('',*,*,#20684,.F.); #28036=ORIENTED_EDGE('',*,*,#20665,.T.); #28037=ORIENTED_EDGE('',*,*,#20685,.T.); #28038=ORIENTED_EDGE('',*,*,#20682,.F.); #28039=ORIENTED_EDGE('',*,*,#20686,.F.); #28040=ORIENTED_EDGE('',*,*,#20687,.T.); #28041=ORIENTED_EDGE('',*,*,#20688,.T.); #28042=ORIENTED_EDGE('',*,*,#20689,.F.); #28043=ORIENTED_EDGE('',*,*,#20690,.F.); #28044=ORIENTED_EDGE('',*,*,#20691,.T.); #28045=ORIENTED_EDGE('',*,*,#20692,.T.); #28046=ORIENTED_EDGE('',*,*,#20687,.F.); #28047=ORIENTED_EDGE('',*,*,#20693,.F.); #28048=ORIENTED_EDGE('',*,*,#20694,.T.); #28049=ORIENTED_EDGE('',*,*,#20695,.T.); #28050=ORIENTED_EDGE('',*,*,#20691,.F.); #28051=ORIENTED_EDGE('',*,*,#20696,.F.); #28052=ORIENTED_EDGE('',*,*,#20697,.T.); #28053=ORIENTED_EDGE('',*,*,#20698,.T.); #28054=ORIENTED_EDGE('',*,*,#20694,.F.); #28055=ORIENTED_EDGE('',*,*,#20699,.F.); #28056=ORIENTED_EDGE('',*,*,#20700,.T.); #28057=ORIENTED_EDGE('',*,*,#20701,.T.); #28058=ORIENTED_EDGE('',*,*,#20697,.F.); #28059=ORIENTED_EDGE('',*,*,#20702,.F.); #28060=ORIENTED_EDGE('',*,*,#20703,.T.); #28061=ORIENTED_EDGE('',*,*,#20704,.T.); #28062=ORIENTED_EDGE('',*,*,#20700,.F.); #28063=ORIENTED_EDGE('',*,*,#20705,.F.); #28064=ORIENTED_EDGE('',*,*,#20706,.T.); #28065=ORIENTED_EDGE('',*,*,#20707,.T.); #28066=ORIENTED_EDGE('',*,*,#20703,.F.); #28067=ORIENTED_EDGE('',*,*,#20708,.F.); #28068=ORIENTED_EDGE('',*,*,#20689,.T.); #28069=ORIENTED_EDGE('',*,*,#20709,.T.); #28070=ORIENTED_EDGE('',*,*,#20706,.F.); #28071=ORIENTED_EDGE('',*,*,#20710,.F.); #28072=ORIENTED_EDGE('',*,*,#20711,.T.); #28073=ORIENTED_EDGE('',*,*,#20712,.T.); #28074=ORIENTED_EDGE('',*,*,#20713,.F.); #28075=ORIENTED_EDGE('',*,*,#20714,.F.); #28076=ORIENTED_EDGE('',*,*,#20715,.T.); #28077=ORIENTED_EDGE('',*,*,#20716,.T.); #28078=ORIENTED_EDGE('',*,*,#20711,.F.); #28079=ORIENTED_EDGE('',*,*,#20717,.F.); #28080=ORIENTED_EDGE('',*,*,#20718,.T.); #28081=ORIENTED_EDGE('',*,*,#20719,.T.); #28082=ORIENTED_EDGE('',*,*,#20715,.F.); #28083=ORIENTED_EDGE('',*,*,#20720,.F.); #28084=ORIENTED_EDGE('',*,*,#20721,.T.); #28085=ORIENTED_EDGE('',*,*,#20722,.T.); #28086=ORIENTED_EDGE('',*,*,#20718,.F.); #28087=ORIENTED_EDGE('',*,*,#20723,.F.); #28088=ORIENTED_EDGE('',*,*,#20724,.T.); #28089=ORIENTED_EDGE('',*,*,#20725,.T.); #28090=ORIENTED_EDGE('',*,*,#20721,.F.); #28091=ORIENTED_EDGE('',*,*,#20726,.F.); #28092=ORIENTED_EDGE('',*,*,#20727,.T.); #28093=ORIENTED_EDGE('',*,*,#20728,.T.); #28094=ORIENTED_EDGE('',*,*,#20724,.F.); #28095=ORIENTED_EDGE('',*,*,#20729,.F.); #28096=ORIENTED_EDGE('',*,*,#20730,.T.); #28097=ORIENTED_EDGE('',*,*,#20731,.T.); #28098=ORIENTED_EDGE('',*,*,#20727,.F.); #28099=ORIENTED_EDGE('',*,*,#20732,.F.); #28100=ORIENTED_EDGE('',*,*,#20713,.T.); #28101=ORIENTED_EDGE('',*,*,#20733,.T.); #28102=ORIENTED_EDGE('',*,*,#20730,.F.); #28103=ORIENTED_EDGE('',*,*,#20734,.F.); #28104=ORIENTED_EDGE('',*,*,#20735,.T.); #28105=ORIENTED_EDGE('',*,*,#20736,.T.); #28106=ORIENTED_EDGE('',*,*,#20737,.F.); #28107=ORIENTED_EDGE('',*,*,#20738,.F.); #28108=ORIENTED_EDGE('',*,*,#20739,.T.); #28109=ORIENTED_EDGE('',*,*,#20740,.T.); #28110=ORIENTED_EDGE('',*,*,#20735,.F.); #28111=ORIENTED_EDGE('',*,*,#20741,.F.); #28112=ORIENTED_EDGE('',*,*,#20742,.T.); #28113=ORIENTED_EDGE('',*,*,#20743,.T.); #28114=ORIENTED_EDGE('',*,*,#20739,.F.); #28115=ORIENTED_EDGE('',*,*,#20744,.F.); #28116=ORIENTED_EDGE('',*,*,#20745,.T.); #28117=ORIENTED_EDGE('',*,*,#20746,.T.); #28118=ORIENTED_EDGE('',*,*,#20742,.F.); #28119=ORIENTED_EDGE('',*,*,#20747,.F.); #28120=ORIENTED_EDGE('',*,*,#20748,.T.); #28121=ORIENTED_EDGE('',*,*,#20749,.T.); #28122=ORIENTED_EDGE('',*,*,#20745,.F.); #28123=ORIENTED_EDGE('',*,*,#20750,.F.); #28124=ORIENTED_EDGE('',*,*,#20751,.T.); #28125=ORIENTED_EDGE('',*,*,#20752,.T.); #28126=ORIENTED_EDGE('',*,*,#20748,.F.); #28127=ORIENTED_EDGE('',*,*,#20753,.F.); #28128=ORIENTED_EDGE('',*,*,#20754,.T.); #28129=ORIENTED_EDGE('',*,*,#20755,.T.); #28130=ORIENTED_EDGE('',*,*,#20751,.F.); #28131=ORIENTED_EDGE('',*,*,#20756,.F.); #28132=ORIENTED_EDGE('',*,*,#20737,.T.); #28133=ORIENTED_EDGE('',*,*,#20757,.T.); #28134=ORIENTED_EDGE('',*,*,#20754,.F.); #28135=ORIENTED_EDGE('',*,*,#20758,.T.); #28136=ORIENTED_EDGE('',*,*,#20759,.T.); #28137=ORIENTED_EDGE('',*,*,#20760,.T.); #28138=ORIENTED_EDGE('',*,*,#20761,.F.); #28139=ORIENTED_EDGE('',*,*,#20762,.F.); #28140=ORIENTED_EDGE('',*,*,#20763,.T.); #28141=ORIENTED_EDGE('',*,*,#20764,.T.); #28142=ORIENTED_EDGE('',*,*,#20759,.F.); #28143=ORIENTED_EDGE('',*,*,#20765,.F.); #28144=ORIENTED_EDGE('',*,*,#20766,.T.); #28145=ORIENTED_EDGE('',*,*,#20767,.T.); #28146=ORIENTED_EDGE('',*,*,#20763,.F.); #28147=ORIENTED_EDGE('',*,*,#20768,.F.); #28148=ORIENTED_EDGE('',*,*,#20769,.T.); #28149=ORIENTED_EDGE('',*,*,#20770,.T.); #28150=ORIENTED_EDGE('',*,*,#20766,.F.); #28151=ORIENTED_EDGE('',*,*,#20771,.T.); #28152=ORIENTED_EDGE('',*,*,#20772,.T.); #28153=ORIENTED_EDGE('',*,*,#20773,.T.); #28154=ORIENTED_EDGE('',*,*,#20769,.F.); #28155=ORIENTED_EDGE('',*,*,#20774,.F.); #28156=ORIENTED_EDGE('',*,*,#20775,.T.); #28157=ORIENTED_EDGE('',*,*,#20776,.T.); #28158=ORIENTED_EDGE('',*,*,#20772,.F.); #28159=ORIENTED_EDGE('',*,*,#20777,.T.); #28160=ORIENTED_EDGE('',*,*,#20778,.T.); #28161=ORIENTED_EDGE('',*,*,#20779,.T.); #28162=ORIENTED_EDGE('',*,*,#20775,.F.); #28163=ORIENTED_EDGE('',*,*,#20780,.F.); #28164=ORIENTED_EDGE('',*,*,#20781,.T.); #28165=ORIENTED_EDGE('',*,*,#20782,.T.); #28166=ORIENTED_EDGE('',*,*,#20778,.F.); #28167=ORIENTED_EDGE('',*,*,#20783,.F.); #28168=ORIENTED_EDGE('',*,*,#20784,.T.); #28169=ORIENTED_EDGE('',*,*,#20785,.T.); #28170=ORIENTED_EDGE('',*,*,#20781,.F.); #28171=ORIENTED_EDGE('',*,*,#20786,.F.); #28172=ORIENTED_EDGE('',*,*,#20787,.T.); #28173=ORIENTED_EDGE('',*,*,#20788,.T.); #28174=ORIENTED_EDGE('',*,*,#20784,.F.); #28175=ORIENTED_EDGE('',*,*,#20789,.F.); #28176=ORIENTED_EDGE('',*,*,#20790,.T.); #28177=ORIENTED_EDGE('',*,*,#20791,.T.); #28178=ORIENTED_EDGE('',*,*,#20787,.F.); #28179=ORIENTED_EDGE('',*,*,#20792,.T.); #28180=ORIENTED_EDGE('',*,*,#20793,.T.); #28181=ORIENTED_EDGE('',*,*,#20794,.T.); #28182=ORIENTED_EDGE('',*,*,#20790,.F.); #28183=ORIENTED_EDGE('',*,*,#20795,.T.); #28184=ORIENTED_EDGE('',*,*,#20796,.T.); #28185=ORIENTED_EDGE('',*,*,#20797,.T.); #28186=ORIENTED_EDGE('',*,*,#20793,.F.); #28187=ORIENTED_EDGE('',*,*,#20798,.F.); #28188=ORIENTED_EDGE('',*,*,#20799,.T.); #28189=ORIENTED_EDGE('',*,*,#20800,.T.); #28190=ORIENTED_EDGE('',*,*,#20796,.F.); #28191=ORIENTED_EDGE('',*,*,#20801,.F.); #28192=ORIENTED_EDGE('',*,*,#20802,.T.); #28193=ORIENTED_EDGE('',*,*,#20803,.T.); #28194=ORIENTED_EDGE('',*,*,#20799,.F.); #28195=ORIENTED_EDGE('',*,*,#20804,.F.); #28196=ORIENTED_EDGE('',*,*,#20805,.T.); #28197=ORIENTED_EDGE('',*,*,#20806,.T.); #28198=ORIENTED_EDGE('',*,*,#20802,.F.); #28199=ORIENTED_EDGE('',*,*,#20807,.F.); #28200=ORIENTED_EDGE('',*,*,#20808,.T.); #28201=ORIENTED_EDGE('',*,*,#20809,.T.); #28202=ORIENTED_EDGE('',*,*,#20805,.F.); #28203=ORIENTED_EDGE('',*,*,#20810,.T.); #28204=ORIENTED_EDGE('',*,*,#20761,.T.); #28205=ORIENTED_EDGE('',*,*,#20811,.T.); #28206=ORIENTED_EDGE('',*,*,#20808,.F.); #28207=ORIENTED_EDGE('',*,*,#20811,.F.); #28208=ORIENTED_EDGE('',*,*,#20760,.F.); #28209=ORIENTED_EDGE('',*,*,#20764,.F.); #28210=ORIENTED_EDGE('',*,*,#20767,.F.); #28211=ORIENTED_EDGE('',*,*,#20770,.F.); #28212=ORIENTED_EDGE('',*,*,#20773,.F.); #28213=ORIENTED_EDGE('',*,*,#20776,.F.); #28214=ORIENTED_EDGE('',*,*,#20779,.F.); #28215=ORIENTED_EDGE('',*,*,#20782,.F.); #28216=ORIENTED_EDGE('',*,*,#20785,.F.); #28217=ORIENTED_EDGE('',*,*,#20788,.F.); #28218=ORIENTED_EDGE('',*,*,#20791,.F.); #28219=ORIENTED_EDGE('',*,*,#20794,.F.); #28220=ORIENTED_EDGE('',*,*,#20797,.F.); #28221=ORIENTED_EDGE('',*,*,#20800,.F.); #28222=ORIENTED_EDGE('',*,*,#20803,.F.); #28223=ORIENTED_EDGE('',*,*,#20806,.F.); #28224=ORIENTED_EDGE('',*,*,#20809,.F.); #28225=ORIENTED_EDGE('',*,*,#20757,.F.); #28226=ORIENTED_EDGE('',*,*,#20736,.F.); #28227=ORIENTED_EDGE('',*,*,#20740,.F.); #28228=ORIENTED_EDGE('',*,*,#20743,.F.); #28229=ORIENTED_EDGE('',*,*,#20746,.F.); #28230=ORIENTED_EDGE('',*,*,#20749,.F.); #28231=ORIENTED_EDGE('',*,*,#20752,.F.); #28232=ORIENTED_EDGE('',*,*,#20755,.F.); #28233=ORIENTED_EDGE('',*,*,#20733,.F.); #28234=ORIENTED_EDGE('',*,*,#20712,.F.); #28235=ORIENTED_EDGE('',*,*,#20716,.F.); #28236=ORIENTED_EDGE('',*,*,#20719,.F.); #28237=ORIENTED_EDGE('',*,*,#20722,.F.); #28238=ORIENTED_EDGE('',*,*,#20725,.F.); #28239=ORIENTED_EDGE('',*,*,#20728,.F.); #28240=ORIENTED_EDGE('',*,*,#20731,.F.); #28241=ORIENTED_EDGE('',*,*,#20709,.F.); #28242=ORIENTED_EDGE('',*,*,#20688,.F.); #28243=ORIENTED_EDGE('',*,*,#20692,.F.); #28244=ORIENTED_EDGE('',*,*,#20695,.F.); #28245=ORIENTED_EDGE('',*,*,#20698,.F.); #28246=ORIENTED_EDGE('',*,*,#20701,.F.); #28247=ORIENTED_EDGE('',*,*,#20704,.F.); #28248=ORIENTED_EDGE('',*,*,#20707,.F.); #28249=ORIENTED_EDGE('',*,*,#20685,.F.); #28250=ORIENTED_EDGE('',*,*,#20664,.F.); #28251=ORIENTED_EDGE('',*,*,#20668,.F.); #28252=ORIENTED_EDGE('',*,*,#20671,.F.); #28253=ORIENTED_EDGE('',*,*,#20674,.F.); #28254=ORIENTED_EDGE('',*,*,#20677,.F.); #28255=ORIENTED_EDGE('',*,*,#20680,.F.); #28256=ORIENTED_EDGE('',*,*,#20683,.F.); #28257=ORIENTED_EDGE('',*,*,#20661,.F.); #28258=ORIENTED_EDGE('',*,*,#20640,.F.); #28259=ORIENTED_EDGE('',*,*,#20644,.F.); #28260=ORIENTED_EDGE('',*,*,#20647,.F.); #28261=ORIENTED_EDGE('',*,*,#20650,.F.); #28262=ORIENTED_EDGE('',*,*,#20653,.F.); #28263=ORIENTED_EDGE('',*,*,#20656,.F.); #28264=ORIENTED_EDGE('',*,*,#20659,.F.); #28265=ORIENTED_EDGE('',*,*,#20637,.F.); #28266=ORIENTED_EDGE('',*,*,#20616,.F.); #28267=ORIENTED_EDGE('',*,*,#20620,.F.); #28268=ORIENTED_EDGE('',*,*,#20623,.F.); #28269=ORIENTED_EDGE('',*,*,#20626,.F.); #28270=ORIENTED_EDGE('',*,*,#20629,.F.); #28271=ORIENTED_EDGE('',*,*,#20632,.F.); #28272=ORIENTED_EDGE('',*,*,#20635,.F.); #28273=ORIENTED_EDGE('',*,*,#20613,.F.); #28274=ORIENTED_EDGE('',*,*,#20592,.F.); #28275=ORIENTED_EDGE('',*,*,#20596,.F.); #28276=ORIENTED_EDGE('',*,*,#20599,.F.); #28277=ORIENTED_EDGE('',*,*,#20602,.F.); #28278=ORIENTED_EDGE('',*,*,#20605,.F.); #28279=ORIENTED_EDGE('',*,*,#20608,.F.); #28280=ORIENTED_EDGE('',*,*,#20611,.F.); #28281=ORIENTED_EDGE('',*,*,#20589,.F.); #28282=ORIENTED_EDGE('',*,*,#20568,.F.); #28283=ORIENTED_EDGE('',*,*,#20572,.F.); #28284=ORIENTED_EDGE('',*,*,#20575,.F.); #28285=ORIENTED_EDGE('',*,*,#20578,.F.); #28286=ORIENTED_EDGE('',*,*,#20581,.F.); #28287=ORIENTED_EDGE('',*,*,#20584,.F.); #28288=ORIENTED_EDGE('',*,*,#20587,.F.); #28289=ORIENTED_EDGE('',*,*,#20565,.F.); #28290=ORIENTED_EDGE('',*,*,#20544,.F.); #28291=ORIENTED_EDGE('',*,*,#20548,.F.); #28292=ORIENTED_EDGE('',*,*,#20551,.F.); #28293=ORIENTED_EDGE('',*,*,#20554,.F.); #28294=ORIENTED_EDGE('',*,*,#20557,.F.); #28295=ORIENTED_EDGE('',*,*,#20560,.F.); #28296=ORIENTED_EDGE('',*,*,#20563,.F.); #28297=ORIENTED_EDGE('',*,*,#20541,.F.); #28298=ORIENTED_EDGE('',*,*,#20520,.F.); #28299=ORIENTED_EDGE('',*,*,#20524,.F.); #28300=ORIENTED_EDGE('',*,*,#20527,.F.); #28301=ORIENTED_EDGE('',*,*,#20530,.F.); #28302=ORIENTED_EDGE('',*,*,#20533,.F.); #28303=ORIENTED_EDGE('',*,*,#20536,.F.); #28304=ORIENTED_EDGE('',*,*,#20539,.F.); #28305=ORIENTED_EDGE('',*,*,#20517,.F.); #28306=ORIENTED_EDGE('',*,*,#20496,.F.); #28307=ORIENTED_EDGE('',*,*,#20500,.F.); #28308=ORIENTED_EDGE('',*,*,#20503,.F.); #28309=ORIENTED_EDGE('',*,*,#20506,.F.); #28310=ORIENTED_EDGE('',*,*,#20509,.F.); #28311=ORIENTED_EDGE('',*,*,#20512,.F.); #28312=ORIENTED_EDGE('',*,*,#20515,.F.); #28313=ORIENTED_EDGE('',*,*,#20493,.F.); #28314=ORIENTED_EDGE('',*,*,#20472,.F.); #28315=ORIENTED_EDGE('',*,*,#20476,.F.); #28316=ORIENTED_EDGE('',*,*,#20479,.F.); #28317=ORIENTED_EDGE('',*,*,#20482,.F.); #28318=ORIENTED_EDGE('',*,*,#20485,.F.); #28319=ORIENTED_EDGE('',*,*,#20488,.F.); #28320=ORIENTED_EDGE('',*,*,#20491,.F.); #28321=ORIENTED_EDGE('',*,*,#20469,.F.); #28322=ORIENTED_EDGE('',*,*,#20448,.F.); #28323=ORIENTED_EDGE('',*,*,#20452,.F.); #28324=ORIENTED_EDGE('',*,*,#20455,.F.); #28325=ORIENTED_EDGE('',*,*,#20458,.F.); #28326=ORIENTED_EDGE('',*,*,#20461,.F.); #28327=ORIENTED_EDGE('',*,*,#20464,.F.); #28328=ORIENTED_EDGE('',*,*,#20467,.F.); #28329=ORIENTED_EDGE('',*,*,#20445,.F.); #28330=ORIENTED_EDGE('',*,*,#20424,.F.); #28331=ORIENTED_EDGE('',*,*,#20428,.F.); #28332=ORIENTED_EDGE('',*,*,#20431,.F.); #28333=ORIENTED_EDGE('',*,*,#20434,.F.); #28334=ORIENTED_EDGE('',*,*,#20437,.F.); #28335=ORIENTED_EDGE('',*,*,#20440,.F.); #28336=ORIENTED_EDGE('',*,*,#20443,.F.); #28337=ORIENTED_EDGE('',*,*,#20421,.F.); #28338=ORIENTED_EDGE('',*,*,#20400,.F.); #28339=ORIENTED_EDGE('',*,*,#20404,.F.); #28340=ORIENTED_EDGE('',*,*,#20407,.F.); #28341=ORIENTED_EDGE('',*,*,#20410,.F.); #28342=ORIENTED_EDGE('',*,*,#20413,.F.); #28343=ORIENTED_EDGE('',*,*,#20416,.F.); #28344=ORIENTED_EDGE('',*,*,#20419,.F.); #28345=ORIENTED_EDGE('',*,*,#20397,.F.); #28346=ORIENTED_EDGE('',*,*,#20376,.F.); #28347=ORIENTED_EDGE('',*,*,#20380,.F.); #28348=ORIENTED_EDGE('',*,*,#20383,.F.); #28349=ORIENTED_EDGE('',*,*,#20386,.F.); #28350=ORIENTED_EDGE('',*,*,#20389,.F.); #28351=ORIENTED_EDGE('',*,*,#20392,.F.); #28352=ORIENTED_EDGE('',*,*,#20395,.F.); #28353=ORIENTED_EDGE('',*,*,#20373,.F.); #28354=ORIENTED_EDGE('',*,*,#20352,.F.); #28355=ORIENTED_EDGE('',*,*,#20356,.F.); #28356=ORIENTED_EDGE('',*,*,#20359,.F.); #28357=ORIENTED_EDGE('',*,*,#20362,.F.); #28358=ORIENTED_EDGE('',*,*,#20365,.F.); #28359=ORIENTED_EDGE('',*,*,#20368,.F.); #28360=ORIENTED_EDGE('',*,*,#20371,.F.); #28361=ORIENTED_EDGE('',*,*,#20349,.F.); #28362=ORIENTED_EDGE('',*,*,#20328,.F.); #28363=ORIENTED_EDGE('',*,*,#20332,.F.); #28364=ORIENTED_EDGE('',*,*,#20335,.F.); #28365=ORIENTED_EDGE('',*,*,#20338,.F.); #28366=ORIENTED_EDGE('',*,*,#20341,.F.); #28367=ORIENTED_EDGE('',*,*,#20344,.F.); #28368=ORIENTED_EDGE('',*,*,#20347,.F.); #28369=ORIENTED_EDGE('',*,*,#20810,.F.); #28370=ORIENTED_EDGE('',*,*,#20807,.T.); #28371=ORIENTED_EDGE('',*,*,#20804,.T.); #28372=ORIENTED_EDGE('',*,*,#20801,.T.); #28373=ORIENTED_EDGE('',*,*,#20798,.T.); #28374=ORIENTED_EDGE('',*,*,#20795,.F.); #28375=ORIENTED_EDGE('',*,*,#20792,.F.); #28376=ORIENTED_EDGE('',*,*,#20789,.T.); #28377=ORIENTED_EDGE('',*,*,#20786,.T.); #28378=ORIENTED_EDGE('',*,*,#20783,.T.); #28379=ORIENTED_EDGE('',*,*,#20780,.T.); #28380=ORIENTED_EDGE('',*,*,#20777,.F.); #28381=ORIENTED_EDGE('',*,*,#20774,.T.); #28382=ORIENTED_EDGE('',*,*,#20771,.F.); #28383=ORIENTED_EDGE('',*,*,#20768,.T.); #28384=ORIENTED_EDGE('',*,*,#20765,.T.); #28385=ORIENTED_EDGE('',*,*,#20762,.T.); #28386=ORIENTED_EDGE('',*,*,#20758,.F.); #28387=ORIENTED_EDGE('',*,*,#20756,.T.); #28388=ORIENTED_EDGE('',*,*,#20753,.T.); #28389=ORIENTED_EDGE('',*,*,#20750,.T.); #28390=ORIENTED_EDGE('',*,*,#20747,.T.); #28391=ORIENTED_EDGE('',*,*,#20744,.T.); #28392=ORIENTED_EDGE('',*,*,#20741,.T.); #28393=ORIENTED_EDGE('',*,*,#20738,.T.); #28394=ORIENTED_EDGE('',*,*,#20734,.T.); #28395=ORIENTED_EDGE('',*,*,#20732,.T.); #28396=ORIENTED_EDGE('',*,*,#20729,.T.); #28397=ORIENTED_EDGE('',*,*,#20726,.T.); #28398=ORIENTED_EDGE('',*,*,#20723,.T.); #28399=ORIENTED_EDGE('',*,*,#20720,.T.); #28400=ORIENTED_EDGE('',*,*,#20717,.T.); #28401=ORIENTED_EDGE('',*,*,#20714,.T.); #28402=ORIENTED_EDGE('',*,*,#20710,.T.); #28403=ORIENTED_EDGE('',*,*,#20708,.T.); #28404=ORIENTED_EDGE('',*,*,#20705,.T.); #28405=ORIENTED_EDGE('',*,*,#20702,.T.); #28406=ORIENTED_EDGE('',*,*,#20699,.T.); #28407=ORIENTED_EDGE('',*,*,#20696,.T.); #28408=ORIENTED_EDGE('',*,*,#20693,.T.); #28409=ORIENTED_EDGE('',*,*,#20690,.T.); #28410=ORIENTED_EDGE('',*,*,#20686,.T.); #28411=ORIENTED_EDGE('',*,*,#20684,.T.); #28412=ORIENTED_EDGE('',*,*,#20681,.T.); #28413=ORIENTED_EDGE('',*,*,#20678,.T.); #28414=ORIENTED_EDGE('',*,*,#20675,.T.); #28415=ORIENTED_EDGE('',*,*,#20672,.T.); #28416=ORIENTED_EDGE('',*,*,#20669,.T.); #28417=ORIENTED_EDGE('',*,*,#20666,.T.); #28418=ORIENTED_EDGE('',*,*,#20662,.T.); #28419=ORIENTED_EDGE('',*,*,#20660,.T.); #28420=ORIENTED_EDGE('',*,*,#20657,.T.); #28421=ORIENTED_EDGE('',*,*,#20654,.T.); #28422=ORIENTED_EDGE('',*,*,#20651,.T.); #28423=ORIENTED_EDGE('',*,*,#20648,.T.); #28424=ORIENTED_EDGE('',*,*,#20645,.T.); #28425=ORIENTED_EDGE('',*,*,#20642,.T.); #28426=ORIENTED_EDGE('',*,*,#20638,.T.); #28427=ORIENTED_EDGE('',*,*,#20636,.T.); #28428=ORIENTED_EDGE('',*,*,#20633,.T.); #28429=ORIENTED_EDGE('',*,*,#20630,.T.); #28430=ORIENTED_EDGE('',*,*,#20627,.T.); #28431=ORIENTED_EDGE('',*,*,#20624,.T.); #28432=ORIENTED_EDGE('',*,*,#20621,.T.); #28433=ORIENTED_EDGE('',*,*,#20618,.T.); #28434=ORIENTED_EDGE('',*,*,#20614,.T.); #28435=ORIENTED_EDGE('',*,*,#20612,.T.); #28436=ORIENTED_EDGE('',*,*,#20609,.T.); #28437=ORIENTED_EDGE('',*,*,#20606,.T.); #28438=ORIENTED_EDGE('',*,*,#20603,.T.); #28439=ORIENTED_EDGE('',*,*,#20600,.T.); #28440=ORIENTED_EDGE('',*,*,#20597,.T.); #28441=ORIENTED_EDGE('',*,*,#20594,.T.); #28442=ORIENTED_EDGE('',*,*,#20590,.T.); #28443=ORIENTED_EDGE('',*,*,#20588,.T.); #28444=ORIENTED_EDGE('',*,*,#20585,.T.); #28445=ORIENTED_EDGE('',*,*,#20582,.T.); #28446=ORIENTED_EDGE('',*,*,#20579,.T.); #28447=ORIENTED_EDGE('',*,*,#20576,.T.); #28448=ORIENTED_EDGE('',*,*,#20573,.T.); #28449=ORIENTED_EDGE('',*,*,#20570,.T.); #28450=ORIENTED_EDGE('',*,*,#20566,.T.); #28451=ORIENTED_EDGE('',*,*,#20564,.T.); #28452=ORIENTED_EDGE('',*,*,#20561,.T.); #28453=ORIENTED_EDGE('',*,*,#20558,.T.); #28454=ORIENTED_EDGE('',*,*,#20555,.T.); #28455=ORIENTED_EDGE('',*,*,#20552,.T.); #28456=ORIENTED_EDGE('',*,*,#20549,.T.); #28457=ORIENTED_EDGE('',*,*,#20546,.T.); #28458=ORIENTED_EDGE('',*,*,#20542,.T.); #28459=ORIENTED_EDGE('',*,*,#20540,.T.); #28460=ORIENTED_EDGE('',*,*,#20537,.T.); #28461=ORIENTED_EDGE('',*,*,#20534,.T.); #28462=ORIENTED_EDGE('',*,*,#20531,.T.); #28463=ORIENTED_EDGE('',*,*,#20528,.T.); #28464=ORIENTED_EDGE('',*,*,#20525,.T.); #28465=ORIENTED_EDGE('',*,*,#20522,.T.); #28466=ORIENTED_EDGE('',*,*,#20518,.T.); #28467=ORIENTED_EDGE('',*,*,#20516,.T.); #28468=ORIENTED_EDGE('',*,*,#20513,.T.); #28469=ORIENTED_EDGE('',*,*,#20510,.T.); #28470=ORIENTED_EDGE('',*,*,#20507,.T.); #28471=ORIENTED_EDGE('',*,*,#20504,.T.); #28472=ORIENTED_EDGE('',*,*,#20501,.T.); #28473=ORIENTED_EDGE('',*,*,#20498,.T.); #28474=ORIENTED_EDGE('',*,*,#20494,.T.); #28475=ORIENTED_EDGE('',*,*,#20492,.T.); #28476=ORIENTED_EDGE('',*,*,#20489,.T.); #28477=ORIENTED_EDGE('',*,*,#20486,.T.); #28478=ORIENTED_EDGE('',*,*,#20483,.T.); #28479=ORIENTED_EDGE('',*,*,#20480,.T.); #28480=ORIENTED_EDGE('',*,*,#20477,.T.); #28481=ORIENTED_EDGE('',*,*,#20474,.T.); #28482=ORIENTED_EDGE('',*,*,#20470,.T.); #28483=ORIENTED_EDGE('',*,*,#20468,.T.); #28484=ORIENTED_EDGE('',*,*,#20465,.T.); #28485=ORIENTED_EDGE('',*,*,#20462,.T.); #28486=ORIENTED_EDGE('',*,*,#20459,.T.); #28487=ORIENTED_EDGE('',*,*,#20456,.T.); #28488=ORIENTED_EDGE('',*,*,#20453,.T.); #28489=ORIENTED_EDGE('',*,*,#20450,.T.); #28490=ORIENTED_EDGE('',*,*,#20446,.T.); #28491=ORIENTED_EDGE('',*,*,#20444,.T.); #28492=ORIENTED_EDGE('',*,*,#20441,.T.); #28493=ORIENTED_EDGE('',*,*,#20438,.T.); #28494=ORIENTED_EDGE('',*,*,#20435,.T.); #28495=ORIENTED_EDGE('',*,*,#20432,.T.); #28496=ORIENTED_EDGE('',*,*,#20429,.T.); #28497=ORIENTED_EDGE('',*,*,#20426,.T.); #28498=ORIENTED_EDGE('',*,*,#20422,.T.); #28499=ORIENTED_EDGE('',*,*,#20420,.T.); #28500=ORIENTED_EDGE('',*,*,#20417,.T.); #28501=ORIENTED_EDGE('',*,*,#20414,.T.); #28502=ORIENTED_EDGE('',*,*,#20411,.T.); #28503=ORIENTED_EDGE('',*,*,#20408,.T.); #28504=ORIENTED_EDGE('',*,*,#20405,.T.); #28505=ORIENTED_EDGE('',*,*,#20402,.T.); #28506=ORIENTED_EDGE('',*,*,#20398,.T.); #28507=ORIENTED_EDGE('',*,*,#20396,.T.); #28508=ORIENTED_EDGE('',*,*,#20393,.T.); #28509=ORIENTED_EDGE('',*,*,#20390,.T.); #28510=ORIENTED_EDGE('',*,*,#20387,.T.); #28511=ORIENTED_EDGE('',*,*,#20384,.T.); #28512=ORIENTED_EDGE('',*,*,#20381,.T.); #28513=ORIENTED_EDGE('',*,*,#20378,.T.); #28514=ORIENTED_EDGE('',*,*,#20374,.T.); #28515=ORIENTED_EDGE('',*,*,#20372,.T.); #28516=ORIENTED_EDGE('',*,*,#20369,.T.); #28517=ORIENTED_EDGE('',*,*,#20366,.T.); #28518=ORIENTED_EDGE('',*,*,#20363,.T.); #28519=ORIENTED_EDGE('',*,*,#20360,.T.); #28520=ORIENTED_EDGE('',*,*,#20357,.T.); #28521=ORIENTED_EDGE('',*,*,#20354,.T.); #28522=ORIENTED_EDGE('',*,*,#20350,.T.); #28523=ORIENTED_EDGE('',*,*,#20348,.T.); #28524=ORIENTED_EDGE('',*,*,#20345,.T.); #28525=ORIENTED_EDGE('',*,*,#20342,.T.); #28526=ORIENTED_EDGE('',*,*,#20339,.T.); #28527=ORIENTED_EDGE('',*,*,#20336,.T.); #28528=ORIENTED_EDGE('',*,*,#20333,.T.); #28529=ORIENTED_EDGE('',*,*,#20330,.T.); #28530=ORIENTED_EDGE('',*,*,#20326,.T.); #28531=ORIENTED_EDGE('',*,*,#20812,.T.); #28532=ORIENTED_EDGE('',*,*,#20813,.T.); #28533=ORIENTED_EDGE('',*,*,#20814,.F.); #28534=ORIENTED_EDGE('',*,*,#20815,.F.); #28535=ORIENTED_EDGE('',*,*,#20816,.T.); #28536=ORIENTED_EDGE('',*,*,#20815,.T.); #28537=ORIENTED_EDGE('',*,*,#20817,.F.); #28538=ORIENTED_EDGE('',*,*,#20818,.F.); #28539=ORIENTED_EDGE('',*,*,#20819,.T.); #28540=ORIENTED_EDGE('',*,*,#20818,.T.); #28541=ORIENTED_EDGE('',*,*,#20820,.F.); #28542=ORIENTED_EDGE('',*,*,#20821,.F.); #28543=ORIENTED_EDGE('',*,*,#20822,.T.); #28544=ORIENTED_EDGE('',*,*,#20821,.T.); #28545=ORIENTED_EDGE('',*,*,#20823,.F.); #28546=ORIENTED_EDGE('',*,*,#20824,.F.); #28547=ORIENTED_EDGE('',*,*,#20825,.T.); #28548=ORIENTED_EDGE('',*,*,#20824,.T.); #28549=ORIENTED_EDGE('',*,*,#20826,.F.); #28550=ORIENTED_EDGE('',*,*,#20827,.F.); #28551=ORIENTED_EDGE('',*,*,#20828,.T.); #28552=ORIENTED_EDGE('',*,*,#20827,.T.); #28553=ORIENTED_EDGE('',*,*,#20829,.F.); #28554=ORIENTED_EDGE('',*,*,#20830,.F.); #28555=ORIENTED_EDGE('',*,*,#20831,.T.); #28556=ORIENTED_EDGE('',*,*,#20830,.T.); #28557=ORIENTED_EDGE('',*,*,#20832,.F.); #28558=ORIENTED_EDGE('',*,*,#20833,.F.); #28559=ORIENTED_EDGE('',*,*,#20834,.T.); #28560=ORIENTED_EDGE('',*,*,#20833,.T.); #28561=ORIENTED_EDGE('',*,*,#20835,.F.); #28562=ORIENTED_EDGE('',*,*,#20813,.F.); #28563=ORIENTED_EDGE('',*,*,#20836,.T.); #28564=ORIENTED_EDGE('',*,*,#20837,.T.); #28565=ORIENTED_EDGE('',*,*,#20838,.F.); #28566=ORIENTED_EDGE('',*,*,#20839,.F.); #28567=ORIENTED_EDGE('',*,*,#20840,.T.); #28568=ORIENTED_EDGE('',*,*,#20839,.T.); #28569=ORIENTED_EDGE('',*,*,#20841,.F.); #28570=ORIENTED_EDGE('',*,*,#20842,.F.); #28571=ORIENTED_EDGE('',*,*,#20843,.T.); #28572=ORIENTED_EDGE('',*,*,#20842,.T.); #28573=ORIENTED_EDGE('',*,*,#20844,.F.); #28574=ORIENTED_EDGE('',*,*,#20845,.F.); #28575=ORIENTED_EDGE('',*,*,#20846,.T.); #28576=ORIENTED_EDGE('',*,*,#20845,.T.); #28577=ORIENTED_EDGE('',*,*,#20847,.F.); #28578=ORIENTED_EDGE('',*,*,#20837,.F.); #28579=ORIENTED_EDGE('',*,*,#20848,.T.); #28580=ORIENTED_EDGE('',*,*,#20849,.T.); #28581=ORIENTED_EDGE('',*,*,#20850,.F.); #28582=ORIENTED_EDGE('',*,*,#20851,.F.); #28583=ORIENTED_EDGE('',*,*,#20852,.T.); #28584=ORIENTED_EDGE('',*,*,#20851,.T.); #28585=ORIENTED_EDGE('',*,*,#20853,.F.); #28586=ORIENTED_EDGE('',*,*,#20854,.F.); #28587=ORIENTED_EDGE('',*,*,#20855,.T.); #28588=ORIENTED_EDGE('',*,*,#20854,.T.); #28589=ORIENTED_EDGE('',*,*,#20856,.F.); #28590=ORIENTED_EDGE('',*,*,#20857,.F.); #28591=ORIENTED_EDGE('',*,*,#20858,.T.); #28592=ORIENTED_EDGE('',*,*,#20857,.T.); #28593=ORIENTED_EDGE('',*,*,#20859,.F.); #28594=ORIENTED_EDGE('',*,*,#20860,.F.); #28595=ORIENTED_EDGE('',*,*,#20861,.T.); #28596=ORIENTED_EDGE('',*,*,#20860,.T.); #28597=ORIENTED_EDGE('',*,*,#20862,.F.); #28598=ORIENTED_EDGE('',*,*,#20863,.F.); #28599=ORIENTED_EDGE('',*,*,#20864,.T.); #28600=ORIENTED_EDGE('',*,*,#20863,.T.); #28601=ORIENTED_EDGE('',*,*,#20865,.F.); #28602=ORIENTED_EDGE('',*,*,#20866,.F.); #28603=ORIENTED_EDGE('',*,*,#20867,.T.); #28604=ORIENTED_EDGE('',*,*,#20866,.T.); #28605=ORIENTED_EDGE('',*,*,#20868,.F.); #28606=ORIENTED_EDGE('',*,*,#20869,.F.); #28607=ORIENTED_EDGE('',*,*,#20870,.T.); #28608=ORIENTED_EDGE('',*,*,#20869,.T.); #28609=ORIENTED_EDGE('',*,*,#20871,.F.); #28610=ORIENTED_EDGE('',*,*,#20849,.F.); #28611=ORIENTED_EDGE('',*,*,#20872,.T.); #28612=ORIENTED_EDGE('',*,*,#20873,.T.); #28613=ORIENTED_EDGE('',*,*,#20874,.F.); #28614=ORIENTED_EDGE('',*,*,#20875,.F.); #28615=ORIENTED_EDGE('',*,*,#20876,.T.); #28616=ORIENTED_EDGE('',*,*,#20875,.T.); #28617=ORIENTED_EDGE('',*,*,#20877,.F.); #28618=ORIENTED_EDGE('',*,*,#20878,.F.); #28619=ORIENTED_EDGE('',*,*,#20879,.T.); #28620=ORIENTED_EDGE('',*,*,#20878,.T.); #28621=ORIENTED_EDGE('',*,*,#20880,.F.); #28622=ORIENTED_EDGE('',*,*,#20881,.F.); #28623=ORIENTED_EDGE('',*,*,#20882,.T.); #28624=ORIENTED_EDGE('',*,*,#20881,.T.); #28625=ORIENTED_EDGE('',*,*,#20883,.F.); #28626=ORIENTED_EDGE('',*,*,#20873,.F.); #28627=ORIENTED_EDGE('',*,*,#20884,.F.); #28628=ORIENTED_EDGE('',*,*,#20885,.T.); #28629=ORIENTED_EDGE('',*,*,#20886,.T.); #28630=ORIENTED_EDGE('',*,*,#20885,.F.); #28631=ORIENTED_EDGE('',*,*,#20887,.F.); #28632=ORIENTED_EDGE('',*,*,#20888,.T.); #28633=ORIENTED_EDGE('',*,*,#20889,.T.); #28634=ORIENTED_EDGE('',*,*,#20888,.F.); #28635=ORIENTED_EDGE('',*,*,#20890,.T.); #28636=ORIENTED_EDGE('',*,*,#20891,.T.); #28637=ORIENTED_EDGE('',*,*,#20892,.F.); #28638=ORIENTED_EDGE('',*,*,#20893,.F.); #28639=ORIENTED_EDGE('',*,*,#20894,.T.); #28640=ORIENTED_EDGE('',*,*,#20893,.T.); #28641=ORIENTED_EDGE('',*,*,#20895,.F.); #28642=ORIENTED_EDGE('',*,*,#20896,.F.); #28643=ORIENTED_EDGE('',*,*,#20897,.T.); #28644=ORIENTED_EDGE('',*,*,#20896,.T.); #28645=ORIENTED_EDGE('',*,*,#20898,.F.); #28646=ORIENTED_EDGE('',*,*,#20899,.F.); #28647=ORIENTED_EDGE('',*,*,#20900,.T.); #28648=ORIENTED_EDGE('',*,*,#20899,.T.); #28649=ORIENTED_EDGE('',*,*,#20901,.F.); #28650=ORIENTED_EDGE('',*,*,#20891,.F.); #28651=ORIENTED_EDGE('',*,*,#20902,.T.); #28652=ORIENTED_EDGE('',*,*,#20903,.T.); #28653=ORIENTED_EDGE('',*,*,#20904,.F.); #28654=ORIENTED_EDGE('',*,*,#20905,.F.); #28655=ORIENTED_EDGE('',*,*,#20906,.T.); #28656=ORIENTED_EDGE('',*,*,#20905,.T.); #28657=ORIENTED_EDGE('',*,*,#20907,.F.); #28658=ORIENTED_EDGE('',*,*,#20908,.F.); #28659=ORIENTED_EDGE('',*,*,#20909,.T.); #28660=ORIENTED_EDGE('',*,*,#20908,.T.); #28661=ORIENTED_EDGE('',*,*,#20910,.F.); #28662=ORIENTED_EDGE('',*,*,#20911,.F.); #28663=ORIENTED_EDGE('',*,*,#20912,.T.); #28664=ORIENTED_EDGE('',*,*,#20911,.T.); #28665=ORIENTED_EDGE('',*,*,#20913,.F.); #28666=ORIENTED_EDGE('',*,*,#20903,.F.); #28667=ORIENTED_EDGE('',*,*,#20914,.F.); #28668=ORIENTED_EDGE('',*,*,#20915,.T.); #28669=ORIENTED_EDGE('',*,*,#20916,.T.); #28670=ORIENTED_EDGE('',*,*,#20915,.F.); #28671=ORIENTED_EDGE('',*,*,#20917,.T.); #28672=ORIENTED_EDGE('',*,*,#20918,.T.); #28673=ORIENTED_EDGE('',*,*,#20919,.F.); #28674=ORIENTED_EDGE('',*,*,#20920,.F.); #28675=ORIENTED_EDGE('',*,*,#20921,.T.); #28676=ORIENTED_EDGE('',*,*,#20920,.T.); #28677=ORIENTED_EDGE('',*,*,#20922,.F.); #28678=ORIENTED_EDGE('',*,*,#20923,.F.); #28679=ORIENTED_EDGE('',*,*,#20924,.T.); #28680=ORIENTED_EDGE('',*,*,#20923,.T.); #28681=ORIENTED_EDGE('',*,*,#20925,.F.); #28682=ORIENTED_EDGE('',*,*,#20926,.F.); #28683=ORIENTED_EDGE('',*,*,#20927,.T.); #28684=ORIENTED_EDGE('',*,*,#20926,.T.); #28685=ORIENTED_EDGE('',*,*,#20928,.F.); #28686=ORIENTED_EDGE('',*,*,#20929,.F.); #28687=ORIENTED_EDGE('',*,*,#20930,.T.); #28688=ORIENTED_EDGE('',*,*,#20929,.T.); #28689=ORIENTED_EDGE('',*,*,#20931,.F.); #28690=ORIENTED_EDGE('',*,*,#20932,.F.); #28691=ORIENTED_EDGE('',*,*,#20933,.T.); #28692=ORIENTED_EDGE('',*,*,#20932,.T.); #28693=ORIENTED_EDGE('',*,*,#20934,.F.); #28694=ORIENTED_EDGE('',*,*,#20935,.F.); #28695=ORIENTED_EDGE('',*,*,#20936,.T.); #28696=ORIENTED_EDGE('',*,*,#20935,.T.); #28697=ORIENTED_EDGE('',*,*,#20937,.F.); #28698=ORIENTED_EDGE('',*,*,#20938,.F.); #28699=ORIENTED_EDGE('',*,*,#20939,.T.); #28700=ORIENTED_EDGE('',*,*,#20938,.T.); #28701=ORIENTED_EDGE('',*,*,#20940,.F.); #28702=ORIENTED_EDGE('',*,*,#20918,.F.); #28703=ORIENTED_EDGE('',*,*,#20941,.F.); #28704=ORIENTED_EDGE('',*,*,#20942,.T.); #28705=ORIENTED_EDGE('',*,*,#20943,.T.); #28706=ORIENTED_EDGE('',*,*,#20942,.F.); #28707=ORIENTED_EDGE('',*,*,#20944,.F.); #28708=ORIENTED_EDGE('',*,*,#20945,.T.); #28709=ORIENTED_EDGE('',*,*,#20946,.T.); #28710=ORIENTED_EDGE('',*,*,#20945,.F.); #28711=ORIENTED_EDGE('',*,*,#20947,.F.); #28712=ORIENTED_EDGE('',*,*,#20948,.T.); #28713=ORIENTED_EDGE('',*,*,#20949,.T.); #28714=ORIENTED_EDGE('',*,*,#20948,.F.); #28715=ORIENTED_EDGE('',*,*,#20950,.F.); #28716=ORIENTED_EDGE('',*,*,#20951,.T.); #28717=ORIENTED_EDGE('',*,*,#20952,.T.); #28718=ORIENTED_EDGE('',*,*,#20951,.F.); #28719=ORIENTED_EDGE('',*,*,#20953,.F.); #28720=ORIENTED_EDGE('',*,*,#20954,.T.); #28721=ORIENTED_EDGE('',*,*,#20955,.T.); #28722=ORIENTED_EDGE('',*,*,#20954,.F.); #28723=ORIENTED_EDGE('',*,*,#20956,.F.); #28724=ORIENTED_EDGE('',*,*,#20957,.T.); #28725=ORIENTED_EDGE('',*,*,#20958,.T.); #28726=ORIENTED_EDGE('',*,*,#20957,.F.); #28727=ORIENTED_EDGE('',*,*,#20959,.T.); #28728=ORIENTED_EDGE('',*,*,#20960,.T.); #28729=ORIENTED_EDGE('',*,*,#20961,.F.); #28730=ORIENTED_EDGE('',*,*,#20962,.F.); #28731=ORIENTED_EDGE('',*,*,#20963,.T.); #28732=ORIENTED_EDGE('',*,*,#20962,.T.); #28733=ORIENTED_EDGE('',*,*,#20964,.F.); #28734=ORIENTED_EDGE('',*,*,#20965,.F.); #28735=ORIENTED_EDGE('',*,*,#20966,.T.); #28736=ORIENTED_EDGE('',*,*,#20965,.T.); #28737=ORIENTED_EDGE('',*,*,#20967,.F.); #28738=ORIENTED_EDGE('',*,*,#20968,.F.); #28739=ORIENTED_EDGE('',*,*,#20969,.T.); #28740=ORIENTED_EDGE('',*,*,#20968,.T.); #28741=ORIENTED_EDGE('',*,*,#20970,.F.); #28742=ORIENTED_EDGE('',*,*,#20960,.F.); #28743=ORIENTED_EDGE('',*,*,#20971,.F.); #28744=ORIENTED_EDGE('',*,*,#20972,.T.); #28745=ORIENTED_EDGE('',*,*,#20973,.T.); #28746=ORIENTED_EDGE('',*,*,#20972,.F.); #28747=ORIENTED_EDGE('',*,*,#20974,.F.); #28748=ORIENTED_EDGE('',*,*,#20975,.T.); #28749=ORIENTED_EDGE('',*,*,#20976,.T.); #28750=ORIENTED_EDGE('',*,*,#20975,.F.); #28751=ORIENTED_EDGE('',*,*,#20977,.T.); #28752=ORIENTED_EDGE('',*,*,#20978,.T.); #28753=ORIENTED_EDGE('',*,*,#20979,.F.); #28754=ORIENTED_EDGE('',*,*,#20980,.F.); #28755=ORIENTED_EDGE('',*,*,#20981,.T.); #28756=ORIENTED_EDGE('',*,*,#20980,.T.); #28757=ORIENTED_EDGE('',*,*,#20982,.F.); #28758=ORIENTED_EDGE('',*,*,#20983,.F.); #28759=ORIENTED_EDGE('',*,*,#20984,.T.); #28760=ORIENTED_EDGE('',*,*,#20983,.T.); #28761=ORIENTED_EDGE('',*,*,#20985,.F.); #28762=ORIENTED_EDGE('',*,*,#20986,.F.); #28763=ORIENTED_EDGE('',*,*,#20987,.T.); #28764=ORIENTED_EDGE('',*,*,#20986,.T.); #28765=ORIENTED_EDGE('',*,*,#20988,.F.); #28766=ORIENTED_EDGE('',*,*,#20978,.F.); #28767=ORIENTED_EDGE('',*,*,#20989,.T.); #28768=ORIENTED_EDGE('',*,*,#20990,.T.); #28769=ORIENTED_EDGE('',*,*,#20991,.F.); #28770=ORIENTED_EDGE('',*,*,#20992,.F.); #28771=ORIENTED_EDGE('',*,*,#20993,.T.); #28772=ORIENTED_EDGE('',*,*,#20992,.T.); #28773=ORIENTED_EDGE('',*,*,#20994,.F.); #28774=ORIENTED_EDGE('',*,*,#20995,.F.); #28775=ORIENTED_EDGE('',*,*,#20996,.T.); #28776=ORIENTED_EDGE('',*,*,#20995,.T.); #28777=ORIENTED_EDGE('',*,*,#20997,.F.); #28778=ORIENTED_EDGE('',*,*,#20998,.F.); #28779=ORIENTED_EDGE('',*,*,#20999,.T.); #28780=ORIENTED_EDGE('',*,*,#20998,.T.); #28781=ORIENTED_EDGE('',*,*,#21000,.F.); #28782=ORIENTED_EDGE('',*,*,#20990,.F.); #28783=ORIENTED_EDGE('',*,*,#21001,.T.); #28784=ORIENTED_EDGE('',*,*,#21002,.T.); #28785=ORIENTED_EDGE('',*,*,#21003,.F.); #28786=ORIENTED_EDGE('',*,*,#21004,.F.); #28787=ORIENTED_EDGE('',*,*,#21005,.T.); #28788=ORIENTED_EDGE('',*,*,#21004,.T.); #28789=ORIENTED_EDGE('',*,*,#21006,.F.); #28790=ORIENTED_EDGE('',*,*,#21007,.F.); #28791=ORIENTED_EDGE('',*,*,#21008,.T.); #28792=ORIENTED_EDGE('',*,*,#21007,.T.); #28793=ORIENTED_EDGE('',*,*,#21009,.F.); #28794=ORIENTED_EDGE('',*,*,#21010,.F.); #28795=ORIENTED_EDGE('',*,*,#21011,.T.); #28796=ORIENTED_EDGE('',*,*,#21010,.T.); #28797=ORIENTED_EDGE('',*,*,#21012,.F.); #28798=ORIENTED_EDGE('',*,*,#21013,.F.); #28799=ORIENTED_EDGE('',*,*,#21014,.T.); #28800=ORIENTED_EDGE('',*,*,#21013,.T.); #28801=ORIENTED_EDGE('',*,*,#21015,.F.); #28802=ORIENTED_EDGE('',*,*,#21016,.F.); #28803=ORIENTED_EDGE('',*,*,#21017,.T.); #28804=ORIENTED_EDGE('',*,*,#21016,.T.); #28805=ORIENTED_EDGE('',*,*,#21018,.F.); #28806=ORIENTED_EDGE('',*,*,#21019,.F.); #28807=ORIENTED_EDGE('',*,*,#21020,.T.); #28808=ORIENTED_EDGE('',*,*,#21019,.T.); #28809=ORIENTED_EDGE('',*,*,#21021,.F.); #28810=ORIENTED_EDGE('',*,*,#21022,.F.); #28811=ORIENTED_EDGE('',*,*,#21023,.T.); #28812=ORIENTED_EDGE('',*,*,#21022,.T.); #28813=ORIENTED_EDGE('',*,*,#21024,.F.); #28814=ORIENTED_EDGE('',*,*,#21002,.F.); #28815=ORIENTED_EDGE('',*,*,#21025,.T.); #28816=ORIENTED_EDGE('',*,*,#21026,.T.); #28817=ORIENTED_EDGE('',*,*,#21027,.F.); #28818=ORIENTED_EDGE('',*,*,#21028,.F.); #28819=ORIENTED_EDGE('',*,*,#21029,.T.); #28820=ORIENTED_EDGE('',*,*,#21028,.T.); #28821=ORIENTED_EDGE('',*,*,#21030,.F.); #28822=ORIENTED_EDGE('',*,*,#21031,.F.); #28823=ORIENTED_EDGE('',*,*,#21032,.T.); #28824=ORIENTED_EDGE('',*,*,#21031,.T.); #28825=ORIENTED_EDGE('',*,*,#21033,.F.); #28826=ORIENTED_EDGE('',*,*,#21034,.F.); #28827=ORIENTED_EDGE('',*,*,#21035,.T.); #28828=ORIENTED_EDGE('',*,*,#21034,.T.); #28829=ORIENTED_EDGE('',*,*,#21036,.F.); #28830=ORIENTED_EDGE('',*,*,#21037,.F.); #28831=ORIENTED_EDGE('',*,*,#21038,.T.); #28832=ORIENTED_EDGE('',*,*,#21037,.T.); #28833=ORIENTED_EDGE('',*,*,#21039,.F.); #28834=ORIENTED_EDGE('',*,*,#21040,.F.); #28835=ORIENTED_EDGE('',*,*,#21041,.T.); #28836=ORIENTED_EDGE('',*,*,#21040,.T.); #28837=ORIENTED_EDGE('',*,*,#21042,.F.); #28838=ORIENTED_EDGE('',*,*,#21043,.F.); #28839=ORIENTED_EDGE('',*,*,#21044,.T.); #28840=ORIENTED_EDGE('',*,*,#21043,.T.); #28841=ORIENTED_EDGE('',*,*,#21045,.F.); #28842=ORIENTED_EDGE('',*,*,#21046,.F.); #28843=ORIENTED_EDGE('',*,*,#21047,.T.); #28844=ORIENTED_EDGE('',*,*,#21046,.T.); #28845=ORIENTED_EDGE('',*,*,#21048,.F.); #28846=ORIENTED_EDGE('',*,*,#21026,.F.); #28847=ORIENTED_EDGE('',*,*,#21049,.T.); #28848=ORIENTED_EDGE('',*,*,#21050,.T.); #28849=ORIENTED_EDGE('',*,*,#21051,.F.); #28850=ORIENTED_EDGE('',*,*,#21052,.F.); #28851=ORIENTED_EDGE('',*,*,#21053,.T.); #28852=ORIENTED_EDGE('',*,*,#21052,.T.); #28853=ORIENTED_EDGE('',*,*,#21054,.F.); #28854=ORIENTED_EDGE('',*,*,#21055,.F.); #28855=ORIENTED_EDGE('',*,*,#21056,.T.); #28856=ORIENTED_EDGE('',*,*,#21055,.T.); #28857=ORIENTED_EDGE('',*,*,#21057,.F.); #28858=ORIENTED_EDGE('',*,*,#21058,.F.); #28859=ORIENTED_EDGE('',*,*,#21059,.T.); #28860=ORIENTED_EDGE('',*,*,#21058,.T.); #28861=ORIENTED_EDGE('',*,*,#21060,.F.); #28862=ORIENTED_EDGE('',*,*,#21061,.F.); #28863=ORIENTED_EDGE('',*,*,#21062,.T.); #28864=ORIENTED_EDGE('',*,*,#21061,.T.); #28865=ORIENTED_EDGE('',*,*,#21063,.F.); #28866=ORIENTED_EDGE('',*,*,#21064,.F.); #28867=ORIENTED_EDGE('',*,*,#21065,.T.); #28868=ORIENTED_EDGE('',*,*,#21064,.T.); #28869=ORIENTED_EDGE('',*,*,#21066,.F.); #28870=ORIENTED_EDGE('',*,*,#21067,.F.); #28871=ORIENTED_EDGE('',*,*,#21068,.T.); #28872=ORIENTED_EDGE('',*,*,#21067,.T.); #28873=ORIENTED_EDGE('',*,*,#21069,.F.); #28874=ORIENTED_EDGE('',*,*,#21070,.F.); #28875=ORIENTED_EDGE('',*,*,#21071,.T.); #28876=ORIENTED_EDGE('',*,*,#21070,.T.); #28877=ORIENTED_EDGE('',*,*,#21072,.F.); #28878=ORIENTED_EDGE('',*,*,#21050,.F.); #28879=ORIENTED_EDGE('',*,*,#21073,.T.); #28880=ORIENTED_EDGE('',*,*,#21074,.T.); #28881=ORIENTED_EDGE('',*,*,#21075,.F.); #28882=ORIENTED_EDGE('',*,*,#21076,.F.); #28883=ORIENTED_EDGE('',*,*,#21077,.T.); #28884=ORIENTED_EDGE('',*,*,#21076,.T.); #28885=ORIENTED_EDGE('',*,*,#21078,.F.); #28886=ORIENTED_EDGE('',*,*,#21079,.F.); #28887=ORIENTED_EDGE('',*,*,#21080,.T.); #28888=ORIENTED_EDGE('',*,*,#21079,.T.); #28889=ORIENTED_EDGE('',*,*,#21081,.F.); #28890=ORIENTED_EDGE('',*,*,#21082,.F.); #28891=ORIENTED_EDGE('',*,*,#21083,.T.); #28892=ORIENTED_EDGE('',*,*,#21082,.T.); #28893=ORIENTED_EDGE('',*,*,#21084,.F.); #28894=ORIENTED_EDGE('',*,*,#21085,.F.); #28895=ORIENTED_EDGE('',*,*,#21086,.T.); #28896=ORIENTED_EDGE('',*,*,#21085,.T.); #28897=ORIENTED_EDGE('',*,*,#21087,.F.); #28898=ORIENTED_EDGE('',*,*,#21088,.F.); #28899=ORIENTED_EDGE('',*,*,#21089,.T.); #28900=ORIENTED_EDGE('',*,*,#21088,.T.); #28901=ORIENTED_EDGE('',*,*,#21090,.F.); #28902=ORIENTED_EDGE('',*,*,#21091,.F.); #28903=ORIENTED_EDGE('',*,*,#21092,.T.); #28904=ORIENTED_EDGE('',*,*,#21091,.T.); #28905=ORIENTED_EDGE('',*,*,#21093,.F.); #28906=ORIENTED_EDGE('',*,*,#21094,.F.); #28907=ORIENTED_EDGE('',*,*,#21095,.T.); #28908=ORIENTED_EDGE('',*,*,#21094,.T.); #28909=ORIENTED_EDGE('',*,*,#21096,.F.); #28910=ORIENTED_EDGE('',*,*,#21074,.F.); #28911=ORIENTED_EDGE('',*,*,#21097,.T.); #28912=ORIENTED_EDGE('',*,*,#21098,.T.); #28913=ORIENTED_EDGE('',*,*,#21099,.F.); #28914=ORIENTED_EDGE('',*,*,#21100,.F.); #28915=ORIENTED_EDGE('',*,*,#21101,.T.); #28916=ORIENTED_EDGE('',*,*,#21100,.T.); #28917=ORIENTED_EDGE('',*,*,#21102,.F.); #28918=ORIENTED_EDGE('',*,*,#21103,.F.); #28919=ORIENTED_EDGE('',*,*,#21104,.T.); #28920=ORIENTED_EDGE('',*,*,#21103,.T.); #28921=ORIENTED_EDGE('',*,*,#21105,.F.); #28922=ORIENTED_EDGE('',*,*,#21106,.F.); #28923=ORIENTED_EDGE('',*,*,#21107,.T.); #28924=ORIENTED_EDGE('',*,*,#21106,.T.); #28925=ORIENTED_EDGE('',*,*,#21108,.F.); #28926=ORIENTED_EDGE('',*,*,#21109,.F.); #28927=ORIENTED_EDGE('',*,*,#21110,.T.); #28928=ORIENTED_EDGE('',*,*,#21109,.T.); #28929=ORIENTED_EDGE('',*,*,#21111,.F.); #28930=ORIENTED_EDGE('',*,*,#21112,.F.); #28931=ORIENTED_EDGE('',*,*,#21113,.T.); #28932=ORIENTED_EDGE('',*,*,#21112,.T.); #28933=ORIENTED_EDGE('',*,*,#21114,.F.); #28934=ORIENTED_EDGE('',*,*,#21115,.F.); #28935=ORIENTED_EDGE('',*,*,#21116,.T.); #28936=ORIENTED_EDGE('',*,*,#21115,.T.); #28937=ORIENTED_EDGE('',*,*,#21117,.F.); #28938=ORIENTED_EDGE('',*,*,#21118,.F.); #28939=ORIENTED_EDGE('',*,*,#21119,.T.); #28940=ORIENTED_EDGE('',*,*,#21118,.T.); #28941=ORIENTED_EDGE('',*,*,#21120,.F.); #28942=ORIENTED_EDGE('',*,*,#21098,.F.); #28943=ORIENTED_EDGE('',*,*,#21121,.T.); #28944=ORIENTED_EDGE('',*,*,#21122,.T.); #28945=ORIENTED_EDGE('',*,*,#21123,.F.); #28946=ORIENTED_EDGE('',*,*,#21124,.F.); #28947=ORIENTED_EDGE('',*,*,#21125,.T.); #28948=ORIENTED_EDGE('',*,*,#21124,.T.); #28949=ORIENTED_EDGE('',*,*,#21126,.F.); #28950=ORIENTED_EDGE('',*,*,#21127,.F.); #28951=ORIENTED_EDGE('',*,*,#21128,.T.); #28952=ORIENTED_EDGE('',*,*,#21127,.T.); #28953=ORIENTED_EDGE('',*,*,#21129,.F.); #28954=ORIENTED_EDGE('',*,*,#21130,.F.); #28955=ORIENTED_EDGE('',*,*,#21131,.T.); #28956=ORIENTED_EDGE('',*,*,#21130,.T.); #28957=ORIENTED_EDGE('',*,*,#21132,.F.); #28958=ORIENTED_EDGE('',*,*,#21133,.F.); #28959=ORIENTED_EDGE('',*,*,#21134,.T.); #28960=ORIENTED_EDGE('',*,*,#21133,.T.); #28961=ORIENTED_EDGE('',*,*,#21135,.F.); #28962=ORIENTED_EDGE('',*,*,#21136,.F.); #28963=ORIENTED_EDGE('',*,*,#21137,.T.); #28964=ORIENTED_EDGE('',*,*,#21136,.T.); #28965=ORIENTED_EDGE('',*,*,#21138,.F.); #28966=ORIENTED_EDGE('',*,*,#21139,.F.); #28967=ORIENTED_EDGE('',*,*,#21140,.T.); #28968=ORIENTED_EDGE('',*,*,#21139,.T.); #28969=ORIENTED_EDGE('',*,*,#21141,.F.); #28970=ORIENTED_EDGE('',*,*,#21142,.F.); #28971=ORIENTED_EDGE('',*,*,#21143,.T.); #28972=ORIENTED_EDGE('',*,*,#21142,.T.); #28973=ORIENTED_EDGE('',*,*,#21144,.F.); #28974=ORIENTED_EDGE('',*,*,#21122,.F.); #28975=ORIENTED_EDGE('',*,*,#21145,.T.); #28976=ORIENTED_EDGE('',*,*,#21146,.T.); #28977=ORIENTED_EDGE('',*,*,#21147,.F.); #28978=ORIENTED_EDGE('',*,*,#21148,.F.); #28979=ORIENTED_EDGE('',*,*,#21149,.T.); #28980=ORIENTED_EDGE('',*,*,#21148,.T.); #28981=ORIENTED_EDGE('',*,*,#21150,.F.); #28982=ORIENTED_EDGE('',*,*,#21151,.F.); #28983=ORIENTED_EDGE('',*,*,#21152,.T.); #28984=ORIENTED_EDGE('',*,*,#21151,.T.); #28985=ORIENTED_EDGE('',*,*,#21153,.F.); #28986=ORIENTED_EDGE('',*,*,#21154,.F.); #28987=ORIENTED_EDGE('',*,*,#21155,.T.); #28988=ORIENTED_EDGE('',*,*,#21154,.T.); #28989=ORIENTED_EDGE('',*,*,#21156,.F.); #28990=ORIENTED_EDGE('',*,*,#21157,.F.); #28991=ORIENTED_EDGE('',*,*,#21158,.T.); #28992=ORIENTED_EDGE('',*,*,#21157,.T.); #28993=ORIENTED_EDGE('',*,*,#21159,.F.); #28994=ORIENTED_EDGE('',*,*,#21160,.F.); #28995=ORIENTED_EDGE('',*,*,#21161,.T.); #28996=ORIENTED_EDGE('',*,*,#21160,.T.); #28997=ORIENTED_EDGE('',*,*,#21162,.F.); #28998=ORIENTED_EDGE('',*,*,#21163,.F.); #28999=ORIENTED_EDGE('',*,*,#21164,.T.); #29000=ORIENTED_EDGE('',*,*,#21163,.T.); #29001=ORIENTED_EDGE('',*,*,#21165,.F.); #29002=ORIENTED_EDGE('',*,*,#21166,.F.); #29003=ORIENTED_EDGE('',*,*,#21167,.T.); #29004=ORIENTED_EDGE('',*,*,#21166,.T.); #29005=ORIENTED_EDGE('',*,*,#21168,.F.); #29006=ORIENTED_EDGE('',*,*,#21146,.F.); #29007=ORIENTED_EDGE('',*,*,#21169,.T.); #29008=ORIENTED_EDGE('',*,*,#21170,.T.); #29009=ORIENTED_EDGE('',*,*,#21171,.F.); #29010=ORIENTED_EDGE('',*,*,#21172,.F.); #29011=ORIENTED_EDGE('',*,*,#21173,.T.); #29012=ORIENTED_EDGE('',*,*,#21172,.T.); #29013=ORIENTED_EDGE('',*,*,#21174,.F.); #29014=ORIENTED_EDGE('',*,*,#21175,.F.); #29015=ORIENTED_EDGE('',*,*,#21176,.T.); #29016=ORIENTED_EDGE('',*,*,#21175,.T.); #29017=ORIENTED_EDGE('',*,*,#21177,.F.); #29018=ORIENTED_EDGE('',*,*,#21178,.F.); #29019=ORIENTED_EDGE('',*,*,#21179,.T.); #29020=ORIENTED_EDGE('',*,*,#21178,.T.); #29021=ORIENTED_EDGE('',*,*,#21180,.F.); #29022=ORIENTED_EDGE('',*,*,#21181,.F.); #29023=ORIENTED_EDGE('',*,*,#21182,.T.); #29024=ORIENTED_EDGE('',*,*,#21181,.T.); #29025=ORIENTED_EDGE('',*,*,#21183,.F.); #29026=ORIENTED_EDGE('',*,*,#21184,.F.); #29027=ORIENTED_EDGE('',*,*,#21185,.T.); #29028=ORIENTED_EDGE('',*,*,#21184,.T.); #29029=ORIENTED_EDGE('',*,*,#21186,.F.); #29030=ORIENTED_EDGE('',*,*,#21187,.F.); #29031=ORIENTED_EDGE('',*,*,#21188,.T.); #29032=ORIENTED_EDGE('',*,*,#21187,.T.); #29033=ORIENTED_EDGE('',*,*,#21189,.F.); #29034=ORIENTED_EDGE('',*,*,#21190,.F.); #29035=ORIENTED_EDGE('',*,*,#21191,.T.); #29036=ORIENTED_EDGE('',*,*,#21190,.T.); #29037=ORIENTED_EDGE('',*,*,#21192,.F.); #29038=ORIENTED_EDGE('',*,*,#21170,.F.); #29039=ORIENTED_EDGE('',*,*,#21193,.T.); #29040=ORIENTED_EDGE('',*,*,#21194,.T.); #29041=ORIENTED_EDGE('',*,*,#21195,.F.); #29042=ORIENTED_EDGE('',*,*,#21196,.F.); #29043=ORIENTED_EDGE('',*,*,#21197,.T.); #29044=ORIENTED_EDGE('',*,*,#21196,.T.); #29045=ORIENTED_EDGE('',*,*,#21198,.F.); #29046=ORIENTED_EDGE('',*,*,#21199,.F.); #29047=ORIENTED_EDGE('',*,*,#21200,.T.); #29048=ORIENTED_EDGE('',*,*,#21199,.T.); #29049=ORIENTED_EDGE('',*,*,#21201,.F.); #29050=ORIENTED_EDGE('',*,*,#21202,.F.); #29051=ORIENTED_EDGE('',*,*,#21203,.T.); #29052=ORIENTED_EDGE('',*,*,#21202,.T.); #29053=ORIENTED_EDGE('',*,*,#21204,.F.); #29054=ORIENTED_EDGE('',*,*,#21205,.F.); #29055=ORIENTED_EDGE('',*,*,#21206,.T.); #29056=ORIENTED_EDGE('',*,*,#21205,.T.); #29057=ORIENTED_EDGE('',*,*,#21207,.F.); #29058=ORIENTED_EDGE('',*,*,#21208,.F.); #29059=ORIENTED_EDGE('',*,*,#21209,.T.); #29060=ORIENTED_EDGE('',*,*,#21208,.T.); #29061=ORIENTED_EDGE('',*,*,#21210,.F.); #29062=ORIENTED_EDGE('',*,*,#21211,.F.); #29063=ORIENTED_EDGE('',*,*,#21212,.T.); #29064=ORIENTED_EDGE('',*,*,#21211,.T.); #29065=ORIENTED_EDGE('',*,*,#21213,.F.); #29066=ORIENTED_EDGE('',*,*,#21214,.F.); #29067=ORIENTED_EDGE('',*,*,#21215,.T.); #29068=ORIENTED_EDGE('',*,*,#21214,.T.); #29069=ORIENTED_EDGE('',*,*,#21216,.F.); #29070=ORIENTED_EDGE('',*,*,#21194,.F.); #29071=ORIENTED_EDGE('',*,*,#21217,.T.); #29072=ORIENTED_EDGE('',*,*,#21218,.T.); #29073=ORIENTED_EDGE('',*,*,#21219,.F.); #29074=ORIENTED_EDGE('',*,*,#21220,.F.); #29075=ORIENTED_EDGE('',*,*,#21221,.T.); #29076=ORIENTED_EDGE('',*,*,#21220,.T.); #29077=ORIENTED_EDGE('',*,*,#21222,.F.); #29078=ORIENTED_EDGE('',*,*,#21223,.F.); #29079=ORIENTED_EDGE('',*,*,#21224,.T.); #29080=ORIENTED_EDGE('',*,*,#21223,.T.); #29081=ORIENTED_EDGE('',*,*,#21225,.F.); #29082=ORIENTED_EDGE('',*,*,#21226,.F.); #29083=ORIENTED_EDGE('',*,*,#21227,.T.); #29084=ORIENTED_EDGE('',*,*,#21226,.T.); #29085=ORIENTED_EDGE('',*,*,#21228,.F.); #29086=ORIENTED_EDGE('',*,*,#21229,.F.); #29087=ORIENTED_EDGE('',*,*,#21230,.T.); #29088=ORIENTED_EDGE('',*,*,#21229,.T.); #29089=ORIENTED_EDGE('',*,*,#21231,.F.); #29090=ORIENTED_EDGE('',*,*,#21232,.F.); #29091=ORIENTED_EDGE('',*,*,#21233,.T.); #29092=ORIENTED_EDGE('',*,*,#21232,.T.); #29093=ORIENTED_EDGE('',*,*,#21234,.F.); #29094=ORIENTED_EDGE('',*,*,#21235,.F.); #29095=ORIENTED_EDGE('',*,*,#21236,.T.); #29096=ORIENTED_EDGE('',*,*,#21235,.T.); #29097=ORIENTED_EDGE('',*,*,#21237,.F.); #29098=ORIENTED_EDGE('',*,*,#21238,.F.); #29099=ORIENTED_EDGE('',*,*,#21239,.T.); #29100=ORIENTED_EDGE('',*,*,#21238,.T.); #29101=ORIENTED_EDGE('',*,*,#21240,.F.); #29102=ORIENTED_EDGE('',*,*,#21218,.F.); #29103=ORIENTED_EDGE('',*,*,#21241,.T.); #29104=ORIENTED_EDGE('',*,*,#21242,.T.); #29105=ORIENTED_EDGE('',*,*,#21243,.F.); #29106=ORIENTED_EDGE('',*,*,#21244,.F.); #29107=ORIENTED_EDGE('',*,*,#21245,.T.); #29108=ORIENTED_EDGE('',*,*,#21244,.T.); #29109=ORIENTED_EDGE('',*,*,#21246,.F.); #29110=ORIENTED_EDGE('',*,*,#21247,.F.); #29111=ORIENTED_EDGE('',*,*,#21248,.T.); #29112=ORIENTED_EDGE('',*,*,#21247,.T.); #29113=ORIENTED_EDGE('',*,*,#21249,.F.); #29114=ORIENTED_EDGE('',*,*,#21250,.F.); #29115=ORIENTED_EDGE('',*,*,#21251,.T.); #29116=ORIENTED_EDGE('',*,*,#21250,.T.); #29117=ORIENTED_EDGE('',*,*,#21252,.F.); #29118=ORIENTED_EDGE('',*,*,#21253,.F.); #29119=ORIENTED_EDGE('',*,*,#21254,.T.); #29120=ORIENTED_EDGE('',*,*,#21253,.T.); #29121=ORIENTED_EDGE('',*,*,#21255,.F.); #29122=ORIENTED_EDGE('',*,*,#21256,.F.); #29123=ORIENTED_EDGE('',*,*,#21257,.T.); #29124=ORIENTED_EDGE('',*,*,#21256,.T.); #29125=ORIENTED_EDGE('',*,*,#21258,.F.); #29126=ORIENTED_EDGE('',*,*,#21259,.F.); #29127=ORIENTED_EDGE('',*,*,#21260,.T.); #29128=ORIENTED_EDGE('',*,*,#21259,.T.); #29129=ORIENTED_EDGE('',*,*,#21261,.F.); #29130=ORIENTED_EDGE('',*,*,#21262,.F.); #29131=ORIENTED_EDGE('',*,*,#21263,.T.); #29132=ORIENTED_EDGE('',*,*,#21262,.T.); #29133=ORIENTED_EDGE('',*,*,#21264,.F.); #29134=ORIENTED_EDGE('',*,*,#21242,.F.); #29135=ORIENTED_EDGE('',*,*,#21265,.T.); #29136=ORIENTED_EDGE('',*,*,#21266,.T.); #29137=ORIENTED_EDGE('',*,*,#21267,.F.); #29138=ORIENTED_EDGE('',*,*,#21268,.F.); #29139=ORIENTED_EDGE('',*,*,#21269,.T.); #29140=ORIENTED_EDGE('',*,*,#21268,.T.); #29141=ORIENTED_EDGE('',*,*,#21270,.F.); #29142=ORIENTED_EDGE('',*,*,#21271,.F.); #29143=ORIENTED_EDGE('',*,*,#21272,.T.); #29144=ORIENTED_EDGE('',*,*,#21271,.T.); #29145=ORIENTED_EDGE('',*,*,#21273,.F.); #29146=ORIENTED_EDGE('',*,*,#21274,.F.); #29147=ORIENTED_EDGE('',*,*,#21275,.T.); #29148=ORIENTED_EDGE('',*,*,#21274,.T.); #29149=ORIENTED_EDGE('',*,*,#21276,.F.); #29150=ORIENTED_EDGE('',*,*,#21277,.F.); #29151=ORIENTED_EDGE('',*,*,#21278,.T.); #29152=ORIENTED_EDGE('',*,*,#21277,.T.); #29153=ORIENTED_EDGE('',*,*,#21279,.F.); #29154=ORIENTED_EDGE('',*,*,#21280,.F.); #29155=ORIENTED_EDGE('',*,*,#21281,.T.); #29156=ORIENTED_EDGE('',*,*,#21280,.T.); #29157=ORIENTED_EDGE('',*,*,#21282,.F.); #29158=ORIENTED_EDGE('',*,*,#21283,.F.); #29159=ORIENTED_EDGE('',*,*,#21284,.T.); #29160=ORIENTED_EDGE('',*,*,#21283,.T.); #29161=ORIENTED_EDGE('',*,*,#21285,.F.); #29162=ORIENTED_EDGE('',*,*,#21286,.F.); #29163=ORIENTED_EDGE('',*,*,#21287,.T.); #29164=ORIENTED_EDGE('',*,*,#21286,.T.); #29165=ORIENTED_EDGE('',*,*,#21288,.F.); #29166=ORIENTED_EDGE('',*,*,#21266,.F.); #29167=ORIENTED_EDGE('',*,*,#21289,.T.); #29168=ORIENTED_EDGE('',*,*,#21290,.T.); #29169=ORIENTED_EDGE('',*,*,#21291,.F.); #29170=ORIENTED_EDGE('',*,*,#21292,.F.); #29171=ORIENTED_EDGE('',*,*,#21293,.T.); #29172=ORIENTED_EDGE('',*,*,#21292,.T.); #29173=ORIENTED_EDGE('',*,*,#21294,.F.); #29174=ORIENTED_EDGE('',*,*,#21295,.F.); #29175=ORIENTED_EDGE('',*,*,#21296,.T.); #29176=ORIENTED_EDGE('',*,*,#21295,.T.); #29177=ORIENTED_EDGE('',*,*,#21297,.F.); #29178=ORIENTED_EDGE('',*,*,#21298,.F.); #29179=ORIENTED_EDGE('',*,*,#21299,.T.); #29180=ORIENTED_EDGE('',*,*,#21298,.T.); #29181=ORIENTED_EDGE('',*,*,#21300,.F.); #29182=ORIENTED_EDGE('',*,*,#21290,.F.); #29183=ORIENTED_EDGE('',*,*,#21301,.T.); #29184=ORIENTED_EDGE('',*,*,#21302,.T.); #29185=ORIENTED_EDGE('',*,*,#21303,.F.); #29186=ORIENTED_EDGE('',*,*,#21304,.F.); #29187=ORIENTED_EDGE('',*,*,#21305,.T.); #29188=ORIENTED_EDGE('',*,*,#21304,.T.); #29189=ORIENTED_EDGE('',*,*,#21306,.F.); #29190=ORIENTED_EDGE('',*,*,#21307,.F.); #29191=ORIENTED_EDGE('',*,*,#21308,.T.); #29192=ORIENTED_EDGE('',*,*,#21307,.T.); #29193=ORIENTED_EDGE('',*,*,#21309,.F.); #29194=ORIENTED_EDGE('',*,*,#21310,.F.); #29195=ORIENTED_EDGE('',*,*,#21311,.T.); #29196=ORIENTED_EDGE('',*,*,#21310,.T.); #29197=ORIENTED_EDGE('',*,*,#21312,.F.); #29198=ORIENTED_EDGE('',*,*,#21313,.F.); #29199=ORIENTED_EDGE('',*,*,#21314,.T.); #29200=ORIENTED_EDGE('',*,*,#21313,.T.); #29201=ORIENTED_EDGE('',*,*,#21315,.F.); #29202=ORIENTED_EDGE('',*,*,#21316,.F.); #29203=ORIENTED_EDGE('',*,*,#21317,.T.); #29204=ORIENTED_EDGE('',*,*,#21316,.T.); #29205=ORIENTED_EDGE('',*,*,#21318,.F.); #29206=ORIENTED_EDGE('',*,*,#21319,.F.); #29207=ORIENTED_EDGE('',*,*,#21320,.T.); #29208=ORIENTED_EDGE('',*,*,#21319,.T.); #29209=ORIENTED_EDGE('',*,*,#21321,.F.); #29210=ORIENTED_EDGE('',*,*,#21322,.F.); #29211=ORIENTED_EDGE('',*,*,#21323,.T.); #29212=ORIENTED_EDGE('',*,*,#21322,.T.); #29213=ORIENTED_EDGE('',*,*,#21324,.F.); #29214=ORIENTED_EDGE('',*,*,#21302,.F.); #29215=ORIENTED_EDGE('',*,*,#21325,.T.); #29216=ORIENTED_EDGE('',*,*,#21326,.T.); #29217=ORIENTED_EDGE('',*,*,#21327,.F.); #29218=ORIENTED_EDGE('',*,*,#21328,.F.); #29219=ORIENTED_EDGE('',*,*,#21329,.T.); #29220=ORIENTED_EDGE('',*,*,#21328,.T.); #29221=ORIENTED_EDGE('',*,*,#21330,.F.); #29222=ORIENTED_EDGE('',*,*,#21331,.F.); #29223=ORIENTED_EDGE('',*,*,#21332,.T.); #29224=ORIENTED_EDGE('',*,*,#21331,.T.); #29225=ORIENTED_EDGE('',*,*,#21333,.F.); #29226=ORIENTED_EDGE('',*,*,#21334,.F.); #29227=ORIENTED_EDGE('',*,*,#21335,.T.); #29228=ORIENTED_EDGE('',*,*,#21334,.T.); #29229=ORIENTED_EDGE('',*,*,#21336,.F.); #29230=ORIENTED_EDGE('',*,*,#21337,.F.); #29231=ORIENTED_EDGE('',*,*,#21338,.T.); #29232=ORIENTED_EDGE('',*,*,#21337,.T.); #29233=ORIENTED_EDGE('',*,*,#21339,.F.); #29234=ORIENTED_EDGE('',*,*,#21340,.F.); #29235=ORIENTED_EDGE('',*,*,#21341,.T.); #29236=ORIENTED_EDGE('',*,*,#21340,.T.); #29237=ORIENTED_EDGE('',*,*,#21342,.F.); #29238=ORIENTED_EDGE('',*,*,#21343,.F.); #29239=ORIENTED_EDGE('',*,*,#21344,.T.); #29240=ORIENTED_EDGE('',*,*,#21343,.T.); #29241=ORIENTED_EDGE('',*,*,#21345,.F.); #29242=ORIENTED_EDGE('',*,*,#21346,.F.); #29243=ORIENTED_EDGE('',*,*,#21347,.T.); #29244=ORIENTED_EDGE('',*,*,#21346,.T.); #29245=ORIENTED_EDGE('',*,*,#21348,.F.); #29246=ORIENTED_EDGE('',*,*,#21326,.F.); #29247=ORIENTED_EDGE('',*,*,#21349,.T.); #29248=ORIENTED_EDGE('',*,*,#21350,.T.); #29249=ORIENTED_EDGE('',*,*,#21351,.F.); #29250=ORIENTED_EDGE('',*,*,#21352,.F.); #29251=ORIENTED_EDGE('',*,*,#21353,.T.); #29252=ORIENTED_EDGE('',*,*,#21352,.T.); #29253=ORIENTED_EDGE('',*,*,#21354,.F.); #29254=ORIENTED_EDGE('',*,*,#21355,.F.); #29255=ORIENTED_EDGE('',*,*,#21356,.T.); #29256=ORIENTED_EDGE('',*,*,#21355,.T.); #29257=ORIENTED_EDGE('',*,*,#21357,.F.); #29258=ORIENTED_EDGE('',*,*,#21358,.F.); #29259=ORIENTED_EDGE('',*,*,#21359,.T.); #29260=ORIENTED_EDGE('',*,*,#21358,.T.); #29261=ORIENTED_EDGE('',*,*,#21360,.F.); #29262=ORIENTED_EDGE('',*,*,#21361,.F.); #29263=ORIENTED_EDGE('',*,*,#21362,.T.); #29264=ORIENTED_EDGE('',*,*,#21361,.T.); #29265=ORIENTED_EDGE('',*,*,#21363,.F.); #29266=ORIENTED_EDGE('',*,*,#21364,.F.); #29267=ORIENTED_EDGE('',*,*,#21365,.T.); #29268=ORIENTED_EDGE('',*,*,#21364,.T.); #29269=ORIENTED_EDGE('',*,*,#21366,.F.); #29270=ORIENTED_EDGE('',*,*,#21367,.F.); #29271=ORIENTED_EDGE('',*,*,#21368,.T.); #29272=ORIENTED_EDGE('',*,*,#21367,.T.); #29273=ORIENTED_EDGE('',*,*,#21369,.F.); #29274=ORIENTED_EDGE('',*,*,#21370,.F.); #29275=ORIENTED_EDGE('',*,*,#21371,.T.); #29276=ORIENTED_EDGE('',*,*,#21370,.T.); #29277=ORIENTED_EDGE('',*,*,#21372,.F.); #29278=ORIENTED_EDGE('',*,*,#21350,.F.); #29279=ORIENTED_EDGE('',*,*,#21373,.T.); #29280=ORIENTED_EDGE('',*,*,#21374,.T.); #29281=ORIENTED_EDGE('',*,*,#21375,.F.); #29282=ORIENTED_EDGE('',*,*,#21376,.F.); #29283=ORIENTED_EDGE('',*,*,#21377,.T.); #29284=ORIENTED_EDGE('',*,*,#21376,.T.); #29285=ORIENTED_EDGE('',*,*,#21378,.F.); #29286=ORIENTED_EDGE('',*,*,#21379,.F.); #29287=ORIENTED_EDGE('',*,*,#21380,.T.); #29288=ORIENTED_EDGE('',*,*,#21379,.T.); #29289=ORIENTED_EDGE('',*,*,#21381,.F.); #29290=ORIENTED_EDGE('',*,*,#21382,.F.); #29291=ORIENTED_EDGE('',*,*,#21383,.T.); #29292=ORIENTED_EDGE('',*,*,#21382,.T.); #29293=ORIENTED_EDGE('',*,*,#21384,.F.); #29294=ORIENTED_EDGE('',*,*,#21374,.F.); #29295=ORIENTED_EDGE('',*,*,#21385,.T.); #29296=ORIENTED_EDGE('',*,*,#21386,.T.); #29297=ORIENTED_EDGE('',*,*,#21387,.F.); #29298=ORIENTED_EDGE('',*,*,#21388,.F.); #29299=ORIENTED_EDGE('',*,*,#21389,.T.); #29300=ORIENTED_EDGE('',*,*,#21388,.T.); #29301=ORIENTED_EDGE('',*,*,#21390,.F.); #29302=ORIENTED_EDGE('',*,*,#21391,.F.); #29303=ORIENTED_EDGE('',*,*,#21392,.T.); #29304=ORIENTED_EDGE('',*,*,#21391,.T.); #29305=ORIENTED_EDGE('',*,*,#21393,.F.); #29306=ORIENTED_EDGE('',*,*,#21394,.F.); #29307=ORIENTED_EDGE('',*,*,#21395,.T.); #29308=ORIENTED_EDGE('',*,*,#21394,.T.); #29309=ORIENTED_EDGE('',*,*,#21396,.F.); #29310=ORIENTED_EDGE('',*,*,#21386,.F.); #29311=ORIENTED_EDGE('',*,*,#21397,.T.); #29312=ORIENTED_EDGE('',*,*,#21398,.T.); #29313=ORIENTED_EDGE('',*,*,#21399,.F.); #29314=ORIENTED_EDGE('',*,*,#21400,.F.); #29315=ORIENTED_EDGE('',*,*,#21401,.T.); #29316=ORIENTED_EDGE('',*,*,#21400,.T.); #29317=ORIENTED_EDGE('',*,*,#21402,.F.); #29318=ORIENTED_EDGE('',*,*,#21403,.F.); #29319=ORIENTED_EDGE('',*,*,#21404,.T.); #29320=ORIENTED_EDGE('',*,*,#21403,.T.); #29321=ORIENTED_EDGE('',*,*,#21405,.F.); #29322=ORIENTED_EDGE('',*,*,#21406,.F.); #29323=ORIENTED_EDGE('',*,*,#21407,.T.); #29324=ORIENTED_EDGE('',*,*,#21406,.T.); #29325=ORIENTED_EDGE('',*,*,#21408,.F.); #29326=ORIENTED_EDGE('',*,*,#21398,.F.); #29327=ORIENTED_EDGE('',*,*,#21409,.T.); #29328=ORIENTED_EDGE('',*,*,#21410,.T.); #29329=ORIENTED_EDGE('',*,*,#21411,.F.); #29330=ORIENTED_EDGE('',*,*,#21412,.F.); #29331=ORIENTED_EDGE('',*,*,#21413,.T.); #29332=ORIENTED_EDGE('',*,*,#21412,.T.); #29333=ORIENTED_EDGE('',*,*,#21414,.F.); #29334=ORIENTED_EDGE('',*,*,#21415,.F.); #29335=ORIENTED_EDGE('',*,*,#21416,.T.); #29336=ORIENTED_EDGE('',*,*,#21415,.T.); #29337=ORIENTED_EDGE('',*,*,#21417,.F.); #29338=ORIENTED_EDGE('',*,*,#21418,.F.); #29339=ORIENTED_EDGE('',*,*,#21419,.T.); #29340=ORIENTED_EDGE('',*,*,#21418,.T.); #29341=ORIENTED_EDGE('',*,*,#21420,.F.); #29342=ORIENTED_EDGE('',*,*,#21410,.F.); #29343=ORIENTED_EDGE('',*,*,#21421,.T.); #29344=ORIENTED_EDGE('',*,*,#21422,.T.); #29345=ORIENTED_EDGE('',*,*,#21423,.F.); #29346=ORIENTED_EDGE('',*,*,#21424,.F.); #29347=ORIENTED_EDGE('',*,*,#21425,.T.); #29348=ORIENTED_EDGE('',*,*,#21424,.T.); #29349=ORIENTED_EDGE('',*,*,#21426,.F.); #29350=ORIENTED_EDGE('',*,*,#21427,.F.); #29351=ORIENTED_EDGE('',*,*,#21428,.T.); #29352=ORIENTED_EDGE('',*,*,#21427,.T.); #29353=ORIENTED_EDGE('',*,*,#21429,.F.); #29354=ORIENTED_EDGE('',*,*,#21430,.F.); #29355=ORIENTED_EDGE('',*,*,#21431,.T.); #29356=ORIENTED_EDGE('',*,*,#21430,.T.); #29357=ORIENTED_EDGE('',*,*,#21432,.F.); #29358=ORIENTED_EDGE('',*,*,#21433,.F.); #29359=ORIENTED_EDGE('',*,*,#21434,.T.); #29360=ORIENTED_EDGE('',*,*,#21433,.T.); #29361=ORIENTED_EDGE('',*,*,#21435,.F.); #29362=ORIENTED_EDGE('',*,*,#21436,.F.); #29363=ORIENTED_EDGE('',*,*,#21437,.T.); #29364=ORIENTED_EDGE('',*,*,#21436,.T.); #29365=ORIENTED_EDGE('',*,*,#21438,.F.); #29366=ORIENTED_EDGE('',*,*,#21439,.F.); #29367=ORIENTED_EDGE('',*,*,#21440,.T.); #29368=ORIENTED_EDGE('',*,*,#21439,.T.); #29369=ORIENTED_EDGE('',*,*,#21441,.F.); #29370=ORIENTED_EDGE('',*,*,#21442,.F.); #29371=ORIENTED_EDGE('',*,*,#21443,.T.); #29372=ORIENTED_EDGE('',*,*,#21442,.T.); #29373=ORIENTED_EDGE('',*,*,#21444,.F.); #29374=ORIENTED_EDGE('',*,*,#21422,.F.); #29375=ORIENTED_EDGE('',*,*,#21445,.F.); #29376=ORIENTED_EDGE('',*,*,#21446,.T.); #29377=ORIENTED_EDGE('',*,*,#21447,.T.); #29378=ORIENTED_EDGE('',*,*,#21446,.F.); #29379=ORIENTED_EDGE('',*,*,#21448,.T.); #29380=ORIENTED_EDGE('',*,*,#21449,.T.); #29381=ORIENTED_EDGE('',*,*,#21450,.F.); #29382=ORIENTED_EDGE('',*,*,#21451,.F.); #29383=ORIENTED_EDGE('',*,*,#21452,.T.); #29384=ORIENTED_EDGE('',*,*,#21451,.T.); #29385=ORIENTED_EDGE('',*,*,#21453,.F.); #29386=ORIENTED_EDGE('',*,*,#21454,.F.); #29387=ORIENTED_EDGE('',*,*,#21455,.T.); #29388=ORIENTED_EDGE('',*,*,#21454,.T.); #29389=ORIENTED_EDGE('',*,*,#21456,.F.); #29390=ORIENTED_EDGE('',*,*,#21457,.F.); #29391=ORIENTED_EDGE('',*,*,#21458,.T.); #29392=ORIENTED_EDGE('',*,*,#21457,.T.); #29393=ORIENTED_EDGE('',*,*,#21459,.F.); #29394=ORIENTED_EDGE('',*,*,#21460,.F.); #29395=ORIENTED_EDGE('',*,*,#21461,.T.); #29396=ORIENTED_EDGE('',*,*,#21460,.T.); #29397=ORIENTED_EDGE('',*,*,#21462,.F.); #29398=ORIENTED_EDGE('',*,*,#21463,.F.); #29399=ORIENTED_EDGE('',*,*,#21464,.T.); #29400=ORIENTED_EDGE('',*,*,#21463,.T.); #29401=ORIENTED_EDGE('',*,*,#21465,.F.); #29402=ORIENTED_EDGE('',*,*,#21466,.F.); #29403=ORIENTED_EDGE('',*,*,#21467,.T.); #29404=ORIENTED_EDGE('',*,*,#21466,.T.); #29405=ORIENTED_EDGE('',*,*,#21468,.F.); #29406=ORIENTED_EDGE('',*,*,#21469,.F.); #29407=ORIENTED_EDGE('',*,*,#21470,.T.); #29408=ORIENTED_EDGE('',*,*,#21469,.T.); #29409=ORIENTED_EDGE('',*,*,#21471,.F.); #29410=ORIENTED_EDGE('',*,*,#21449,.F.); #29411=ORIENTED_EDGE('',*,*,#21472,.T.); #29412=ORIENTED_EDGE('',*,*,#21473,.T.); #29413=ORIENTED_EDGE('',*,*,#21474,.F.); #29414=ORIENTED_EDGE('',*,*,#21475,.F.); #29415=ORIENTED_EDGE('',*,*,#21476,.T.); #29416=ORIENTED_EDGE('',*,*,#21475,.T.); #29417=ORIENTED_EDGE('',*,*,#21477,.F.); #29418=ORIENTED_EDGE('',*,*,#21478,.F.); #29419=ORIENTED_EDGE('',*,*,#21479,.T.); #29420=ORIENTED_EDGE('',*,*,#21478,.T.); #29421=ORIENTED_EDGE('',*,*,#21480,.F.); #29422=ORIENTED_EDGE('',*,*,#21481,.F.); #29423=ORIENTED_EDGE('',*,*,#21482,.T.); #29424=ORIENTED_EDGE('',*,*,#21481,.T.); #29425=ORIENTED_EDGE('',*,*,#21483,.F.); #29426=ORIENTED_EDGE('',*,*,#21473,.F.); #29427=ORIENTED_EDGE('',*,*,#21484,.T.); #29428=ORIENTED_EDGE('',*,*,#21485,.T.); #29429=ORIENTED_EDGE('',*,*,#21486,.F.); #29430=ORIENTED_EDGE('',*,*,#21487,.F.); #29431=ORIENTED_EDGE('',*,*,#21488,.T.); #29432=ORIENTED_EDGE('',*,*,#21487,.T.); #29433=ORIENTED_EDGE('',*,*,#21489,.F.); #29434=ORIENTED_EDGE('',*,*,#21490,.F.); #29435=ORIENTED_EDGE('',*,*,#21491,.T.); #29436=ORIENTED_EDGE('',*,*,#21490,.T.); #29437=ORIENTED_EDGE('',*,*,#21492,.F.); #29438=ORIENTED_EDGE('',*,*,#21493,.F.); #29439=ORIENTED_EDGE('',*,*,#21494,.T.); #29440=ORIENTED_EDGE('',*,*,#21493,.T.); #29441=ORIENTED_EDGE('',*,*,#21495,.F.); #29442=ORIENTED_EDGE('',*,*,#21485,.F.); #29443=ORIENTED_EDGE('',*,*,#21496,.F.); #29444=ORIENTED_EDGE('',*,*,#21497,.T.); #29445=ORIENTED_EDGE('',*,*,#21498,.T.); #29446=ORIENTED_EDGE('',*,*,#21497,.F.); #29447=ORIENTED_EDGE('',*,*,#21499,.T.); #29448=ORIENTED_EDGE('',*,*,#21500,.T.); #29449=ORIENTED_EDGE('',*,*,#21501,.F.); #29450=ORIENTED_EDGE('',*,*,#21502,.F.); #29451=ORIENTED_EDGE('',*,*,#21503,.T.); #29452=ORIENTED_EDGE('',*,*,#21502,.T.); #29453=ORIENTED_EDGE('',*,*,#21504,.F.); #29454=ORIENTED_EDGE('',*,*,#21505,.F.); #29455=ORIENTED_EDGE('',*,*,#21506,.T.); #29456=ORIENTED_EDGE('',*,*,#21505,.T.); #29457=ORIENTED_EDGE('',*,*,#21507,.F.); #29458=ORIENTED_EDGE('',*,*,#21508,.F.); #29459=ORIENTED_EDGE('',*,*,#21509,.T.); #29460=ORIENTED_EDGE('',*,*,#21508,.T.); #29461=ORIENTED_EDGE('',*,*,#21510,.F.); #29462=ORIENTED_EDGE('',*,*,#21500,.F.); #29463=ORIENTED_EDGE('',*,*,#21511,.F.); #29464=ORIENTED_EDGE('',*,*,#21512,.T.); #29465=ORIENTED_EDGE('',*,*,#21513,.T.); #29466=ORIENTED_EDGE('',*,*,#21512,.F.); #29467=ORIENTED_EDGE('',*,*,#21514,.T.); #29468=ORIENTED_EDGE('',*,*,#21515,.T.); #29469=ORIENTED_EDGE('',*,*,#21516,.F.); #29470=ORIENTED_EDGE('',*,*,#21517,.F.); #29471=ORIENTED_EDGE('',*,*,#21518,.T.); #29472=ORIENTED_EDGE('',*,*,#21517,.T.); #29473=ORIENTED_EDGE('',*,*,#21519,.F.); #29474=ORIENTED_EDGE('',*,*,#21520,.F.); #29475=ORIENTED_EDGE('',*,*,#21521,.T.); #29476=ORIENTED_EDGE('',*,*,#21520,.T.); #29477=ORIENTED_EDGE('',*,*,#21522,.F.); #29478=ORIENTED_EDGE('',*,*,#21523,.F.); #29479=ORIENTED_EDGE('',*,*,#21524,.T.); #29480=ORIENTED_EDGE('',*,*,#21523,.T.); #29481=ORIENTED_EDGE('',*,*,#21525,.F.); #29482=ORIENTED_EDGE('',*,*,#21515,.F.); #29483=ORIENTED_EDGE('',*,*,#21526,.F.); #29484=ORIENTED_EDGE('',*,*,#21527,.T.); #29485=ORIENTED_EDGE('',*,*,#21528,.F.); #29486=ORIENTED_EDGE('',*,*,#21529,.F.); #29487=ORIENTED_EDGE('',*,*,#21530,.T.); #29488=ORIENTED_EDGE('',*,*,#21529,.T.); #29489=ORIENTED_EDGE('',*,*,#21531,.F.); #29490=ORIENTED_EDGE('',*,*,#21532,.F.); #29491=ORIENTED_EDGE('',*,*,#21533,.F.); #29492=ORIENTED_EDGE('',*,*,#21532,.T.); #29493=ORIENTED_EDGE('',*,*,#21534,.F.); #29494=ORIENTED_EDGE('',*,*,#21535,.F.); #29495=ORIENTED_EDGE('',*,*,#21536,.T.); #29496=ORIENTED_EDGE('',*,*,#21535,.T.); #29497=ORIENTED_EDGE('',*,*,#21537,.F.); #29498=ORIENTED_EDGE('',*,*,#21538,.F.); #29499=ORIENTED_EDGE('',*,*,#21539,.F.); #29500=ORIENTED_EDGE('',*,*,#21538,.T.); #29501=ORIENTED_EDGE('',*,*,#21540,.F.); #29502=ORIENTED_EDGE('',*,*,#21541,.F.); #29503=ORIENTED_EDGE('',*,*,#21542,.T.); #29504=ORIENTED_EDGE('',*,*,#21541,.T.); #29505=ORIENTED_EDGE('',*,*,#21543,.F.); #29506=ORIENTED_EDGE('',*,*,#21544,.F.); #29507=ORIENTED_EDGE('',*,*,#21545,.F.); #29508=ORIENTED_EDGE('',*,*,#21544,.T.); #29509=ORIENTED_EDGE('',*,*,#21546,.F.); #29510=ORIENTED_EDGE('',*,*,#21547,.F.); #29511=ORIENTED_EDGE('',*,*,#21548,.T.); #29512=ORIENTED_EDGE('',*,*,#21547,.T.); #29513=ORIENTED_EDGE('',*,*,#21549,.F.); #29514=ORIENTED_EDGE('',*,*,#21527,.F.); #29515=ORIENTED_EDGE('',*,*,#21549,.T.); #29516=ORIENTED_EDGE('',*,*,#21546,.T.); #29517=ORIENTED_EDGE('',*,*,#21543,.T.); #29518=ORIENTED_EDGE('',*,*,#21540,.T.); #29519=ORIENTED_EDGE('',*,*,#21537,.T.); #29520=ORIENTED_EDGE('',*,*,#21534,.T.); #29521=ORIENTED_EDGE('',*,*,#21531,.T.); #29522=ORIENTED_EDGE('',*,*,#21528,.T.); #29523=ORIENTED_EDGE('',*,*,#21525,.T.); #29524=ORIENTED_EDGE('',*,*,#21522,.T.); #29525=ORIENTED_EDGE('',*,*,#21519,.T.); #29526=ORIENTED_EDGE('',*,*,#21516,.T.); #29527=ORIENTED_EDGE('',*,*,#21511,.T.); #29528=ORIENTED_EDGE('',*,*,#21510,.T.); #29529=ORIENTED_EDGE('',*,*,#21507,.T.); #29530=ORIENTED_EDGE('',*,*,#21504,.T.); #29531=ORIENTED_EDGE('',*,*,#21501,.T.); #29532=ORIENTED_EDGE('',*,*,#21496,.T.); #29533=ORIENTED_EDGE('',*,*,#21495,.T.); #29534=ORIENTED_EDGE('',*,*,#21492,.T.); #29535=ORIENTED_EDGE('',*,*,#21489,.T.); #29536=ORIENTED_EDGE('',*,*,#21486,.T.); #29537=ORIENTED_EDGE('',*,*,#21483,.T.); #29538=ORIENTED_EDGE('',*,*,#21480,.T.); #29539=ORIENTED_EDGE('',*,*,#21477,.T.); #29540=ORIENTED_EDGE('',*,*,#21474,.T.); #29541=ORIENTED_EDGE('',*,*,#21471,.T.); #29542=ORIENTED_EDGE('',*,*,#21468,.T.); #29543=ORIENTED_EDGE('',*,*,#21465,.T.); #29544=ORIENTED_EDGE('',*,*,#21462,.T.); #29545=ORIENTED_EDGE('',*,*,#21459,.T.); #29546=ORIENTED_EDGE('',*,*,#21456,.T.); #29547=ORIENTED_EDGE('',*,*,#21453,.T.); #29548=ORIENTED_EDGE('',*,*,#21450,.T.); #29549=ORIENTED_EDGE('',*,*,#21445,.T.); #29550=ORIENTED_EDGE('',*,*,#21444,.T.); #29551=ORIENTED_EDGE('',*,*,#21441,.T.); #29552=ORIENTED_EDGE('',*,*,#21438,.T.); #29553=ORIENTED_EDGE('',*,*,#21435,.T.); #29554=ORIENTED_EDGE('',*,*,#21432,.T.); #29555=ORIENTED_EDGE('',*,*,#21429,.T.); #29556=ORIENTED_EDGE('',*,*,#21426,.T.); #29557=ORIENTED_EDGE('',*,*,#21423,.T.); #29558=ORIENTED_EDGE('',*,*,#21420,.T.); #29559=ORIENTED_EDGE('',*,*,#21417,.T.); #29560=ORIENTED_EDGE('',*,*,#21414,.T.); #29561=ORIENTED_EDGE('',*,*,#21411,.T.); #29562=ORIENTED_EDGE('',*,*,#21408,.T.); #29563=ORIENTED_EDGE('',*,*,#21405,.T.); #29564=ORIENTED_EDGE('',*,*,#21402,.T.); #29565=ORIENTED_EDGE('',*,*,#21399,.T.); #29566=ORIENTED_EDGE('',*,*,#21396,.T.); #29567=ORIENTED_EDGE('',*,*,#21393,.T.); #29568=ORIENTED_EDGE('',*,*,#21390,.T.); #29569=ORIENTED_EDGE('',*,*,#21387,.T.); #29570=ORIENTED_EDGE('',*,*,#21384,.T.); #29571=ORIENTED_EDGE('',*,*,#21381,.T.); #29572=ORIENTED_EDGE('',*,*,#21378,.T.); #29573=ORIENTED_EDGE('',*,*,#21375,.T.); #29574=ORIENTED_EDGE('',*,*,#21372,.T.); #29575=ORIENTED_EDGE('',*,*,#21369,.T.); #29576=ORIENTED_EDGE('',*,*,#21366,.T.); #29577=ORIENTED_EDGE('',*,*,#21363,.T.); #29578=ORIENTED_EDGE('',*,*,#21360,.T.); #29579=ORIENTED_EDGE('',*,*,#21357,.T.); #29580=ORIENTED_EDGE('',*,*,#21354,.T.); #29581=ORIENTED_EDGE('',*,*,#21351,.T.); #29582=ORIENTED_EDGE('',*,*,#21348,.T.); #29583=ORIENTED_EDGE('',*,*,#21345,.T.); #29584=ORIENTED_EDGE('',*,*,#21342,.T.); #29585=ORIENTED_EDGE('',*,*,#21339,.T.); #29586=ORIENTED_EDGE('',*,*,#21336,.T.); #29587=ORIENTED_EDGE('',*,*,#21333,.T.); #29588=ORIENTED_EDGE('',*,*,#21330,.T.); #29589=ORIENTED_EDGE('',*,*,#21327,.T.); #29590=ORIENTED_EDGE('',*,*,#21324,.T.); #29591=ORIENTED_EDGE('',*,*,#21321,.T.); #29592=ORIENTED_EDGE('',*,*,#21318,.T.); #29593=ORIENTED_EDGE('',*,*,#21315,.T.); #29594=ORIENTED_EDGE('',*,*,#21312,.T.); #29595=ORIENTED_EDGE('',*,*,#21309,.T.); #29596=ORIENTED_EDGE('',*,*,#21306,.T.); #29597=ORIENTED_EDGE('',*,*,#21303,.T.); #29598=ORIENTED_EDGE('',*,*,#21300,.T.); #29599=ORIENTED_EDGE('',*,*,#21297,.T.); #29600=ORIENTED_EDGE('',*,*,#21294,.T.); #29601=ORIENTED_EDGE('',*,*,#21291,.T.); #29602=ORIENTED_EDGE('',*,*,#21288,.T.); #29603=ORIENTED_EDGE('',*,*,#21285,.T.); #29604=ORIENTED_EDGE('',*,*,#21282,.T.); #29605=ORIENTED_EDGE('',*,*,#21279,.T.); #29606=ORIENTED_EDGE('',*,*,#21276,.T.); #29607=ORIENTED_EDGE('',*,*,#21273,.T.); #29608=ORIENTED_EDGE('',*,*,#21270,.T.); #29609=ORIENTED_EDGE('',*,*,#21267,.T.); #29610=ORIENTED_EDGE('',*,*,#21264,.T.); #29611=ORIENTED_EDGE('',*,*,#21261,.T.); #29612=ORIENTED_EDGE('',*,*,#21258,.T.); #29613=ORIENTED_EDGE('',*,*,#21255,.T.); #29614=ORIENTED_EDGE('',*,*,#21252,.T.); #29615=ORIENTED_EDGE('',*,*,#21249,.T.); #29616=ORIENTED_EDGE('',*,*,#21246,.T.); #29617=ORIENTED_EDGE('',*,*,#21243,.T.); #29618=ORIENTED_EDGE('',*,*,#21240,.T.); #29619=ORIENTED_EDGE('',*,*,#21237,.T.); #29620=ORIENTED_EDGE('',*,*,#21234,.T.); #29621=ORIENTED_EDGE('',*,*,#21231,.T.); #29622=ORIENTED_EDGE('',*,*,#21228,.T.); #29623=ORIENTED_EDGE('',*,*,#21225,.T.); #29624=ORIENTED_EDGE('',*,*,#21222,.T.); #29625=ORIENTED_EDGE('',*,*,#21219,.T.); #29626=ORIENTED_EDGE('',*,*,#21216,.T.); #29627=ORIENTED_EDGE('',*,*,#21213,.T.); #29628=ORIENTED_EDGE('',*,*,#21210,.T.); #29629=ORIENTED_EDGE('',*,*,#21207,.T.); #29630=ORIENTED_EDGE('',*,*,#21204,.T.); #29631=ORIENTED_EDGE('',*,*,#21201,.T.); #29632=ORIENTED_EDGE('',*,*,#21198,.T.); #29633=ORIENTED_EDGE('',*,*,#21195,.T.); #29634=ORIENTED_EDGE('',*,*,#21192,.T.); #29635=ORIENTED_EDGE('',*,*,#21189,.T.); #29636=ORIENTED_EDGE('',*,*,#21186,.T.); #29637=ORIENTED_EDGE('',*,*,#21183,.T.); #29638=ORIENTED_EDGE('',*,*,#21180,.T.); #29639=ORIENTED_EDGE('',*,*,#21177,.T.); #29640=ORIENTED_EDGE('',*,*,#21174,.T.); #29641=ORIENTED_EDGE('',*,*,#21171,.T.); #29642=ORIENTED_EDGE('',*,*,#21168,.T.); #29643=ORIENTED_EDGE('',*,*,#21165,.T.); #29644=ORIENTED_EDGE('',*,*,#21162,.T.); #29645=ORIENTED_EDGE('',*,*,#21159,.T.); #29646=ORIENTED_EDGE('',*,*,#21156,.T.); #29647=ORIENTED_EDGE('',*,*,#21153,.T.); #29648=ORIENTED_EDGE('',*,*,#21150,.T.); #29649=ORIENTED_EDGE('',*,*,#21147,.T.); #29650=ORIENTED_EDGE('',*,*,#21144,.T.); #29651=ORIENTED_EDGE('',*,*,#21141,.T.); #29652=ORIENTED_EDGE('',*,*,#21138,.T.); #29653=ORIENTED_EDGE('',*,*,#21135,.T.); #29654=ORIENTED_EDGE('',*,*,#21132,.T.); #29655=ORIENTED_EDGE('',*,*,#21129,.T.); #29656=ORIENTED_EDGE('',*,*,#21126,.T.); #29657=ORIENTED_EDGE('',*,*,#21123,.T.); #29658=ORIENTED_EDGE('',*,*,#21120,.T.); #29659=ORIENTED_EDGE('',*,*,#21117,.T.); #29660=ORIENTED_EDGE('',*,*,#21114,.T.); #29661=ORIENTED_EDGE('',*,*,#21111,.T.); #29662=ORIENTED_EDGE('',*,*,#21108,.T.); #29663=ORIENTED_EDGE('',*,*,#21105,.T.); #29664=ORIENTED_EDGE('',*,*,#21102,.T.); #29665=ORIENTED_EDGE('',*,*,#21099,.T.); #29666=ORIENTED_EDGE('',*,*,#21096,.T.); #29667=ORIENTED_EDGE('',*,*,#21093,.T.); #29668=ORIENTED_EDGE('',*,*,#21090,.T.); #29669=ORIENTED_EDGE('',*,*,#21087,.T.); #29670=ORIENTED_EDGE('',*,*,#21084,.T.); #29671=ORIENTED_EDGE('',*,*,#21081,.T.); #29672=ORIENTED_EDGE('',*,*,#21078,.T.); #29673=ORIENTED_EDGE('',*,*,#21075,.T.); #29674=ORIENTED_EDGE('',*,*,#21072,.T.); #29675=ORIENTED_EDGE('',*,*,#21069,.T.); #29676=ORIENTED_EDGE('',*,*,#21066,.T.); #29677=ORIENTED_EDGE('',*,*,#21063,.T.); #29678=ORIENTED_EDGE('',*,*,#21060,.T.); #29679=ORIENTED_EDGE('',*,*,#21057,.T.); #29680=ORIENTED_EDGE('',*,*,#21054,.T.); #29681=ORIENTED_EDGE('',*,*,#21051,.T.); #29682=ORIENTED_EDGE('',*,*,#21048,.T.); #29683=ORIENTED_EDGE('',*,*,#21045,.T.); #29684=ORIENTED_EDGE('',*,*,#21042,.T.); #29685=ORIENTED_EDGE('',*,*,#21039,.T.); #29686=ORIENTED_EDGE('',*,*,#21036,.T.); #29687=ORIENTED_EDGE('',*,*,#21033,.T.); #29688=ORIENTED_EDGE('',*,*,#21030,.T.); #29689=ORIENTED_EDGE('',*,*,#21027,.T.); #29690=ORIENTED_EDGE('',*,*,#21024,.T.); #29691=ORIENTED_EDGE('',*,*,#21021,.T.); #29692=ORIENTED_EDGE('',*,*,#21018,.T.); #29693=ORIENTED_EDGE('',*,*,#21015,.T.); #29694=ORIENTED_EDGE('',*,*,#21012,.T.); #29695=ORIENTED_EDGE('',*,*,#21009,.T.); #29696=ORIENTED_EDGE('',*,*,#21006,.T.); #29697=ORIENTED_EDGE('',*,*,#21003,.T.); #29698=ORIENTED_EDGE('',*,*,#21000,.T.); #29699=ORIENTED_EDGE('',*,*,#20997,.T.); #29700=ORIENTED_EDGE('',*,*,#20994,.T.); #29701=ORIENTED_EDGE('',*,*,#20991,.T.); #29702=ORIENTED_EDGE('',*,*,#20988,.T.); #29703=ORIENTED_EDGE('',*,*,#20985,.T.); #29704=ORIENTED_EDGE('',*,*,#20982,.T.); #29705=ORIENTED_EDGE('',*,*,#20979,.T.); #29706=ORIENTED_EDGE('',*,*,#20974,.T.); #29707=ORIENTED_EDGE('',*,*,#20971,.T.); #29708=ORIENTED_EDGE('',*,*,#20970,.T.); #29709=ORIENTED_EDGE('',*,*,#20967,.T.); #29710=ORIENTED_EDGE('',*,*,#20964,.T.); #29711=ORIENTED_EDGE('',*,*,#20961,.T.); #29712=ORIENTED_EDGE('',*,*,#20956,.T.); #29713=ORIENTED_EDGE('',*,*,#20953,.T.); #29714=ORIENTED_EDGE('',*,*,#20950,.T.); #29715=ORIENTED_EDGE('',*,*,#20947,.T.); #29716=ORIENTED_EDGE('',*,*,#20944,.T.); #29717=ORIENTED_EDGE('',*,*,#20941,.T.); #29718=ORIENTED_EDGE('',*,*,#20940,.T.); #29719=ORIENTED_EDGE('',*,*,#20937,.T.); #29720=ORIENTED_EDGE('',*,*,#20934,.T.); #29721=ORIENTED_EDGE('',*,*,#20931,.T.); #29722=ORIENTED_EDGE('',*,*,#20928,.T.); #29723=ORIENTED_EDGE('',*,*,#20925,.T.); #29724=ORIENTED_EDGE('',*,*,#20922,.T.); #29725=ORIENTED_EDGE('',*,*,#20919,.T.); #29726=ORIENTED_EDGE('',*,*,#20914,.T.); #29727=ORIENTED_EDGE('',*,*,#20913,.T.); #29728=ORIENTED_EDGE('',*,*,#20910,.T.); #29729=ORIENTED_EDGE('',*,*,#20907,.T.); #29730=ORIENTED_EDGE('',*,*,#20904,.T.); #29731=ORIENTED_EDGE('',*,*,#20901,.T.); #29732=ORIENTED_EDGE('',*,*,#20898,.T.); #29733=ORIENTED_EDGE('',*,*,#20895,.T.); #29734=ORIENTED_EDGE('',*,*,#20892,.T.); #29735=ORIENTED_EDGE('',*,*,#20887,.T.); #29736=ORIENTED_EDGE('',*,*,#20884,.T.); #29737=ORIENTED_EDGE('',*,*,#20883,.T.); #29738=ORIENTED_EDGE('',*,*,#20880,.T.); #29739=ORIENTED_EDGE('',*,*,#20877,.T.); #29740=ORIENTED_EDGE('',*,*,#20874,.T.); #29741=ORIENTED_EDGE('',*,*,#20871,.T.); #29742=ORIENTED_EDGE('',*,*,#20868,.T.); #29743=ORIENTED_EDGE('',*,*,#20865,.T.); #29744=ORIENTED_EDGE('',*,*,#20862,.T.); #29745=ORIENTED_EDGE('',*,*,#20859,.T.); #29746=ORIENTED_EDGE('',*,*,#20856,.T.); #29747=ORIENTED_EDGE('',*,*,#20853,.T.); #29748=ORIENTED_EDGE('',*,*,#20850,.T.); #29749=ORIENTED_EDGE('',*,*,#20847,.T.); #29750=ORIENTED_EDGE('',*,*,#20844,.T.); #29751=ORIENTED_EDGE('',*,*,#20841,.T.); #29752=ORIENTED_EDGE('',*,*,#20838,.T.); #29753=ORIENTED_EDGE('',*,*,#20835,.T.); #29754=ORIENTED_EDGE('',*,*,#20832,.T.); #29755=ORIENTED_EDGE('',*,*,#20829,.T.); #29756=ORIENTED_EDGE('',*,*,#20826,.T.); #29757=ORIENTED_EDGE('',*,*,#20823,.T.); #29758=ORIENTED_EDGE('',*,*,#20820,.T.); #29759=ORIENTED_EDGE('',*,*,#20817,.T.); #29760=ORIENTED_EDGE('',*,*,#20814,.T.); #29761=ORIENTED_EDGE('',*,*,#21548,.F.); #29762=ORIENTED_EDGE('',*,*,#21526,.T.); #29763=ORIENTED_EDGE('',*,*,#21530,.F.); #29764=ORIENTED_EDGE('',*,*,#21533,.T.); #29765=ORIENTED_EDGE('',*,*,#21536,.F.); #29766=ORIENTED_EDGE('',*,*,#21539,.T.); #29767=ORIENTED_EDGE('',*,*,#21542,.F.); #29768=ORIENTED_EDGE('',*,*,#21545,.T.); #29769=ORIENTED_EDGE('',*,*,#21524,.F.); #29770=ORIENTED_EDGE('',*,*,#21514,.F.); #29771=ORIENTED_EDGE('',*,*,#21518,.F.); #29772=ORIENTED_EDGE('',*,*,#21521,.F.); #29773=ORIENTED_EDGE('',*,*,#21513,.F.); #29774=ORIENTED_EDGE('',*,*,#21509,.F.); #29775=ORIENTED_EDGE('',*,*,#21499,.F.); #29776=ORIENTED_EDGE('',*,*,#21503,.F.); #29777=ORIENTED_EDGE('',*,*,#21506,.F.); #29778=ORIENTED_EDGE('',*,*,#21498,.F.); #29779=ORIENTED_EDGE('',*,*,#21494,.F.); #29780=ORIENTED_EDGE('',*,*,#21484,.F.); #29781=ORIENTED_EDGE('',*,*,#21488,.F.); #29782=ORIENTED_EDGE('',*,*,#21491,.F.); #29783=ORIENTED_EDGE('',*,*,#21482,.F.); #29784=ORIENTED_EDGE('',*,*,#21472,.F.); #29785=ORIENTED_EDGE('',*,*,#21476,.F.); #29786=ORIENTED_EDGE('',*,*,#21479,.F.); #29787=ORIENTED_EDGE('',*,*,#21470,.F.); #29788=ORIENTED_EDGE('',*,*,#21448,.F.); #29789=ORIENTED_EDGE('',*,*,#21452,.F.); #29790=ORIENTED_EDGE('',*,*,#21455,.F.); #29791=ORIENTED_EDGE('',*,*,#21458,.F.); #29792=ORIENTED_EDGE('',*,*,#21461,.F.); #29793=ORIENTED_EDGE('',*,*,#21464,.F.); #29794=ORIENTED_EDGE('',*,*,#21467,.F.); #29795=ORIENTED_EDGE('',*,*,#21447,.F.); #29796=ORIENTED_EDGE('',*,*,#21443,.F.); #29797=ORIENTED_EDGE('',*,*,#21421,.F.); #29798=ORIENTED_EDGE('',*,*,#21425,.F.); #29799=ORIENTED_EDGE('',*,*,#21428,.F.); #29800=ORIENTED_EDGE('',*,*,#21431,.F.); #29801=ORIENTED_EDGE('',*,*,#21434,.F.); #29802=ORIENTED_EDGE('',*,*,#21437,.F.); #29803=ORIENTED_EDGE('',*,*,#21440,.F.); #29804=ORIENTED_EDGE('',*,*,#21419,.F.); #29805=ORIENTED_EDGE('',*,*,#21409,.F.); #29806=ORIENTED_EDGE('',*,*,#21413,.F.); #29807=ORIENTED_EDGE('',*,*,#21416,.F.); #29808=ORIENTED_EDGE('',*,*,#21407,.F.); #29809=ORIENTED_EDGE('',*,*,#21397,.F.); #29810=ORIENTED_EDGE('',*,*,#21401,.F.); #29811=ORIENTED_EDGE('',*,*,#21404,.F.); #29812=ORIENTED_EDGE('',*,*,#21395,.F.); #29813=ORIENTED_EDGE('',*,*,#21385,.F.); #29814=ORIENTED_EDGE('',*,*,#21389,.F.); #29815=ORIENTED_EDGE('',*,*,#21392,.F.); #29816=ORIENTED_EDGE('',*,*,#21383,.F.); #29817=ORIENTED_EDGE('',*,*,#21373,.F.); #29818=ORIENTED_EDGE('',*,*,#21377,.F.); #29819=ORIENTED_EDGE('',*,*,#21380,.F.); #29820=ORIENTED_EDGE('',*,*,#21371,.F.); #29821=ORIENTED_EDGE('',*,*,#21349,.F.); #29822=ORIENTED_EDGE('',*,*,#21353,.F.); #29823=ORIENTED_EDGE('',*,*,#21356,.F.); #29824=ORIENTED_EDGE('',*,*,#21359,.F.); #29825=ORIENTED_EDGE('',*,*,#21362,.F.); #29826=ORIENTED_EDGE('',*,*,#21365,.F.); #29827=ORIENTED_EDGE('',*,*,#21368,.F.); #29828=ORIENTED_EDGE('',*,*,#21347,.F.); #29829=ORIENTED_EDGE('',*,*,#21325,.F.); #29830=ORIENTED_EDGE('',*,*,#21329,.F.); #29831=ORIENTED_EDGE('',*,*,#21332,.F.); #29832=ORIENTED_EDGE('',*,*,#21335,.F.); #29833=ORIENTED_EDGE('',*,*,#21338,.F.); #29834=ORIENTED_EDGE('',*,*,#21341,.F.); #29835=ORIENTED_EDGE('',*,*,#21344,.F.); #29836=ORIENTED_EDGE('',*,*,#21323,.F.); #29837=ORIENTED_EDGE('',*,*,#21301,.F.); #29838=ORIENTED_EDGE('',*,*,#21305,.F.); #29839=ORIENTED_EDGE('',*,*,#21308,.F.); #29840=ORIENTED_EDGE('',*,*,#21311,.F.); #29841=ORIENTED_EDGE('',*,*,#21314,.F.); #29842=ORIENTED_EDGE('',*,*,#21317,.F.); #29843=ORIENTED_EDGE('',*,*,#21320,.F.); #29844=ORIENTED_EDGE('',*,*,#21299,.F.); #29845=ORIENTED_EDGE('',*,*,#21289,.F.); #29846=ORIENTED_EDGE('',*,*,#21293,.F.); #29847=ORIENTED_EDGE('',*,*,#21296,.F.); #29848=ORIENTED_EDGE('',*,*,#21287,.F.); #29849=ORIENTED_EDGE('',*,*,#21265,.F.); #29850=ORIENTED_EDGE('',*,*,#21269,.F.); #29851=ORIENTED_EDGE('',*,*,#21272,.F.); #29852=ORIENTED_EDGE('',*,*,#21275,.F.); #29853=ORIENTED_EDGE('',*,*,#21278,.F.); #29854=ORIENTED_EDGE('',*,*,#21281,.F.); #29855=ORIENTED_EDGE('',*,*,#21284,.F.); #29856=ORIENTED_EDGE('',*,*,#21263,.F.); #29857=ORIENTED_EDGE('',*,*,#21241,.F.); #29858=ORIENTED_EDGE('',*,*,#21245,.F.); #29859=ORIENTED_EDGE('',*,*,#21248,.F.); #29860=ORIENTED_EDGE('',*,*,#21251,.F.); #29861=ORIENTED_EDGE('',*,*,#21254,.F.); #29862=ORIENTED_EDGE('',*,*,#21257,.F.); #29863=ORIENTED_EDGE('',*,*,#21260,.F.); #29864=ORIENTED_EDGE('',*,*,#21239,.F.); #29865=ORIENTED_EDGE('',*,*,#21217,.F.); #29866=ORIENTED_EDGE('',*,*,#21221,.F.); #29867=ORIENTED_EDGE('',*,*,#21224,.F.); #29868=ORIENTED_EDGE('',*,*,#21227,.F.); #29869=ORIENTED_EDGE('',*,*,#21230,.F.); #29870=ORIENTED_EDGE('',*,*,#21233,.F.); #29871=ORIENTED_EDGE('',*,*,#21236,.F.); #29872=ORIENTED_EDGE('',*,*,#21215,.F.); #29873=ORIENTED_EDGE('',*,*,#21193,.F.); #29874=ORIENTED_EDGE('',*,*,#21197,.F.); #29875=ORIENTED_EDGE('',*,*,#21200,.F.); #29876=ORIENTED_EDGE('',*,*,#21203,.F.); #29877=ORIENTED_EDGE('',*,*,#21206,.F.); #29878=ORIENTED_EDGE('',*,*,#21209,.F.); #29879=ORIENTED_EDGE('',*,*,#21212,.F.); #29880=ORIENTED_EDGE('',*,*,#21191,.F.); #29881=ORIENTED_EDGE('',*,*,#21169,.F.); #29882=ORIENTED_EDGE('',*,*,#21173,.F.); #29883=ORIENTED_EDGE('',*,*,#21176,.F.); #29884=ORIENTED_EDGE('',*,*,#21179,.F.); #29885=ORIENTED_EDGE('',*,*,#21182,.F.); #29886=ORIENTED_EDGE('',*,*,#21185,.F.); #29887=ORIENTED_EDGE('',*,*,#21188,.F.); #29888=ORIENTED_EDGE('',*,*,#21167,.F.); #29889=ORIENTED_EDGE('',*,*,#21145,.F.); #29890=ORIENTED_EDGE('',*,*,#21149,.F.); #29891=ORIENTED_EDGE('',*,*,#21152,.F.); #29892=ORIENTED_EDGE('',*,*,#21155,.F.); #29893=ORIENTED_EDGE('',*,*,#21158,.F.); #29894=ORIENTED_EDGE('',*,*,#21161,.F.); #29895=ORIENTED_EDGE('',*,*,#21164,.F.); #29896=ORIENTED_EDGE('',*,*,#21143,.F.); #29897=ORIENTED_EDGE('',*,*,#21121,.F.); #29898=ORIENTED_EDGE('',*,*,#21125,.F.); #29899=ORIENTED_EDGE('',*,*,#21128,.F.); #29900=ORIENTED_EDGE('',*,*,#21131,.F.); #29901=ORIENTED_EDGE('',*,*,#21134,.F.); #29902=ORIENTED_EDGE('',*,*,#21137,.F.); #29903=ORIENTED_EDGE('',*,*,#21140,.F.); #29904=ORIENTED_EDGE('',*,*,#21119,.F.); #29905=ORIENTED_EDGE('',*,*,#21097,.F.); #29906=ORIENTED_EDGE('',*,*,#21101,.F.); #29907=ORIENTED_EDGE('',*,*,#21104,.F.); #29908=ORIENTED_EDGE('',*,*,#21107,.F.); #29909=ORIENTED_EDGE('',*,*,#21110,.F.); #29910=ORIENTED_EDGE('',*,*,#21113,.F.); #29911=ORIENTED_EDGE('',*,*,#21116,.F.); #29912=ORIENTED_EDGE('',*,*,#21095,.F.); #29913=ORIENTED_EDGE('',*,*,#21073,.F.); #29914=ORIENTED_EDGE('',*,*,#21077,.F.); #29915=ORIENTED_EDGE('',*,*,#21080,.F.); #29916=ORIENTED_EDGE('',*,*,#21083,.F.); #29917=ORIENTED_EDGE('',*,*,#21086,.F.); #29918=ORIENTED_EDGE('',*,*,#21089,.F.); #29919=ORIENTED_EDGE('',*,*,#21092,.F.); #29920=ORIENTED_EDGE('',*,*,#21071,.F.); #29921=ORIENTED_EDGE('',*,*,#21049,.F.); #29922=ORIENTED_EDGE('',*,*,#21053,.F.); #29923=ORIENTED_EDGE('',*,*,#21056,.F.); #29924=ORIENTED_EDGE('',*,*,#21059,.F.); #29925=ORIENTED_EDGE('',*,*,#21062,.F.); #29926=ORIENTED_EDGE('',*,*,#21065,.F.); #29927=ORIENTED_EDGE('',*,*,#21068,.F.); #29928=ORIENTED_EDGE('',*,*,#21047,.F.); #29929=ORIENTED_EDGE('',*,*,#21025,.F.); #29930=ORIENTED_EDGE('',*,*,#21029,.F.); #29931=ORIENTED_EDGE('',*,*,#21032,.F.); #29932=ORIENTED_EDGE('',*,*,#21035,.F.); #29933=ORIENTED_EDGE('',*,*,#21038,.F.); #29934=ORIENTED_EDGE('',*,*,#21041,.F.); #29935=ORIENTED_EDGE('',*,*,#21044,.F.); #29936=ORIENTED_EDGE('',*,*,#21023,.F.); #29937=ORIENTED_EDGE('',*,*,#21001,.F.); #29938=ORIENTED_EDGE('',*,*,#21005,.F.); #29939=ORIENTED_EDGE('',*,*,#21008,.F.); #29940=ORIENTED_EDGE('',*,*,#21011,.F.); #29941=ORIENTED_EDGE('',*,*,#21014,.F.); #29942=ORIENTED_EDGE('',*,*,#21017,.F.); #29943=ORIENTED_EDGE('',*,*,#21020,.F.); #29944=ORIENTED_EDGE('',*,*,#20999,.F.); #29945=ORIENTED_EDGE('',*,*,#20989,.F.); #29946=ORIENTED_EDGE('',*,*,#20993,.F.); #29947=ORIENTED_EDGE('',*,*,#20996,.F.); #29948=ORIENTED_EDGE('',*,*,#20987,.F.); #29949=ORIENTED_EDGE('',*,*,#20977,.F.); #29950=ORIENTED_EDGE('',*,*,#20981,.F.); #29951=ORIENTED_EDGE('',*,*,#20984,.F.); #29952=ORIENTED_EDGE('',*,*,#20976,.F.); #29953=ORIENTED_EDGE('',*,*,#20973,.F.); #29954=ORIENTED_EDGE('',*,*,#20969,.F.); #29955=ORIENTED_EDGE('',*,*,#20959,.F.); #29956=ORIENTED_EDGE('',*,*,#20963,.F.); #29957=ORIENTED_EDGE('',*,*,#20966,.F.); #29958=ORIENTED_EDGE('',*,*,#20958,.F.); #29959=ORIENTED_EDGE('',*,*,#20955,.F.); #29960=ORIENTED_EDGE('',*,*,#20952,.F.); #29961=ORIENTED_EDGE('',*,*,#20949,.F.); #29962=ORIENTED_EDGE('',*,*,#20946,.F.); #29963=ORIENTED_EDGE('',*,*,#20943,.F.); #29964=ORIENTED_EDGE('',*,*,#20939,.F.); #29965=ORIENTED_EDGE('',*,*,#20917,.F.); #29966=ORIENTED_EDGE('',*,*,#20921,.F.); #29967=ORIENTED_EDGE('',*,*,#20924,.F.); #29968=ORIENTED_EDGE('',*,*,#20927,.F.); #29969=ORIENTED_EDGE('',*,*,#20930,.F.); #29970=ORIENTED_EDGE('',*,*,#20933,.F.); #29971=ORIENTED_EDGE('',*,*,#20936,.F.); #29972=ORIENTED_EDGE('',*,*,#20916,.F.); #29973=ORIENTED_EDGE('',*,*,#20912,.F.); #29974=ORIENTED_EDGE('',*,*,#20902,.F.); #29975=ORIENTED_EDGE('',*,*,#20906,.F.); #29976=ORIENTED_EDGE('',*,*,#20909,.F.); #29977=ORIENTED_EDGE('',*,*,#20900,.F.); #29978=ORIENTED_EDGE('',*,*,#20890,.F.); #29979=ORIENTED_EDGE('',*,*,#20894,.F.); #29980=ORIENTED_EDGE('',*,*,#20897,.F.); #29981=ORIENTED_EDGE('',*,*,#20889,.F.); #29982=ORIENTED_EDGE('',*,*,#20886,.F.); #29983=ORIENTED_EDGE('',*,*,#20882,.F.); #29984=ORIENTED_EDGE('',*,*,#20872,.F.); #29985=ORIENTED_EDGE('',*,*,#20876,.F.); #29986=ORIENTED_EDGE('',*,*,#20879,.F.); #29987=ORIENTED_EDGE('',*,*,#20870,.F.); #29988=ORIENTED_EDGE('',*,*,#20848,.F.); #29989=ORIENTED_EDGE('',*,*,#20852,.F.); #29990=ORIENTED_EDGE('',*,*,#20855,.F.); #29991=ORIENTED_EDGE('',*,*,#20858,.F.); #29992=ORIENTED_EDGE('',*,*,#20861,.F.); #29993=ORIENTED_EDGE('',*,*,#20864,.F.); #29994=ORIENTED_EDGE('',*,*,#20867,.F.); #29995=ORIENTED_EDGE('',*,*,#20846,.F.); #29996=ORIENTED_EDGE('',*,*,#20836,.F.); #29997=ORIENTED_EDGE('',*,*,#20840,.F.); #29998=ORIENTED_EDGE('',*,*,#20843,.F.); #29999=ORIENTED_EDGE('',*,*,#20834,.F.); #30000=ORIENTED_EDGE('',*,*,#20812,.F.); #30001=ORIENTED_EDGE('',*,*,#20816,.F.); #30002=ORIENTED_EDGE('',*,*,#20819,.F.); #30003=ORIENTED_EDGE('',*,*,#20822,.F.); #30004=ORIENTED_EDGE('',*,*,#20825,.F.); #30005=ORIENTED_EDGE('',*,*,#20828,.F.); #30006=ORIENTED_EDGE('',*,*,#20831,.F.); #30007=ORIENTED_EDGE('',*,*,#21550,.T.); #30008=ORIENTED_EDGE('',*,*,#21551,.T.); #30009=ORIENTED_EDGE('',*,*,#21552,.F.); #30010=ORIENTED_EDGE('',*,*,#21553,.T.); #30011=ORIENTED_EDGE('',*,*,#21554,.F.); #30012=ORIENTED_EDGE('',*,*,#21553,.F.); #30013=ORIENTED_EDGE('',*,*,#21555,.F.); #30014=ORIENTED_EDGE('',*,*,#21556,.F.); #30015=ORIENTED_EDGE('',*,*,#21557,.F.); #30016=ORIENTED_EDGE('',*,*,#21558,.F.); #30017=ORIENTED_EDGE('',*,*,#21559,.F.); #30018=ORIENTED_EDGE('',*,*,#21560,.F.); #30019=ORIENTED_EDGE('',*,*,#21561,.F.); #30020=ORIENTED_EDGE('',*,*,#21562,.F.); #30021=ORIENTED_EDGE('',*,*,#21563,.F.); #30022=ORIENTED_EDGE('',*,*,#21564,.F.); #30023=ORIENTED_EDGE('',*,*,#21565,.T.); #30024=ORIENTED_EDGE('',*,*,#21562,.T.); #30025=ORIENTED_EDGE('',*,*,#21566,.T.); #30026=ORIENTED_EDGE('',*,*,#21567,.T.); #30027=ORIENTED_EDGE('',*,*,#21568,.T.); #30028=ORIENTED_EDGE('',*,*,#21563,.T.); #30029=ORIENTED_EDGE('',*,*,#21569,.T.); #30030=ORIENTED_EDGE('',*,*,#21570,.F.); #30031=ORIENTED_EDGE('',*,*,#21571,.F.); #30032=ORIENTED_EDGE('',*,*,#21572,.F.); #30033=ORIENTED_EDGE('',*,*,#21573,.F.); #30034=ORIENTED_EDGE('',*,*,#21574,.T.); #30035=ORIENTED_EDGE('',*,*,#21571,.T.); #30036=ORIENTED_EDGE('',*,*,#21575,.T.); #30037=ORIENTED_EDGE('',*,*,#21555,.T.); #30038=ORIENTED_EDGE('',*,*,#21552,.T.); #30039=ORIENTED_EDGE('',*,*,#21572,.T.); #30040=ORIENTED_EDGE('',*,*,#21576,.T.); #30041=ORIENTED_EDGE('',*,*,#21561,.T.); #30042=ORIENTED_EDGE('',*,*,#21577,.T.); #30043=ORIENTED_EDGE('',*,*,#21578,.T.); #30044=ORIENTED_EDGE('',*,*,#21579,.T.); #30045=ORIENTED_EDGE('',*,*,#21570,.T.); #30046=ORIENTED_EDGE('',*,*,#21580,.T.); #30047=ORIENTED_EDGE('',*,*,#21581,.T.); #30048=ORIENTED_EDGE('',*,*,#21582,.T.); #30049=ORIENTED_EDGE('',*,*,#21583,.T.); #30050=ORIENTED_EDGE('',*,*,#21584,.T.); #30051=ORIENTED_EDGE('',*,*,#21581,.F.); #30052=ORIENTED_EDGE('',*,*,#21585,.T.); #30053=ORIENTED_EDGE('',*,*,#21578,.F.); #30054=ORIENTED_EDGE('',*,*,#21567,.F.); #30055=ORIENTED_EDGE('',*,*,#21586,.T.); #30056=ORIENTED_EDGE('',*,*,#21583,.F.); #30057=ORIENTED_EDGE('',*,*,#21575,.F.); #30058=ORIENTED_EDGE('',*,*,#21579,.F.); #30059=ORIENTED_EDGE('',*,*,#21587,.F.); #30060=ORIENTED_EDGE('',*,*,#21556,.T.); #30061=ORIENTED_EDGE('',*,*,#21585,.F.); #30062=ORIENTED_EDGE('',*,*,#21588,.F.); #30063=ORIENTED_EDGE('',*,*,#21589,.F.); #30064=ORIENTED_EDGE('',*,*,#21587,.T.); #30065=ORIENTED_EDGE('',*,*,#21584,.F.); #30066=ORIENTED_EDGE('',*,*,#21590,.F.); #30067=ORIENTED_EDGE('',*,*,#21591,.F.); #30068=ORIENTED_EDGE('',*,*,#21592,.F.); #30069=ORIENTED_EDGE('',*,*,#21593,.F.); #30070=ORIENTED_EDGE('',*,*,#21588,.T.); #30071=ORIENTED_EDGE('',*,*,#21568,.F.); #30072=ORIENTED_EDGE('',*,*,#21582,.F.); #30073=ORIENTED_EDGE('',*,*,#21580,.F.); #30074=ORIENTED_EDGE('',*,*,#21577,.F.); #30075=ORIENTED_EDGE('',*,*,#21574,.F.); #30076=ORIENTED_EDGE('',*,*,#21594,.F.); #30077=ORIENTED_EDGE('',*,*,#21566,.F.); #30078=ORIENTED_EDGE('',*,*,#21576,.F.); #30079=ORIENTED_EDGE('',*,*,#21565,.F.); #30080=ORIENTED_EDGE('',*,*,#21595,.T.); #30081=ORIENTED_EDGE('',*,*,#21596,.T.); #30082=ORIENTED_EDGE('',*,*,#21569,.F.); #30083=ORIENTED_EDGE('',*,*,#21586,.F.); #30084=ORIENTED_EDGE('',*,*,#21596,.F.); #30085=ORIENTED_EDGE('',*,*,#21597,.F.); #30086=ORIENTED_EDGE('',*,*,#21590,.T.); #30087=ORIENTED_EDGE('',*,*,#21551,.F.); #30088=ORIENTED_EDGE('',*,*,#21598,.T.); #30089=ORIENTED_EDGE('',*,*,#21599,.T.); #30090=ORIENTED_EDGE('',*,*,#21600,.F.); #30091=ORIENTED_EDGE('',*,*,#21601,.T.); #30092=ORIENTED_EDGE('',*,*,#21602,.F.); #30093=ORIENTED_EDGE('',*,*,#21603,.T.); #30094=ORIENTED_EDGE('',*,*,#21564,.T.); #30095=ORIENTED_EDGE('',*,*,#21594,.T.); #30096=ORIENTED_EDGE('',*,*,#21573,.T.); #30097=ORIENTED_EDGE('',*,*,#21604,.F.); #30098=ORIENTED_EDGE('',*,*,#21605,.F.); #30099=ORIENTED_EDGE('',*,*,#21606,.F.); #30100=ORIENTED_EDGE('',*,*,#21600,.T.); #30101=ORIENTED_EDGE('',*,*,#21607,.T.); #30102=ORIENTED_EDGE('',*,*,#21605,.T.); #30103=ORIENTED_EDGE('',*,*,#21608,.T.); #30104=ORIENTED_EDGE('',*,*,#21609,.F.); #30105=ORIENTED_EDGE('',*,*,#21610,.F.); #30106=ORIENTED_EDGE('',*,*,#21611,.F.); #30107=ORIENTED_EDGE('',*,*,#21612,.T.); #30108=ORIENTED_EDGE('',*,*,#21610,.T.); #30109=ORIENTED_EDGE('',*,*,#21613,.T.); #30110=ORIENTED_EDGE('',*,*,#21598,.F.); #30111=ORIENTED_EDGE('',*,*,#21550,.F.); #30112=ORIENTED_EDGE('',*,*,#21554,.T.); #30113=ORIENTED_EDGE('',*,*,#21614,.T.); #30114=ORIENTED_EDGE('',*,*,#21615,.T.); #30115=ORIENTED_EDGE('',*,*,#21611,.T.); #30116=ORIENTED_EDGE('',*,*,#21616,.T.); #30117=ORIENTED_EDGE('',*,*,#21609,.T.); #30118=ORIENTED_EDGE('',*,*,#21617,.T.); #30119=ORIENTED_EDGE('',*,*,#21606,.T.); #30120=ORIENTED_EDGE('',*,*,#21618,.T.); #30121=ORIENTED_EDGE('',*,*,#21619,.T.); #30122=ORIENTED_EDGE('',*,*,#21620,.T.); #30123=ORIENTED_EDGE('',*,*,#21604,.T.); #30124=ORIENTED_EDGE('',*,*,#21621,.T.); #30125=ORIENTED_EDGE('',*,*,#21622,.T.); #30126=ORIENTED_EDGE('',*,*,#21623,.T.); #30127=ORIENTED_EDGE('',*,*,#21624,.T.); #30128=ORIENTED_EDGE('',*,*,#21625,.T.); #30129=ORIENTED_EDGE('',*,*,#21622,.F.); #30130=ORIENTED_EDGE('',*,*,#21614,.F.); #30131=ORIENTED_EDGE('',*,*,#21626,.T.); #30132=ORIENTED_EDGE('',*,*,#21619,.F.); #30133=ORIENTED_EDGE('',*,*,#21624,.F.); #30134=ORIENTED_EDGE('',*,*,#21627,.T.); #30135=ORIENTED_EDGE('',*,*,#21608,.F.); #30136=ORIENTED_EDGE('',*,*,#21620,.F.); #30137=ORIENTED_EDGE('',*,*,#21628,.F.); #30138=ORIENTED_EDGE('',*,*,#21629,.T.); #30139=ORIENTED_EDGE('',*,*,#21627,.F.); #30140=ORIENTED_EDGE('',*,*,#21630,.F.); #30141=ORIENTED_EDGE('',*,*,#21631,.F.); #30142=ORIENTED_EDGE('',*,*,#21628,.T.); #30143=ORIENTED_EDGE('',*,*,#21623,.F.); #30144=ORIENTED_EDGE('',*,*,#21632,.F.); #30145=ORIENTED_EDGE('',*,*,#21633,.F.); #30146=ORIENTED_EDGE('',*,*,#21634,.F.); #30147=ORIENTED_EDGE('',*,*,#21635,.F.); #30148=ORIENTED_EDGE('',*,*,#21630,.T.); #30149=ORIENTED_EDGE('',*,*,#21615,.F.); #30150=ORIENTED_EDGE('',*,*,#21625,.F.); #30151=ORIENTED_EDGE('',*,*,#21621,.F.); #30152=ORIENTED_EDGE('',*,*,#21617,.F.); #30153=ORIENTED_EDGE('',*,*,#21612,.F.); #30154=ORIENTED_EDGE('',*,*,#21560,.T.); #30155=ORIENTED_EDGE('',*,*,#21636,.T.); #30156=ORIENTED_EDGE('',*,*,#21616,.F.); #30157=ORIENTED_EDGE('',*,*,#21607,.F.); #30158=ORIENTED_EDGE('',*,*,#21599,.F.); #30159=ORIENTED_EDGE('',*,*,#21613,.F.); #30160=ORIENTED_EDGE('',*,*,#21618,.F.); #30161=ORIENTED_EDGE('',*,*,#21626,.F.); #30162=ORIENTED_EDGE('',*,*,#21636,.F.); #30163=ORIENTED_EDGE('',*,*,#21637,.F.); #30164=ORIENTED_EDGE('',*,*,#21632,.T.); #30165=ORIENTED_EDGE('',*,*,#21638,.T.); #30166=ORIENTED_EDGE('',*,*,#21591,.T.); #30167=ORIENTED_EDGE('',*,*,#21597,.T.); #30168=ORIENTED_EDGE('',*,*,#21639,.T.); #30169=ORIENTED_EDGE('',*,*,#21639,.F.); #30170=ORIENTED_EDGE('',*,*,#21595,.F.); #30171=ORIENTED_EDGE('',*,*,#21603,.F.); #30172=ORIENTED_EDGE('',*,*,#21640,.F.); #30173=ORIENTED_EDGE('',*,*,#21641,.F.); #30174=ORIENTED_EDGE('',*,*,#21589,.T.); #30175=ORIENTED_EDGE('',*,*,#21593,.T.); #30176=ORIENTED_EDGE('',*,*,#21642,.T.); #30177=ORIENTED_EDGE('',*,*,#21557,.T.); #30178=ORIENTED_EDGE('',*,*,#21643,.T.); #30179=ORIENTED_EDGE('',*,*,#21633,.T.); #30180=ORIENTED_EDGE('',*,*,#21637,.T.); #30181=ORIENTED_EDGE('',*,*,#21559,.T.); #30182=ORIENTED_EDGE('',*,*,#21644,.T.); #30183=ORIENTED_EDGE('',*,*,#21645,.T.); #30184=ORIENTED_EDGE('',*,*,#21631,.T.); #30185=ORIENTED_EDGE('',*,*,#21635,.T.); #30186=ORIENTED_EDGE('',*,*,#21645,.F.); #30187=ORIENTED_EDGE('',*,*,#21646,.F.); #30188=ORIENTED_EDGE('',*,*,#21647,.F.); #30189=ORIENTED_EDGE('',*,*,#21601,.F.); #30190=ORIENTED_EDGE('',*,*,#21629,.F.); #30191=ORIENTED_EDGE('',*,*,#21638,.F.); #30192=ORIENTED_EDGE('',*,*,#21641,.T.); #30193=ORIENTED_EDGE('',*,*,#21648,.F.); #30194=ORIENTED_EDGE('',*,*,#21646,.T.); #30195=ORIENTED_EDGE('',*,*,#21644,.F.); #30196=ORIENTED_EDGE('',*,*,#21634,.T.); #30197=ORIENTED_EDGE('',*,*,#21643,.F.); #30198=ORIENTED_EDGE('',*,*,#21558,.T.); #30199=ORIENTED_EDGE('',*,*,#21642,.F.); #30200=ORIENTED_EDGE('',*,*,#21592,.T.); #30201=ORIENTED_EDGE('',*,*,#21649,.F.); #30202=ORIENTED_EDGE('',*,*,#21650,.T.); #30203=ORIENTED_EDGE('',*,*,#21651,.T.); #30204=ORIENTED_EDGE('',*,*,#21650,.F.); #30205=ORIENTED_EDGE('',*,*,#21652,.F.); #30206=ORIENTED_EDGE('',*,*,#21653,.T.); #30207=ORIENTED_EDGE('',*,*,#21654,.T.); #30208=ORIENTED_EDGE('',*,*,#21653,.F.); #30209=ORIENTED_EDGE('',*,*,#21655,.F.); #30210=ORIENTED_EDGE('',*,*,#21656,.T.); #30211=ORIENTED_EDGE('',*,*,#21657,.T.); #30212=ORIENTED_EDGE('',*,*,#21656,.F.); #30213=ORIENTED_EDGE('',*,*,#21658,.F.); #30214=ORIENTED_EDGE('',*,*,#21659,.T.); #30215=ORIENTED_EDGE('',*,*,#21660,.T.); #30216=ORIENTED_EDGE('',*,*,#21659,.F.); #30217=ORIENTED_EDGE('',*,*,#21661,.F.); #30218=ORIENTED_EDGE('',*,*,#21662,.T.); #30219=ORIENTED_EDGE('',*,*,#21663,.T.); #30220=ORIENTED_EDGE('',*,*,#21662,.F.); #30221=ORIENTED_EDGE('',*,*,#21664,.F.); #30222=ORIENTED_EDGE('',*,*,#21665,.T.); #30223=ORIENTED_EDGE('',*,*,#21666,.T.); #30224=ORIENTED_EDGE('',*,*,#21665,.F.); #30225=ORIENTED_EDGE('',*,*,#21667,.F.); #30226=ORIENTED_EDGE('',*,*,#21668,.T.); #30227=ORIENTED_EDGE('',*,*,#21669,.F.); #30228=ORIENTED_EDGE('',*,*,#21670,.F.); #30229=ORIENTED_EDGE('',*,*,#21671,.T.); #30230=ORIENTED_EDGE('',*,*,#21670,.T.); #30231=ORIENTED_EDGE('',*,*,#21672,.F.); #30232=ORIENTED_EDGE('',*,*,#21673,.F.); #30233=ORIENTED_EDGE('',*,*,#21674,.T.); #30234=ORIENTED_EDGE('',*,*,#21673,.T.); #30235=ORIENTED_EDGE('',*,*,#21675,.F.); #30236=ORIENTED_EDGE('',*,*,#21676,.F.); #30237=ORIENTED_EDGE('',*,*,#21677,.T.); #30238=ORIENTED_EDGE('',*,*,#21676,.T.); #30239=ORIENTED_EDGE('',*,*,#21678,.F.); #30240=ORIENTED_EDGE('',*,*,#21679,.F.); #30241=ORIENTED_EDGE('',*,*,#21680,.F.); #30242=ORIENTED_EDGE('',*,*,#21679,.T.); #30243=ORIENTED_EDGE('',*,*,#21681,.F.); #30244=ORIENTED_EDGE('',*,*,#21682,.F.); #30245=ORIENTED_EDGE('',*,*,#21683,.T.); #30246=ORIENTED_EDGE('',*,*,#21682,.T.); #30247=ORIENTED_EDGE('',*,*,#21684,.F.); #30248=ORIENTED_EDGE('',*,*,#21685,.F.); #30249=ORIENTED_EDGE('',*,*,#21686,.T.); #30250=ORIENTED_EDGE('',*,*,#21685,.T.); #30251=ORIENTED_EDGE('',*,*,#21687,.F.); #30252=ORIENTED_EDGE('',*,*,#21688,.F.); #30253=ORIENTED_EDGE('',*,*,#21689,.T.); #30254=ORIENTED_EDGE('',*,*,#21688,.T.); #30255=ORIENTED_EDGE('',*,*,#21690,.F.); #30256=ORIENTED_EDGE('',*,*,#21691,.F.); #30257=ORIENTED_EDGE('',*,*,#21692,.T.); #30258=ORIENTED_EDGE('',*,*,#21691,.T.); #30259=ORIENTED_EDGE('',*,*,#21693,.F.); #30260=ORIENTED_EDGE('',*,*,#21694,.F.); #30261=ORIENTED_EDGE('',*,*,#21695,.T.); #30262=ORIENTED_EDGE('',*,*,#21694,.T.); #30263=ORIENTED_EDGE('',*,*,#21696,.F.); #30264=ORIENTED_EDGE('',*,*,#21697,.F.); #30265=ORIENTED_EDGE('',*,*,#21698,.T.); #30266=ORIENTED_EDGE('',*,*,#21697,.T.); #30267=ORIENTED_EDGE('',*,*,#21699,.F.); #30268=ORIENTED_EDGE('',*,*,#21700,.F.); #30269=ORIENTED_EDGE('',*,*,#21701,.T.); #30270=ORIENTED_EDGE('',*,*,#21700,.T.); #30271=ORIENTED_EDGE('',*,*,#21702,.F.); #30272=ORIENTED_EDGE('',*,*,#21668,.F.); #30273=ORIENTED_EDGE('',*,*,#21702,.T.); #30274=ORIENTED_EDGE('',*,*,#21699,.T.); #30275=ORIENTED_EDGE('',*,*,#21696,.T.); #30276=ORIENTED_EDGE('',*,*,#21693,.T.); #30277=ORIENTED_EDGE('',*,*,#21690,.T.); #30278=ORIENTED_EDGE('',*,*,#21687,.T.); #30279=ORIENTED_EDGE('',*,*,#21684,.T.); #30280=ORIENTED_EDGE('',*,*,#21681,.T.); #30281=ORIENTED_EDGE('',*,*,#21678,.T.); #30282=ORIENTED_EDGE('',*,*,#21675,.T.); #30283=ORIENTED_EDGE('',*,*,#21672,.T.); #30284=ORIENTED_EDGE('',*,*,#21669,.T.); #30285=ORIENTED_EDGE('',*,*,#21602,.T.); #30286=ORIENTED_EDGE('',*,*,#21647,.T.); #30287=ORIENTED_EDGE('',*,*,#21648,.T.); #30288=ORIENTED_EDGE('',*,*,#21640,.T.); #30289=ORIENTED_EDGE('',*,*,#21664,.T.); #30290=ORIENTED_EDGE('',*,*,#21661,.T.); #30291=ORIENTED_EDGE('',*,*,#21658,.T.); #30292=ORIENTED_EDGE('',*,*,#21655,.T.); #30293=ORIENTED_EDGE('',*,*,#21652,.T.); #30294=ORIENTED_EDGE('',*,*,#21649,.T.); #30295=ORIENTED_EDGE('',*,*,#21701,.F.); #30296=ORIENTED_EDGE('',*,*,#21667,.T.); #30297=ORIENTED_EDGE('',*,*,#21671,.F.); #30298=ORIENTED_EDGE('',*,*,#21674,.F.); #30299=ORIENTED_EDGE('',*,*,#21677,.F.); #30300=ORIENTED_EDGE('',*,*,#21680,.T.); #30301=ORIENTED_EDGE('',*,*,#21683,.F.); #30302=ORIENTED_EDGE('',*,*,#21686,.F.); #30303=ORIENTED_EDGE('',*,*,#21689,.F.); #30304=ORIENTED_EDGE('',*,*,#21692,.F.); #30305=ORIENTED_EDGE('',*,*,#21695,.F.); #30306=ORIENTED_EDGE('',*,*,#21698,.F.); #30307=ORIENTED_EDGE('',*,*,#21666,.F.); #30308=ORIENTED_EDGE('',*,*,#21663,.F.); #30309=ORIENTED_EDGE('',*,*,#21660,.F.); #30310=ORIENTED_EDGE('',*,*,#21657,.F.); #30311=ORIENTED_EDGE('',*,*,#21654,.F.); #30312=ORIENTED_EDGE('',*,*,#21651,.F.); #30313=ORIENTED_EDGE('',*,*,#21703,.F.); #30314=ORIENTED_EDGE('',*,*,#21704,.F.); #30315=ORIENTED_EDGE('',*,*,#21705,.T.); #30316=ORIENTED_EDGE('',*,*,#21706,.T.); #30317=ORIENTED_EDGE('',*,*,#21707,.T.); #30318=ORIENTED_EDGE('',*,*,#21708,.T.); #30319=ORIENTED_EDGE('',*,*,#21709,.T.); #30320=ORIENTED_EDGE('',*,*,#21710,.T.); #30321=ORIENTED_EDGE('',*,*,#21711,.T.); #30322=ORIENTED_EDGE('',*,*,#21712,.T.); #30323=ORIENTED_EDGE('',*,*,#21713,.T.); #30324=ORIENTED_EDGE('',*,*,#21714,.T.); #30325=ORIENTED_EDGE('',*,*,#21715,.T.); #30326=ORIENTED_EDGE('',*,*,#21716,.T.); #30327=ORIENTED_EDGE('',*,*,#21717,.T.); #30328=ORIENTED_EDGE('',*,*,#21718,.T.); #30329=ORIENTED_EDGE('',*,*,#21719,.T.); #30330=ORIENTED_EDGE('',*,*,#21720,.T.); #30331=ORIENTED_EDGE('',*,*,#21721,.T.); #30332=ORIENTED_EDGE('',*,*,#21722,.T.); #30333=ORIENTED_EDGE('',*,*,#21723,.T.); #30334=ORIENTED_EDGE('',*,*,#21724,.T.); #30335=ORIENTED_EDGE('',*,*,#21725,.T.); #30336=ORIENTED_EDGE('',*,*,#21726,.T.); #30337=ORIENTED_EDGE('',*,*,#21727,.T.); #30338=ORIENTED_EDGE('',*,*,#21728,.T.); #30339=ORIENTED_EDGE('',*,*,#21729,.T.); #30340=ORIENTED_EDGE('',*,*,#21730,.T.); #30341=ORIENTED_EDGE('',*,*,#21731,.T.); #30342=ORIENTED_EDGE('',*,*,#21732,.T.); #30343=ORIENTED_EDGE('',*,*,#21733,.T.); #30344=ORIENTED_EDGE('',*,*,#21734,.T.); #30345=ORIENTED_EDGE('',*,*,#21735,.T.); #30346=ORIENTED_EDGE('',*,*,#21736,.T.); #30347=ORIENTED_EDGE('',*,*,#21737,.T.); #30348=ORIENTED_EDGE('',*,*,#21738,.T.); #30349=ORIENTED_EDGE('',*,*,#21739,.T.); #30350=ORIENTED_EDGE('',*,*,#21740,.T.); #30351=ORIENTED_EDGE('',*,*,#21741,.T.); #30352=ORIENTED_EDGE('',*,*,#21742,.T.); #30353=ORIENTED_EDGE('',*,*,#21743,.T.); #30354=ORIENTED_EDGE('',*,*,#21744,.T.); #30355=ORIENTED_EDGE('',*,*,#21745,.T.); #30356=ORIENTED_EDGE('',*,*,#21746,.T.); #30357=ORIENTED_EDGE('',*,*,#21747,.T.); #30358=ORIENTED_EDGE('',*,*,#21748,.T.); #30359=ORIENTED_EDGE('',*,*,#21749,.T.); #30360=ORIENTED_EDGE('',*,*,#21750,.T.); #30361=ORIENTED_EDGE('',*,*,#21751,.T.); #30362=ORIENTED_EDGE('',*,*,#21752,.T.); #30363=ORIENTED_EDGE('',*,*,#21753,.T.); #30364=ORIENTED_EDGE('',*,*,#21754,.T.); #30365=ORIENTED_EDGE('',*,*,#21755,.T.); #30366=ORIENTED_EDGE('',*,*,#21756,.T.); #30367=ORIENTED_EDGE('',*,*,#21757,.T.); #30368=ORIENTED_EDGE('',*,*,#21758,.T.); #30369=ORIENTED_EDGE('',*,*,#21759,.T.); #30370=ORIENTED_EDGE('',*,*,#21760,.T.); #30371=ORIENTED_EDGE('',*,*,#21761,.T.); #30372=ORIENTED_EDGE('',*,*,#21762,.T.); #30373=ORIENTED_EDGE('',*,*,#21763,.T.); #30374=ORIENTED_EDGE('',*,*,#21764,.T.); #30375=ORIENTED_EDGE('',*,*,#21765,.T.); #30376=ORIENTED_EDGE('',*,*,#21766,.T.); #30377=ORIENTED_EDGE('',*,*,#21767,.T.); #30378=ORIENTED_EDGE('',*,*,#21768,.T.); #30379=ORIENTED_EDGE('',*,*,#21769,.T.); #30380=ORIENTED_EDGE('',*,*,#21770,.T.); #30381=ORIENTED_EDGE('',*,*,#21771,.T.); #30382=ORIENTED_EDGE('',*,*,#21772,.T.); #30383=ORIENTED_EDGE('',*,*,#21773,.T.); #30384=ORIENTED_EDGE('',*,*,#21774,.T.); #30385=ORIENTED_EDGE('',*,*,#21775,.T.); #30386=ORIENTED_EDGE('',*,*,#21776,.T.); #30387=ORIENTED_EDGE('',*,*,#21777,.T.); #30388=ORIENTED_EDGE('',*,*,#21778,.T.); #30389=ORIENTED_EDGE('',*,*,#21779,.T.); #30390=ORIENTED_EDGE('',*,*,#21780,.T.); #30391=ORIENTED_EDGE('',*,*,#21781,.T.); #30392=ORIENTED_EDGE('',*,*,#21782,.T.); #30393=ORIENTED_EDGE('',*,*,#21783,.T.); #30394=ORIENTED_EDGE('',*,*,#21784,.T.); #30395=ORIENTED_EDGE('',*,*,#21785,.T.); #30396=ORIENTED_EDGE('',*,*,#21786,.T.); #30397=ORIENTED_EDGE('',*,*,#21787,.T.); #30398=ORIENTED_EDGE('',*,*,#21788,.T.); #30399=ORIENTED_EDGE('',*,*,#21789,.T.); #30400=ORIENTED_EDGE('',*,*,#21790,.T.); #30401=ORIENTED_EDGE('',*,*,#21791,.T.); #30402=ORIENTED_EDGE('',*,*,#21792,.T.); #30403=ORIENTED_EDGE('',*,*,#21793,.T.); #30404=ORIENTED_EDGE('',*,*,#21794,.T.); #30405=ORIENTED_EDGE('',*,*,#21795,.T.); #30406=ORIENTED_EDGE('',*,*,#21796,.T.); #30407=ORIENTED_EDGE('',*,*,#21797,.T.); #30408=ORIENTED_EDGE('',*,*,#21798,.T.); #30409=ORIENTED_EDGE('',*,*,#21799,.T.); #30410=ORIENTED_EDGE('',*,*,#21800,.T.); #30411=ORIENTED_EDGE('',*,*,#21801,.T.); #30412=ORIENTED_EDGE('',*,*,#21802,.T.); #30413=ORIENTED_EDGE('',*,*,#21803,.T.); #30414=ORIENTED_EDGE('',*,*,#21804,.T.); #30415=ORIENTED_EDGE('',*,*,#21805,.T.); #30416=ORIENTED_EDGE('',*,*,#21806,.T.); #30417=ORIENTED_EDGE('',*,*,#21807,.T.); #30418=ORIENTED_EDGE('',*,*,#21808,.T.); #30419=ORIENTED_EDGE('',*,*,#21809,.T.); #30420=ORIENTED_EDGE('',*,*,#21810,.T.); #30421=ORIENTED_EDGE('',*,*,#21811,.T.); #30422=ORIENTED_EDGE('',*,*,#21812,.T.); #30423=ORIENTED_EDGE('',*,*,#21813,.T.); #30424=ORIENTED_EDGE('',*,*,#21814,.T.); #30425=ORIENTED_EDGE('',*,*,#21815,.T.); #30426=ORIENTED_EDGE('',*,*,#21816,.T.); #30427=ORIENTED_EDGE('',*,*,#21817,.T.); #30428=ORIENTED_EDGE('',*,*,#21818,.T.); #30429=ORIENTED_EDGE('',*,*,#21819,.T.); #30430=ORIENTED_EDGE('',*,*,#21820,.T.); #30431=ORIENTED_EDGE('',*,*,#21821,.T.); #30432=ORIENTED_EDGE('',*,*,#21822,.T.); #30433=ORIENTED_EDGE('',*,*,#21823,.T.); #30434=ORIENTED_EDGE('',*,*,#21824,.T.); #30435=ORIENTED_EDGE('',*,*,#21825,.T.); #30436=ORIENTED_EDGE('',*,*,#21826,.T.); #30437=ORIENTED_EDGE('',*,*,#21827,.T.); #30438=ORIENTED_EDGE('',*,*,#21828,.T.); #30439=ORIENTED_EDGE('',*,*,#21829,.T.); #30440=ORIENTED_EDGE('',*,*,#21830,.T.); #30441=ORIENTED_EDGE('',*,*,#21831,.F.); #30442=ORIENTED_EDGE('',*,*,#21832,.F.); #30443=ORIENTED_EDGE('',*,*,#21833,.F.); #30444=ORIENTED_EDGE('',*,*,#21710,.F.); #30445=ORIENTED_EDGE('',*,*,#21833,.T.); #30446=ORIENTED_EDGE('',*,*,#21834,.F.); #30447=ORIENTED_EDGE('',*,*,#21835,.F.); #30448=ORIENTED_EDGE('',*,*,#21711,.F.); #30449=ORIENTED_EDGE('',*,*,#21835,.T.); #30450=ORIENTED_EDGE('',*,*,#21836,.F.); #30451=ORIENTED_EDGE('',*,*,#21837,.F.); #30452=ORIENTED_EDGE('',*,*,#21712,.F.); #30453=ORIENTED_EDGE('',*,*,#21837,.T.); #30454=ORIENTED_EDGE('',*,*,#21838,.F.); #30455=ORIENTED_EDGE('',*,*,#21839,.F.); #30456=ORIENTED_EDGE('',*,*,#21713,.F.); #30457=ORIENTED_EDGE('',*,*,#21839,.T.); #30458=ORIENTED_EDGE('',*,*,#21840,.F.); #30459=ORIENTED_EDGE('',*,*,#21841,.F.); #30460=ORIENTED_EDGE('',*,*,#21714,.F.); #30461=ORIENTED_EDGE('',*,*,#21841,.T.); #30462=ORIENTED_EDGE('',*,*,#21842,.F.); #30463=ORIENTED_EDGE('',*,*,#21843,.F.); #30464=ORIENTED_EDGE('',*,*,#21715,.F.); #30465=ORIENTED_EDGE('',*,*,#21843,.T.); #30466=ORIENTED_EDGE('',*,*,#21844,.F.); #30467=ORIENTED_EDGE('',*,*,#21845,.F.); #30468=ORIENTED_EDGE('',*,*,#21716,.F.); #30469=ORIENTED_EDGE('',*,*,#21845,.T.); #30470=ORIENTED_EDGE('',*,*,#21846,.F.); #30471=ORIENTED_EDGE('',*,*,#21847,.F.); #30472=ORIENTED_EDGE('',*,*,#21717,.F.); #30473=ORIENTED_EDGE('',*,*,#21847,.T.); #30474=ORIENTED_EDGE('',*,*,#21848,.F.); #30475=ORIENTED_EDGE('',*,*,#21849,.F.); #30476=ORIENTED_EDGE('',*,*,#21718,.F.); #30477=ORIENTED_EDGE('',*,*,#21849,.T.); #30478=ORIENTED_EDGE('',*,*,#21850,.F.); #30479=ORIENTED_EDGE('',*,*,#21851,.F.); #30480=ORIENTED_EDGE('',*,*,#21719,.F.); #30481=ORIENTED_EDGE('',*,*,#21851,.T.); #30482=ORIENTED_EDGE('',*,*,#21852,.F.); #30483=ORIENTED_EDGE('',*,*,#21853,.F.); #30484=ORIENTED_EDGE('',*,*,#21720,.F.); #30485=ORIENTED_EDGE('',*,*,#21853,.T.); #30486=ORIENTED_EDGE('',*,*,#21854,.F.); #30487=ORIENTED_EDGE('',*,*,#21855,.F.); #30488=ORIENTED_EDGE('',*,*,#21721,.F.); #30489=ORIENTED_EDGE('',*,*,#21855,.T.); #30490=ORIENTED_EDGE('',*,*,#21856,.F.); #30491=ORIENTED_EDGE('',*,*,#21857,.F.); #30492=ORIENTED_EDGE('',*,*,#21722,.F.); #30493=ORIENTED_EDGE('',*,*,#21857,.T.); #30494=ORIENTED_EDGE('',*,*,#21858,.F.); #30495=ORIENTED_EDGE('',*,*,#21859,.F.); #30496=ORIENTED_EDGE('',*,*,#21723,.F.); #30497=ORIENTED_EDGE('',*,*,#21859,.T.); #30498=ORIENTED_EDGE('',*,*,#21860,.F.); #30499=ORIENTED_EDGE('',*,*,#21861,.F.); #30500=ORIENTED_EDGE('',*,*,#21724,.F.); #30501=ORIENTED_EDGE('',*,*,#21861,.T.); #30502=ORIENTED_EDGE('',*,*,#21862,.F.); #30503=ORIENTED_EDGE('',*,*,#21863,.F.); #30504=ORIENTED_EDGE('',*,*,#21725,.F.); #30505=ORIENTED_EDGE('',*,*,#21863,.T.); #30506=ORIENTED_EDGE('',*,*,#21864,.F.); #30507=ORIENTED_EDGE('',*,*,#21865,.F.); #30508=ORIENTED_EDGE('',*,*,#21726,.F.); #30509=ORIENTED_EDGE('',*,*,#21865,.T.); #30510=ORIENTED_EDGE('',*,*,#21866,.F.); #30511=ORIENTED_EDGE('',*,*,#21867,.F.); #30512=ORIENTED_EDGE('',*,*,#21727,.F.); #30513=ORIENTED_EDGE('',*,*,#21867,.T.); #30514=ORIENTED_EDGE('',*,*,#21868,.F.); #30515=ORIENTED_EDGE('',*,*,#21869,.F.); #30516=ORIENTED_EDGE('',*,*,#21728,.F.); #30517=ORIENTED_EDGE('',*,*,#21869,.T.); #30518=ORIENTED_EDGE('',*,*,#21870,.F.); #30519=ORIENTED_EDGE('',*,*,#21871,.F.); #30520=ORIENTED_EDGE('',*,*,#21729,.F.); #30521=ORIENTED_EDGE('',*,*,#21871,.T.); #30522=ORIENTED_EDGE('',*,*,#21872,.F.); #30523=ORIENTED_EDGE('',*,*,#21873,.F.); #30524=ORIENTED_EDGE('',*,*,#21730,.F.); #30525=ORIENTED_EDGE('',*,*,#21873,.T.); #30526=ORIENTED_EDGE('',*,*,#21874,.F.); #30527=ORIENTED_EDGE('',*,*,#21875,.F.); #30528=ORIENTED_EDGE('',*,*,#21731,.F.); #30529=ORIENTED_EDGE('',*,*,#21875,.T.); #30530=ORIENTED_EDGE('',*,*,#21876,.F.); #30531=ORIENTED_EDGE('',*,*,#21877,.F.); #30532=ORIENTED_EDGE('',*,*,#21732,.F.); #30533=ORIENTED_EDGE('',*,*,#21877,.T.); #30534=ORIENTED_EDGE('',*,*,#21878,.F.); #30535=ORIENTED_EDGE('',*,*,#21879,.F.); #30536=ORIENTED_EDGE('',*,*,#21733,.F.); #30537=ORIENTED_EDGE('',*,*,#21879,.T.); #30538=ORIENTED_EDGE('',*,*,#21880,.F.); #30539=ORIENTED_EDGE('',*,*,#21881,.F.); #30540=ORIENTED_EDGE('',*,*,#21734,.F.); #30541=ORIENTED_EDGE('',*,*,#21881,.T.); #30542=ORIENTED_EDGE('',*,*,#21882,.F.); #30543=ORIENTED_EDGE('',*,*,#21883,.F.); #30544=ORIENTED_EDGE('',*,*,#21735,.F.); #30545=ORIENTED_EDGE('',*,*,#21883,.T.); #30546=ORIENTED_EDGE('',*,*,#21884,.F.); #30547=ORIENTED_EDGE('',*,*,#21885,.F.); #30548=ORIENTED_EDGE('',*,*,#21736,.F.); #30549=ORIENTED_EDGE('',*,*,#21885,.T.); #30550=ORIENTED_EDGE('',*,*,#21886,.F.); #30551=ORIENTED_EDGE('',*,*,#21887,.F.); #30552=ORIENTED_EDGE('',*,*,#21737,.F.); #30553=ORIENTED_EDGE('',*,*,#21887,.T.); #30554=ORIENTED_EDGE('',*,*,#21888,.F.); #30555=ORIENTED_EDGE('',*,*,#21889,.F.); #30556=ORIENTED_EDGE('',*,*,#21738,.F.); #30557=ORIENTED_EDGE('',*,*,#21889,.T.); #30558=ORIENTED_EDGE('',*,*,#21890,.F.); #30559=ORIENTED_EDGE('',*,*,#21891,.F.); #30560=ORIENTED_EDGE('',*,*,#21739,.F.); #30561=ORIENTED_EDGE('',*,*,#21891,.T.); #30562=ORIENTED_EDGE('',*,*,#21892,.F.); #30563=ORIENTED_EDGE('',*,*,#21893,.F.); #30564=ORIENTED_EDGE('',*,*,#21740,.F.); #30565=ORIENTED_EDGE('',*,*,#21893,.T.); #30566=ORIENTED_EDGE('',*,*,#21894,.F.); #30567=ORIENTED_EDGE('',*,*,#21895,.F.); #30568=ORIENTED_EDGE('',*,*,#21741,.F.); #30569=ORIENTED_EDGE('',*,*,#21895,.T.); #30570=ORIENTED_EDGE('',*,*,#21896,.F.); #30571=ORIENTED_EDGE('',*,*,#21897,.F.); #30572=ORIENTED_EDGE('',*,*,#21742,.F.); #30573=ORIENTED_EDGE('',*,*,#21897,.T.); #30574=ORIENTED_EDGE('',*,*,#21898,.F.); #30575=ORIENTED_EDGE('',*,*,#21899,.F.); #30576=ORIENTED_EDGE('',*,*,#21743,.F.); #30577=ORIENTED_EDGE('',*,*,#21899,.T.); #30578=ORIENTED_EDGE('',*,*,#21900,.F.); #30579=ORIENTED_EDGE('',*,*,#21901,.F.); #30580=ORIENTED_EDGE('',*,*,#21744,.F.); #30581=ORIENTED_EDGE('',*,*,#21901,.T.); #30582=ORIENTED_EDGE('',*,*,#21902,.F.); #30583=ORIENTED_EDGE('',*,*,#21903,.F.); #30584=ORIENTED_EDGE('',*,*,#21745,.F.); #30585=ORIENTED_EDGE('',*,*,#21903,.T.); #30586=ORIENTED_EDGE('',*,*,#21904,.F.); #30587=ORIENTED_EDGE('',*,*,#21905,.F.); #30588=ORIENTED_EDGE('',*,*,#21746,.F.); #30589=ORIENTED_EDGE('',*,*,#21905,.T.); #30590=ORIENTED_EDGE('',*,*,#21906,.F.); #30591=ORIENTED_EDGE('',*,*,#21907,.F.); #30592=ORIENTED_EDGE('',*,*,#21747,.F.); #30593=ORIENTED_EDGE('',*,*,#21907,.T.); #30594=ORIENTED_EDGE('',*,*,#21908,.F.); #30595=ORIENTED_EDGE('',*,*,#21909,.F.); #30596=ORIENTED_EDGE('',*,*,#21748,.F.); #30597=ORIENTED_EDGE('',*,*,#21909,.T.); #30598=ORIENTED_EDGE('',*,*,#21910,.F.); #30599=ORIENTED_EDGE('',*,*,#21911,.F.); #30600=ORIENTED_EDGE('',*,*,#21749,.F.); #30601=ORIENTED_EDGE('',*,*,#21911,.T.); #30602=ORIENTED_EDGE('',*,*,#21912,.F.); #30603=ORIENTED_EDGE('',*,*,#21913,.F.); #30604=ORIENTED_EDGE('',*,*,#21750,.F.); #30605=ORIENTED_EDGE('',*,*,#21913,.T.); #30606=ORIENTED_EDGE('',*,*,#21914,.F.); #30607=ORIENTED_EDGE('',*,*,#21915,.F.); #30608=ORIENTED_EDGE('',*,*,#21751,.F.); #30609=ORIENTED_EDGE('',*,*,#21915,.T.); #30610=ORIENTED_EDGE('',*,*,#21916,.F.); #30611=ORIENTED_EDGE('',*,*,#21917,.F.); #30612=ORIENTED_EDGE('',*,*,#21752,.F.); #30613=ORIENTED_EDGE('',*,*,#21917,.T.); #30614=ORIENTED_EDGE('',*,*,#21918,.F.); #30615=ORIENTED_EDGE('',*,*,#21919,.F.); #30616=ORIENTED_EDGE('',*,*,#21753,.F.); #30617=ORIENTED_EDGE('',*,*,#21919,.T.); #30618=ORIENTED_EDGE('',*,*,#21920,.F.); #30619=ORIENTED_EDGE('',*,*,#21921,.F.); #30620=ORIENTED_EDGE('',*,*,#21754,.F.); #30621=ORIENTED_EDGE('',*,*,#21921,.T.); #30622=ORIENTED_EDGE('',*,*,#21922,.F.); #30623=ORIENTED_EDGE('',*,*,#21923,.F.); #30624=ORIENTED_EDGE('',*,*,#21755,.F.); #30625=ORIENTED_EDGE('',*,*,#21923,.T.); #30626=ORIENTED_EDGE('',*,*,#21924,.F.); #30627=ORIENTED_EDGE('',*,*,#21925,.F.); #30628=ORIENTED_EDGE('',*,*,#21756,.F.); #30629=ORIENTED_EDGE('',*,*,#21925,.T.); #30630=ORIENTED_EDGE('',*,*,#21926,.F.); #30631=ORIENTED_EDGE('',*,*,#21927,.F.); #30632=ORIENTED_EDGE('',*,*,#21757,.F.); #30633=ORIENTED_EDGE('',*,*,#21927,.T.); #30634=ORIENTED_EDGE('',*,*,#21928,.F.); #30635=ORIENTED_EDGE('',*,*,#21929,.F.); #30636=ORIENTED_EDGE('',*,*,#21758,.F.); #30637=ORIENTED_EDGE('',*,*,#21929,.T.); #30638=ORIENTED_EDGE('',*,*,#21930,.F.); #30639=ORIENTED_EDGE('',*,*,#21931,.F.); #30640=ORIENTED_EDGE('',*,*,#21759,.F.); #30641=ORIENTED_EDGE('',*,*,#21931,.T.); #30642=ORIENTED_EDGE('',*,*,#21932,.F.); #30643=ORIENTED_EDGE('',*,*,#21933,.F.); #30644=ORIENTED_EDGE('',*,*,#21760,.F.); #30645=ORIENTED_EDGE('',*,*,#21933,.T.); #30646=ORIENTED_EDGE('',*,*,#21934,.F.); #30647=ORIENTED_EDGE('',*,*,#21935,.F.); #30648=ORIENTED_EDGE('',*,*,#21761,.F.); #30649=ORIENTED_EDGE('',*,*,#21935,.T.); #30650=ORIENTED_EDGE('',*,*,#21936,.F.); #30651=ORIENTED_EDGE('',*,*,#21937,.F.); #30652=ORIENTED_EDGE('',*,*,#21762,.F.); #30653=ORIENTED_EDGE('',*,*,#21937,.T.); #30654=ORIENTED_EDGE('',*,*,#21938,.F.); #30655=ORIENTED_EDGE('',*,*,#21939,.F.); #30656=ORIENTED_EDGE('',*,*,#21763,.F.); #30657=ORIENTED_EDGE('',*,*,#21939,.T.); #30658=ORIENTED_EDGE('',*,*,#21940,.F.); #30659=ORIENTED_EDGE('',*,*,#21941,.F.); #30660=ORIENTED_EDGE('',*,*,#21764,.F.); #30661=ORIENTED_EDGE('',*,*,#21941,.T.); #30662=ORIENTED_EDGE('',*,*,#21942,.F.); #30663=ORIENTED_EDGE('',*,*,#21943,.F.); #30664=ORIENTED_EDGE('',*,*,#21765,.F.); #30665=ORIENTED_EDGE('',*,*,#21943,.T.); #30666=ORIENTED_EDGE('',*,*,#21944,.F.); #30667=ORIENTED_EDGE('',*,*,#21945,.F.); #30668=ORIENTED_EDGE('',*,*,#21766,.F.); #30669=ORIENTED_EDGE('',*,*,#21945,.T.); #30670=ORIENTED_EDGE('',*,*,#21946,.F.); #30671=ORIENTED_EDGE('',*,*,#21947,.F.); #30672=ORIENTED_EDGE('',*,*,#21767,.F.); #30673=ORIENTED_EDGE('',*,*,#21947,.T.); #30674=ORIENTED_EDGE('',*,*,#21948,.F.); #30675=ORIENTED_EDGE('',*,*,#21949,.F.); #30676=ORIENTED_EDGE('',*,*,#21768,.F.); #30677=ORIENTED_EDGE('',*,*,#21949,.T.); #30678=ORIENTED_EDGE('',*,*,#21950,.F.); #30679=ORIENTED_EDGE('',*,*,#21951,.F.); #30680=ORIENTED_EDGE('',*,*,#21769,.F.); #30681=ORIENTED_EDGE('',*,*,#21951,.T.); #30682=ORIENTED_EDGE('',*,*,#21952,.F.); #30683=ORIENTED_EDGE('',*,*,#21953,.F.); #30684=ORIENTED_EDGE('',*,*,#21770,.F.); #30685=ORIENTED_EDGE('',*,*,#21953,.T.); #30686=ORIENTED_EDGE('',*,*,#21954,.F.); #30687=ORIENTED_EDGE('',*,*,#21955,.F.); #30688=ORIENTED_EDGE('',*,*,#21771,.F.); #30689=ORIENTED_EDGE('',*,*,#21955,.T.); #30690=ORIENTED_EDGE('',*,*,#21956,.F.); #30691=ORIENTED_EDGE('',*,*,#21957,.F.); #30692=ORIENTED_EDGE('',*,*,#21772,.F.); #30693=ORIENTED_EDGE('',*,*,#21957,.T.); #30694=ORIENTED_EDGE('',*,*,#21958,.F.); #30695=ORIENTED_EDGE('',*,*,#21959,.F.); #30696=ORIENTED_EDGE('',*,*,#21773,.F.); #30697=ORIENTED_EDGE('',*,*,#21959,.T.); #30698=ORIENTED_EDGE('',*,*,#21960,.F.); #30699=ORIENTED_EDGE('',*,*,#21961,.F.); #30700=ORIENTED_EDGE('',*,*,#21774,.F.); #30701=ORIENTED_EDGE('',*,*,#21961,.T.); #30702=ORIENTED_EDGE('',*,*,#21962,.F.); #30703=ORIENTED_EDGE('',*,*,#21963,.F.); #30704=ORIENTED_EDGE('',*,*,#21775,.F.); #30705=ORIENTED_EDGE('',*,*,#21963,.T.); #30706=ORIENTED_EDGE('',*,*,#21964,.F.); #30707=ORIENTED_EDGE('',*,*,#21965,.F.); #30708=ORIENTED_EDGE('',*,*,#21776,.F.); #30709=ORIENTED_EDGE('',*,*,#21965,.T.); #30710=ORIENTED_EDGE('',*,*,#21966,.F.); #30711=ORIENTED_EDGE('',*,*,#21967,.F.); #30712=ORIENTED_EDGE('',*,*,#21777,.F.); #30713=ORIENTED_EDGE('',*,*,#21967,.T.); #30714=ORIENTED_EDGE('',*,*,#21968,.F.); #30715=ORIENTED_EDGE('',*,*,#21969,.F.); #30716=ORIENTED_EDGE('',*,*,#21778,.F.); #30717=ORIENTED_EDGE('',*,*,#21969,.T.); #30718=ORIENTED_EDGE('',*,*,#21970,.F.); #30719=ORIENTED_EDGE('',*,*,#21971,.F.); #30720=ORIENTED_EDGE('',*,*,#21779,.F.); #30721=ORIENTED_EDGE('',*,*,#21971,.T.); #30722=ORIENTED_EDGE('',*,*,#21972,.F.); #30723=ORIENTED_EDGE('',*,*,#21973,.F.); #30724=ORIENTED_EDGE('',*,*,#21780,.F.); #30725=ORIENTED_EDGE('',*,*,#21973,.T.); #30726=ORIENTED_EDGE('',*,*,#21974,.F.); #30727=ORIENTED_EDGE('',*,*,#21975,.F.); #30728=ORIENTED_EDGE('',*,*,#21781,.F.); #30729=ORIENTED_EDGE('',*,*,#21975,.T.); #30730=ORIENTED_EDGE('',*,*,#21976,.F.); #30731=ORIENTED_EDGE('',*,*,#21977,.F.); #30732=ORIENTED_EDGE('',*,*,#21782,.F.); #30733=ORIENTED_EDGE('',*,*,#21977,.T.); #30734=ORIENTED_EDGE('',*,*,#21978,.F.); #30735=ORIENTED_EDGE('',*,*,#21979,.F.); #30736=ORIENTED_EDGE('',*,*,#21783,.F.); #30737=ORIENTED_EDGE('',*,*,#21979,.T.); #30738=ORIENTED_EDGE('',*,*,#21980,.F.); #30739=ORIENTED_EDGE('',*,*,#21981,.F.); #30740=ORIENTED_EDGE('',*,*,#21784,.F.); #30741=ORIENTED_EDGE('',*,*,#21981,.T.); #30742=ORIENTED_EDGE('',*,*,#21982,.F.); #30743=ORIENTED_EDGE('',*,*,#21983,.F.); #30744=ORIENTED_EDGE('',*,*,#21785,.F.); #30745=ORIENTED_EDGE('',*,*,#21983,.T.); #30746=ORIENTED_EDGE('',*,*,#21984,.F.); #30747=ORIENTED_EDGE('',*,*,#21985,.F.); #30748=ORIENTED_EDGE('',*,*,#21786,.F.); #30749=ORIENTED_EDGE('',*,*,#21985,.T.); #30750=ORIENTED_EDGE('',*,*,#21986,.F.); #30751=ORIENTED_EDGE('',*,*,#21987,.F.); #30752=ORIENTED_EDGE('',*,*,#21787,.F.); #30753=ORIENTED_EDGE('',*,*,#21987,.T.); #30754=ORIENTED_EDGE('',*,*,#21988,.F.); #30755=ORIENTED_EDGE('',*,*,#21989,.F.); #30756=ORIENTED_EDGE('',*,*,#21788,.F.); #30757=ORIENTED_EDGE('',*,*,#21989,.T.); #30758=ORIENTED_EDGE('',*,*,#21990,.F.); #30759=ORIENTED_EDGE('',*,*,#21991,.F.); #30760=ORIENTED_EDGE('',*,*,#21789,.F.); #30761=ORIENTED_EDGE('',*,*,#21991,.T.); #30762=ORIENTED_EDGE('',*,*,#21992,.F.); #30763=ORIENTED_EDGE('',*,*,#21993,.F.); #30764=ORIENTED_EDGE('',*,*,#21790,.F.); #30765=ORIENTED_EDGE('',*,*,#21993,.T.); #30766=ORIENTED_EDGE('',*,*,#21994,.F.); #30767=ORIENTED_EDGE('',*,*,#21995,.F.); #30768=ORIENTED_EDGE('',*,*,#21791,.F.); #30769=ORIENTED_EDGE('',*,*,#21995,.T.); #30770=ORIENTED_EDGE('',*,*,#21996,.F.); #30771=ORIENTED_EDGE('',*,*,#21997,.F.); #30772=ORIENTED_EDGE('',*,*,#21792,.F.); #30773=ORIENTED_EDGE('',*,*,#21997,.T.); #30774=ORIENTED_EDGE('',*,*,#21998,.F.); #30775=ORIENTED_EDGE('',*,*,#21999,.F.); #30776=ORIENTED_EDGE('',*,*,#21793,.F.); #30777=ORIENTED_EDGE('',*,*,#21999,.T.); #30778=ORIENTED_EDGE('',*,*,#22000,.F.); #30779=ORIENTED_EDGE('',*,*,#22001,.F.); #30780=ORIENTED_EDGE('',*,*,#21794,.F.); #30781=ORIENTED_EDGE('',*,*,#22001,.T.); #30782=ORIENTED_EDGE('',*,*,#22002,.F.); #30783=ORIENTED_EDGE('',*,*,#22003,.F.); #30784=ORIENTED_EDGE('',*,*,#21795,.F.); #30785=ORIENTED_EDGE('',*,*,#22003,.T.); #30786=ORIENTED_EDGE('',*,*,#22004,.F.); #30787=ORIENTED_EDGE('',*,*,#22005,.F.); #30788=ORIENTED_EDGE('',*,*,#21796,.F.); #30789=ORIENTED_EDGE('',*,*,#22005,.T.); #30790=ORIENTED_EDGE('',*,*,#22006,.F.); #30791=ORIENTED_EDGE('',*,*,#22007,.F.); #30792=ORIENTED_EDGE('',*,*,#21797,.F.); #30793=ORIENTED_EDGE('',*,*,#22007,.T.); #30794=ORIENTED_EDGE('',*,*,#22008,.F.); #30795=ORIENTED_EDGE('',*,*,#22009,.F.); #30796=ORIENTED_EDGE('',*,*,#21798,.F.); #30797=ORIENTED_EDGE('',*,*,#22009,.T.); #30798=ORIENTED_EDGE('',*,*,#22010,.F.); #30799=ORIENTED_EDGE('',*,*,#22011,.F.); #30800=ORIENTED_EDGE('',*,*,#21799,.F.); #30801=ORIENTED_EDGE('',*,*,#22011,.T.); #30802=ORIENTED_EDGE('',*,*,#22012,.F.); #30803=ORIENTED_EDGE('',*,*,#22013,.F.); #30804=ORIENTED_EDGE('',*,*,#21800,.F.); #30805=ORIENTED_EDGE('',*,*,#22013,.T.); #30806=ORIENTED_EDGE('',*,*,#22014,.F.); #30807=ORIENTED_EDGE('',*,*,#22015,.F.); #30808=ORIENTED_EDGE('',*,*,#21801,.F.); #30809=ORIENTED_EDGE('',*,*,#22015,.T.); #30810=ORIENTED_EDGE('',*,*,#22016,.F.); #30811=ORIENTED_EDGE('',*,*,#22017,.F.); #30812=ORIENTED_EDGE('',*,*,#21802,.F.); #30813=ORIENTED_EDGE('',*,*,#22017,.T.); #30814=ORIENTED_EDGE('',*,*,#22018,.F.); #30815=ORIENTED_EDGE('',*,*,#22019,.F.); #30816=ORIENTED_EDGE('',*,*,#21803,.F.); #30817=ORIENTED_EDGE('',*,*,#22019,.T.); #30818=ORIENTED_EDGE('',*,*,#22020,.F.); #30819=ORIENTED_EDGE('',*,*,#22021,.F.); #30820=ORIENTED_EDGE('',*,*,#21804,.F.); #30821=ORIENTED_EDGE('',*,*,#22021,.T.); #30822=ORIENTED_EDGE('',*,*,#22022,.F.); #30823=ORIENTED_EDGE('',*,*,#22023,.F.); #30824=ORIENTED_EDGE('',*,*,#21805,.F.); #30825=ORIENTED_EDGE('',*,*,#22023,.T.); #30826=ORIENTED_EDGE('',*,*,#22024,.F.); #30827=ORIENTED_EDGE('',*,*,#22025,.F.); #30828=ORIENTED_EDGE('',*,*,#21806,.F.); #30829=ORIENTED_EDGE('',*,*,#22025,.T.); #30830=ORIENTED_EDGE('',*,*,#22026,.F.); #30831=ORIENTED_EDGE('',*,*,#22027,.F.); #30832=ORIENTED_EDGE('',*,*,#21807,.F.); #30833=ORIENTED_EDGE('',*,*,#22027,.T.); #30834=ORIENTED_EDGE('',*,*,#22028,.F.); #30835=ORIENTED_EDGE('',*,*,#22029,.F.); #30836=ORIENTED_EDGE('',*,*,#21808,.F.); #30837=ORIENTED_EDGE('',*,*,#22029,.T.); #30838=ORIENTED_EDGE('',*,*,#22030,.F.); #30839=ORIENTED_EDGE('',*,*,#22031,.F.); #30840=ORIENTED_EDGE('',*,*,#21809,.F.); #30841=ORIENTED_EDGE('',*,*,#22031,.T.); #30842=ORIENTED_EDGE('',*,*,#22032,.F.); #30843=ORIENTED_EDGE('',*,*,#22033,.F.); #30844=ORIENTED_EDGE('',*,*,#21810,.F.); #30845=ORIENTED_EDGE('',*,*,#22033,.T.); #30846=ORIENTED_EDGE('',*,*,#22034,.F.); #30847=ORIENTED_EDGE('',*,*,#22035,.F.); #30848=ORIENTED_EDGE('',*,*,#21811,.F.); #30849=ORIENTED_EDGE('',*,*,#22035,.T.); #30850=ORIENTED_EDGE('',*,*,#22036,.F.); #30851=ORIENTED_EDGE('',*,*,#22037,.F.); #30852=ORIENTED_EDGE('',*,*,#21812,.F.); #30853=ORIENTED_EDGE('',*,*,#22037,.T.); #30854=ORIENTED_EDGE('',*,*,#22038,.F.); #30855=ORIENTED_EDGE('',*,*,#22039,.F.); #30856=ORIENTED_EDGE('',*,*,#21813,.F.); #30857=ORIENTED_EDGE('',*,*,#22039,.T.); #30858=ORIENTED_EDGE('',*,*,#22040,.F.); #30859=ORIENTED_EDGE('',*,*,#22041,.F.); #30860=ORIENTED_EDGE('',*,*,#21814,.F.); #30861=ORIENTED_EDGE('',*,*,#22041,.T.); #30862=ORIENTED_EDGE('',*,*,#22042,.F.); #30863=ORIENTED_EDGE('',*,*,#22043,.F.); #30864=ORIENTED_EDGE('',*,*,#21815,.F.); #30865=ORIENTED_EDGE('',*,*,#22043,.T.); #30866=ORIENTED_EDGE('',*,*,#22044,.F.); #30867=ORIENTED_EDGE('',*,*,#22045,.F.); #30868=ORIENTED_EDGE('',*,*,#21816,.F.); #30869=ORIENTED_EDGE('',*,*,#22045,.T.); #30870=ORIENTED_EDGE('',*,*,#22046,.F.); #30871=ORIENTED_EDGE('',*,*,#22047,.F.); #30872=ORIENTED_EDGE('',*,*,#21817,.F.); #30873=ORIENTED_EDGE('',*,*,#22047,.T.); #30874=ORIENTED_EDGE('',*,*,#22048,.F.); #30875=ORIENTED_EDGE('',*,*,#22049,.F.); #30876=ORIENTED_EDGE('',*,*,#21818,.F.); #30877=ORIENTED_EDGE('',*,*,#22049,.T.); #30878=ORIENTED_EDGE('',*,*,#22050,.F.); #30879=ORIENTED_EDGE('',*,*,#22051,.F.); #30880=ORIENTED_EDGE('',*,*,#21819,.F.); #30881=ORIENTED_EDGE('',*,*,#22051,.T.); #30882=ORIENTED_EDGE('',*,*,#22052,.F.); #30883=ORIENTED_EDGE('',*,*,#22053,.F.); #30884=ORIENTED_EDGE('',*,*,#21820,.F.); #30885=ORIENTED_EDGE('',*,*,#22053,.T.); #30886=ORIENTED_EDGE('',*,*,#22054,.F.); #30887=ORIENTED_EDGE('',*,*,#22055,.F.); #30888=ORIENTED_EDGE('',*,*,#21821,.F.); #30889=ORIENTED_EDGE('',*,*,#22055,.T.); #30890=ORIENTED_EDGE('',*,*,#22056,.F.); #30891=ORIENTED_EDGE('',*,*,#22057,.F.); #30892=ORIENTED_EDGE('',*,*,#21822,.F.); #30893=ORIENTED_EDGE('',*,*,#22057,.T.); #30894=ORIENTED_EDGE('',*,*,#22058,.F.); #30895=ORIENTED_EDGE('',*,*,#22059,.F.); #30896=ORIENTED_EDGE('',*,*,#21823,.F.); #30897=ORIENTED_EDGE('',*,*,#22059,.T.); #30898=ORIENTED_EDGE('',*,*,#22060,.F.); #30899=ORIENTED_EDGE('',*,*,#22061,.F.); #30900=ORIENTED_EDGE('',*,*,#21824,.F.); #30901=ORIENTED_EDGE('',*,*,#22061,.T.); #30902=ORIENTED_EDGE('',*,*,#22062,.F.); #30903=ORIENTED_EDGE('',*,*,#22063,.F.); #30904=ORIENTED_EDGE('',*,*,#21825,.F.); #30905=ORIENTED_EDGE('',*,*,#22063,.T.); #30906=ORIENTED_EDGE('',*,*,#22064,.F.); #30907=ORIENTED_EDGE('',*,*,#22065,.F.); #30908=ORIENTED_EDGE('',*,*,#21826,.F.); #30909=ORIENTED_EDGE('',*,*,#22065,.T.); #30910=ORIENTED_EDGE('',*,*,#22066,.F.); #30911=ORIENTED_EDGE('',*,*,#22067,.F.); #30912=ORIENTED_EDGE('',*,*,#21827,.F.); #30913=ORIENTED_EDGE('',*,*,#22067,.T.); #30914=ORIENTED_EDGE('',*,*,#22068,.F.); #30915=ORIENTED_EDGE('',*,*,#22069,.F.); #30916=ORIENTED_EDGE('',*,*,#21828,.F.); #30917=ORIENTED_EDGE('',*,*,#22069,.T.); #30918=ORIENTED_EDGE('',*,*,#22070,.F.); #30919=ORIENTED_EDGE('',*,*,#22071,.F.); #30920=ORIENTED_EDGE('',*,*,#21829,.F.); #30921=ORIENTED_EDGE('',*,*,#22072,.T.); #30922=ORIENTED_EDGE('',*,*,#22073,.F.); #30923=ORIENTED_EDGE('',*,*,#22074,.F.); #30924=ORIENTED_EDGE('',*,*,#21706,.F.); #30925=ORIENTED_EDGE('',*,*,#22074,.T.); #30926=ORIENTED_EDGE('',*,*,#22075,.F.); #30927=ORIENTED_EDGE('',*,*,#22076,.F.); #30928=ORIENTED_EDGE('',*,*,#21707,.F.); #30929=ORIENTED_EDGE('',*,*,#22076,.T.); #30930=ORIENTED_EDGE('',*,*,#22077,.F.); #30931=ORIENTED_EDGE('',*,*,#22078,.F.); #30932=ORIENTED_EDGE('',*,*,#21708,.F.); #30933=ORIENTED_EDGE('',*,*,#22078,.T.); #30934=ORIENTED_EDGE('',*,*,#22079,.F.); #30935=ORIENTED_EDGE('',*,*,#21831,.T.); #30936=ORIENTED_EDGE('',*,*,#21709,.F.); #30937=ORIENTED_EDGE('',*,*,#22080,.F.); #30938=ORIENTED_EDGE('',*,*,#22081,.T.); #30939=ORIENTED_EDGE('',*,*,#22070,.T.); #30940=ORIENTED_EDGE('',*,*,#22068,.T.); #30941=ORIENTED_EDGE('',*,*,#22066,.T.); #30942=ORIENTED_EDGE('',*,*,#22064,.T.); #30943=ORIENTED_EDGE('',*,*,#22062,.T.); #30944=ORIENTED_EDGE('',*,*,#22060,.T.); #30945=ORIENTED_EDGE('',*,*,#22058,.T.); #30946=ORIENTED_EDGE('',*,*,#22056,.T.); #30947=ORIENTED_EDGE('',*,*,#22054,.T.); #30948=ORIENTED_EDGE('',*,*,#22052,.T.); #30949=ORIENTED_EDGE('',*,*,#22050,.T.); #30950=ORIENTED_EDGE('',*,*,#22048,.T.); #30951=ORIENTED_EDGE('',*,*,#22046,.T.); #30952=ORIENTED_EDGE('',*,*,#22044,.T.); #30953=ORIENTED_EDGE('',*,*,#22042,.T.); #30954=ORIENTED_EDGE('',*,*,#22040,.T.); #30955=ORIENTED_EDGE('',*,*,#22038,.T.); #30956=ORIENTED_EDGE('',*,*,#22036,.T.); #30957=ORIENTED_EDGE('',*,*,#22034,.T.); #30958=ORIENTED_EDGE('',*,*,#22032,.T.); #30959=ORIENTED_EDGE('',*,*,#22030,.T.); #30960=ORIENTED_EDGE('',*,*,#22028,.T.); #30961=ORIENTED_EDGE('',*,*,#22026,.T.); #30962=ORIENTED_EDGE('',*,*,#22024,.T.); #30963=ORIENTED_EDGE('',*,*,#22022,.T.); #30964=ORIENTED_EDGE('',*,*,#22020,.T.); #30965=ORIENTED_EDGE('',*,*,#22018,.T.); #30966=ORIENTED_EDGE('',*,*,#22016,.T.); #30967=ORIENTED_EDGE('',*,*,#22014,.T.); #30968=ORIENTED_EDGE('',*,*,#22012,.T.); #30969=ORIENTED_EDGE('',*,*,#22010,.T.); #30970=ORIENTED_EDGE('',*,*,#22008,.T.); #30971=ORIENTED_EDGE('',*,*,#22006,.T.); #30972=ORIENTED_EDGE('',*,*,#22004,.T.); #30973=ORIENTED_EDGE('',*,*,#22002,.T.); #30974=ORIENTED_EDGE('',*,*,#22000,.T.); #30975=ORIENTED_EDGE('',*,*,#21998,.T.); #30976=ORIENTED_EDGE('',*,*,#21996,.T.); #30977=ORIENTED_EDGE('',*,*,#21994,.T.); #30978=ORIENTED_EDGE('',*,*,#21992,.T.); #30979=ORIENTED_EDGE('',*,*,#21990,.T.); #30980=ORIENTED_EDGE('',*,*,#21988,.T.); #30981=ORIENTED_EDGE('',*,*,#21986,.T.); #30982=ORIENTED_EDGE('',*,*,#21984,.T.); #30983=ORIENTED_EDGE('',*,*,#21982,.T.); #30984=ORIENTED_EDGE('',*,*,#21980,.T.); #30985=ORIENTED_EDGE('',*,*,#21978,.T.); #30986=ORIENTED_EDGE('',*,*,#21976,.T.); #30987=ORIENTED_EDGE('',*,*,#21974,.T.); #30988=ORIENTED_EDGE('',*,*,#21972,.T.); #30989=ORIENTED_EDGE('',*,*,#21970,.T.); #30990=ORIENTED_EDGE('',*,*,#21968,.T.); #30991=ORIENTED_EDGE('',*,*,#21966,.T.); #30992=ORIENTED_EDGE('',*,*,#21964,.T.); #30993=ORIENTED_EDGE('',*,*,#21962,.T.); #30994=ORIENTED_EDGE('',*,*,#21960,.T.); #30995=ORIENTED_EDGE('',*,*,#21958,.T.); #30996=ORIENTED_EDGE('',*,*,#21956,.T.); #30997=ORIENTED_EDGE('',*,*,#21954,.T.); #30998=ORIENTED_EDGE('',*,*,#21952,.T.); #30999=ORIENTED_EDGE('',*,*,#21950,.T.); #31000=ORIENTED_EDGE('',*,*,#21948,.T.); #31001=ORIENTED_EDGE('',*,*,#21946,.T.); #31002=ORIENTED_EDGE('',*,*,#21944,.T.); #31003=ORIENTED_EDGE('',*,*,#21942,.T.); #31004=ORIENTED_EDGE('',*,*,#21940,.T.); #31005=ORIENTED_EDGE('',*,*,#21938,.T.); #31006=ORIENTED_EDGE('',*,*,#21936,.T.); #31007=ORIENTED_EDGE('',*,*,#21934,.T.); #31008=ORIENTED_EDGE('',*,*,#21932,.T.); #31009=ORIENTED_EDGE('',*,*,#21930,.T.); #31010=ORIENTED_EDGE('',*,*,#21928,.T.); #31011=ORIENTED_EDGE('',*,*,#21926,.T.); #31012=ORIENTED_EDGE('',*,*,#21924,.T.); #31013=ORIENTED_EDGE('',*,*,#21922,.T.); #31014=ORIENTED_EDGE('',*,*,#21920,.T.); #31015=ORIENTED_EDGE('',*,*,#21918,.T.); #31016=ORIENTED_EDGE('',*,*,#21916,.T.); #31017=ORIENTED_EDGE('',*,*,#21914,.T.); #31018=ORIENTED_EDGE('',*,*,#21912,.T.); #31019=ORIENTED_EDGE('',*,*,#21910,.T.); #31020=ORIENTED_EDGE('',*,*,#21908,.T.); #31021=ORIENTED_EDGE('',*,*,#21906,.T.); #31022=ORIENTED_EDGE('',*,*,#21904,.T.); #31023=ORIENTED_EDGE('',*,*,#21902,.T.); #31024=ORIENTED_EDGE('',*,*,#21900,.T.); #31025=ORIENTED_EDGE('',*,*,#21898,.T.); #31026=ORIENTED_EDGE('',*,*,#21896,.T.); #31027=ORIENTED_EDGE('',*,*,#21894,.T.); #31028=ORIENTED_EDGE('',*,*,#21892,.T.); #31029=ORIENTED_EDGE('',*,*,#21890,.T.); #31030=ORIENTED_EDGE('',*,*,#21888,.T.); #31031=ORIENTED_EDGE('',*,*,#21886,.T.); #31032=ORIENTED_EDGE('',*,*,#21884,.T.); #31033=ORIENTED_EDGE('',*,*,#21882,.T.); #31034=ORIENTED_EDGE('',*,*,#21880,.T.); #31035=ORIENTED_EDGE('',*,*,#21878,.T.); #31036=ORIENTED_EDGE('',*,*,#21876,.T.); #31037=ORIENTED_EDGE('',*,*,#21874,.T.); #31038=ORIENTED_EDGE('',*,*,#21872,.T.); #31039=ORIENTED_EDGE('',*,*,#21870,.T.); #31040=ORIENTED_EDGE('',*,*,#21868,.T.); #31041=ORIENTED_EDGE('',*,*,#21866,.T.); #31042=ORIENTED_EDGE('',*,*,#21864,.T.); #31043=ORIENTED_EDGE('',*,*,#21862,.T.); #31044=ORIENTED_EDGE('',*,*,#21860,.T.); #31045=ORIENTED_EDGE('',*,*,#21858,.T.); #31046=ORIENTED_EDGE('',*,*,#21856,.T.); #31047=ORIENTED_EDGE('',*,*,#21854,.T.); #31048=ORIENTED_EDGE('',*,*,#21852,.T.); #31049=ORIENTED_EDGE('',*,*,#21850,.T.); #31050=ORIENTED_EDGE('',*,*,#21848,.T.); #31051=ORIENTED_EDGE('',*,*,#21846,.T.); #31052=ORIENTED_EDGE('',*,*,#21844,.T.); #31053=ORIENTED_EDGE('',*,*,#21842,.T.); #31054=ORIENTED_EDGE('',*,*,#21840,.T.); #31055=ORIENTED_EDGE('',*,*,#21838,.T.); #31056=ORIENTED_EDGE('',*,*,#21836,.T.); #31057=ORIENTED_EDGE('',*,*,#21834,.T.); #31058=ORIENTED_EDGE('',*,*,#21832,.T.); #31059=ORIENTED_EDGE('',*,*,#22079,.T.); #31060=ORIENTED_EDGE('',*,*,#22077,.T.); #31061=ORIENTED_EDGE('',*,*,#22075,.T.); #31062=ORIENTED_EDGE('',*,*,#22073,.T.); #31063=ORIENTED_EDGE('',*,*,#22082,.T.); #31064=ORIENTED_EDGE('',*,*,#22083,.F.); #31065=ORIENTED_EDGE('',*,*,#21703,.T.); #31066=ORIENTED_EDGE('',*,*,#22084,.T.); #31067=ORIENTED_EDGE('',*,*,#22085,.T.); #31068=ORIENTED_EDGE('',*,*,#22086,.T.); #31069=ORIENTED_EDGE('',*,*,#22087,.T.); #31070=ORIENTED_EDGE('',*,*,#22088,.T.); #31071=ORIENTED_EDGE('',*,*,#22089,.T.); #31072=ORIENTED_EDGE('',*,*,#22090,.T.); #31073=ORIENTED_EDGE('',*,*,#22091,.T.); #31074=ORIENTED_EDGE('',*,*,#22092,.T.); #31075=ORIENTED_EDGE('',*,*,#22093,.T.); #31076=ORIENTED_EDGE('',*,*,#22094,.T.); #31077=ORIENTED_EDGE('',*,*,#21704,.T.); #31078=ORIENTED_EDGE('',*,*,#22090,.F.); #31079=ORIENTED_EDGE('',*,*,#22095,.F.); #31080=ORIENTED_EDGE('',*,*,#22096,.F.); #31081=ORIENTED_EDGE('',*,*,#22083,.T.); #31082=ORIENTED_EDGE('',*,*,#22097,.F.); #31083=ORIENTED_EDGE('',*,*,#22098,.T.); #31084=ORIENTED_EDGE('',*,*,#22099,.F.); #31085=ORIENTED_EDGE('',*,*,#22100,.F.); #31086=ORIENTED_EDGE('',*,*,#22101,.T.); #31087=ORIENTED_EDGE('',*,*,#22102,.T.); #31088=ORIENTED_EDGE('',*,*,#22101,.F.); #31089=ORIENTED_EDGE('',*,*,#22103,.F.); #31090=ORIENTED_EDGE('',*,*,#22104,.T.); #31091=ORIENTED_EDGE('',*,*,#22105,.T.); #31092=ORIENTED_EDGE('',*,*,#22104,.F.); #31093=ORIENTED_EDGE('',*,*,#22094,.F.); #31094=ORIENTED_EDGE('',*,*,#22106,.T.); #31095=ORIENTED_EDGE('',*,*,#22107,.T.); #31096=ORIENTED_EDGE('',*,*,#22106,.F.); #31097=ORIENTED_EDGE('',*,*,#22108,.F.); #31098=ORIENTED_EDGE('',*,*,#22109,.T.); #31099=ORIENTED_EDGE('',*,*,#22110,.T.); #31100=ORIENTED_EDGE('',*,*,#22109,.F.); #31101=ORIENTED_EDGE('',*,*,#22093,.F.); #31102=ORIENTED_EDGE('',*,*,#22111,.T.); #31103=ORIENTED_EDGE('',*,*,#22112,.T.); #31104=ORIENTED_EDGE('',*,*,#22111,.F.); #31105=ORIENTED_EDGE('',*,*,#22113,.F.); #31106=ORIENTED_EDGE('',*,*,#22114,.T.); #31107=ORIENTED_EDGE('',*,*,#22115,.T.); #31108=ORIENTED_EDGE('',*,*,#22114,.F.); #31109=ORIENTED_EDGE('',*,*,#22092,.F.); #31110=ORIENTED_EDGE('',*,*,#22116,.T.); #31111=ORIENTED_EDGE('',*,*,#22117,.T.); #31112=ORIENTED_EDGE('',*,*,#22116,.F.); #31113=ORIENTED_EDGE('',*,*,#22091,.F.); #31114=ORIENTED_EDGE('',*,*,#22118,.T.); #31115=ORIENTED_EDGE('',*,*,#22119,.T.); #31116=ORIENTED_EDGE('',*,*,#22118,.F.); #31117=ORIENTED_EDGE('',*,*,#22120,.F.); #31118=ORIENTED_EDGE('',*,*,#22121,.T.); #31119=ORIENTED_EDGE('',*,*,#22086,.F.); #31120=ORIENTED_EDGE('',*,*,#22122,.F.); #31121=ORIENTED_EDGE('',*,*,#22123,.T.); #31122=ORIENTED_EDGE('',*,*,#22122,.T.); #31123=ORIENTED_EDGE('',*,*,#22085,.F.); #31124=ORIENTED_EDGE('',*,*,#22124,.F.); #31125=ORIENTED_EDGE('',*,*,#22125,.F.); #31126=ORIENTED_EDGE('',*,*,#22126,.F.); #31127=ORIENTED_EDGE('',*,*,#22127,.F.); #31128=ORIENTED_EDGE('',*,*,#22124,.T.); #31129=ORIENTED_EDGE('',*,*,#22084,.F.); #31130=ORIENTED_EDGE('',*,*,#21830,.F.); #31131=ORIENTED_EDGE('',*,*,#22071,.T.); #31132=ORIENTED_EDGE('',*,*,#22081,.F.); #31133=ORIENTED_EDGE('',*,*,#22128,.T.); #31134=ORIENTED_EDGE('',*,*,#22126,.T.); #31135=ORIENTED_EDGE('',*,*,#22129,.F.); #31136=ORIENTED_EDGE('',*,*,#22130,.F.); #31137=ORIENTED_EDGE('',*,*,#22131,.F.); #31138=ORIENTED_EDGE('',*,*,#22130,.T.); #31139=ORIENTED_EDGE('',*,*,#22132,.F.); #31140=ORIENTED_EDGE('',*,*,#22133,.F.); #31141=ORIENTED_EDGE('',*,*,#22134,.T.); #31142=ORIENTED_EDGE('',*,*,#22133,.T.); #31143=ORIENTED_EDGE('',*,*,#22135,.F.); #31144=ORIENTED_EDGE('',*,*,#22136,.F.); #31145=ORIENTED_EDGE('',*,*,#22137,.F.); #31146=ORIENTED_EDGE('',*,*,#22136,.T.); #31147=ORIENTED_EDGE('',*,*,#22138,.F.); #31148=ORIENTED_EDGE('',*,*,#22139,.F.); #31149=ORIENTED_EDGE('',*,*,#22140,.T.); #31150=ORIENTED_EDGE('',*,*,#22139,.T.); #31151=ORIENTED_EDGE('',*,*,#22141,.F.); #31152=ORIENTED_EDGE('',*,*,#22098,.F.); #31153=ORIENTED_EDGE('',*,*,#22142,.T.); #31154=ORIENTED_EDGE('',*,*,#22095,.T.); #31155=ORIENTED_EDGE('',*,*,#22089,.F.); #31156=ORIENTED_EDGE('',*,*,#22143,.F.); #31157=ORIENTED_EDGE('',*,*,#22144,.T.); #31158=ORIENTED_EDGE('',*,*,#22143,.T.); #31159=ORIENTED_EDGE('',*,*,#22088,.F.); #31160=ORIENTED_EDGE('',*,*,#22145,.F.); #31161=ORIENTED_EDGE('',*,*,#22146,.T.); #31162=ORIENTED_EDGE('',*,*,#22145,.T.); #31163=ORIENTED_EDGE('',*,*,#22087,.F.); #31164=ORIENTED_EDGE('',*,*,#22121,.F.); #31165=ORIENTED_EDGE('',*,*,#22080,.T.); #31166=ORIENTED_EDGE('',*,*,#22099,.T.); #31167=ORIENTED_EDGE('',*,*,#22141,.T.); #31168=ORIENTED_EDGE('',*,*,#22138,.T.); #31169=ORIENTED_EDGE('',*,*,#22135,.T.); #31170=ORIENTED_EDGE('',*,*,#22132,.T.); #31171=ORIENTED_EDGE('',*,*,#22129,.T.); #31172=ORIENTED_EDGE('',*,*,#22125,.T.); #31173=ORIENTED_EDGE('',*,*,#22113,.T.); #31174=ORIENTED_EDGE('',*,*,#22108,.T.); #31175=ORIENTED_EDGE('',*,*,#22103,.T.); #31176=ORIENTED_EDGE('',*,*,#22100,.T.); #31177=ORIENTED_EDGE('',*,*,#22127,.T.); #31178=ORIENTED_EDGE('',*,*,#22128,.F.); #31179=ORIENTED_EDGE('',*,*,#22131,.T.); #31180=ORIENTED_EDGE('',*,*,#22134,.F.); #31181=ORIENTED_EDGE('',*,*,#22137,.T.); #31182=ORIENTED_EDGE('',*,*,#22140,.F.); #31183=ORIENTED_EDGE('',*,*,#22097,.T.); #31184=ORIENTED_EDGE('',*,*,#22082,.F.); #31185=ORIENTED_EDGE('',*,*,#22072,.F.); #31186=ORIENTED_EDGE('',*,*,#21705,.F.); #31187=ORIENTED_EDGE('',*,*,#22096,.T.); #31188=ORIENTED_EDGE('',*,*,#22142,.F.); #31189=ORIENTED_EDGE('',*,*,#22144,.F.); #31190=ORIENTED_EDGE('',*,*,#22146,.F.); #31191=ORIENTED_EDGE('',*,*,#22120,.T.); #31192=ORIENTED_EDGE('',*,*,#22123,.F.); #31193=ORIENTED_EDGE('',*,*,#22107,.F.); #31194=ORIENTED_EDGE('',*,*,#22112,.F.); #31195=ORIENTED_EDGE('',*,*,#22117,.F.); #31196=ORIENTED_EDGE('',*,*,#22119,.F.); #31197=ORIENTED_EDGE('',*,*,#22115,.F.); #31198=ORIENTED_EDGE('',*,*,#22110,.F.); #31199=ORIENTED_EDGE('',*,*,#22105,.F.); #31200=ORIENTED_EDGE('',*,*,#22102,.F.); #31201=ORIENTED_EDGE('',*,*,#22147,.F.); #31202=ORIENTED_EDGE('',*,*,#22148,.T.); #31203=ORIENTED_EDGE('',*,*,#22149,.T.); #31204=ORIENTED_EDGE('',*,*,#22148,.F.); #31205=ORIENTED_EDGE('',*,*,#22150,.F.); #31206=ORIENTED_EDGE('',*,*,#22151,.T.); #31207=ORIENTED_EDGE('',*,*,#22152,.F.); #31208=ORIENTED_EDGE('',*,*,#22153,.F.); #31209=ORIENTED_EDGE('',*,*,#22154,.F.); #31210=ORIENTED_EDGE('',*,*,#22153,.T.); #31211=ORIENTED_EDGE('',*,*,#22155,.F.); #31212=ORIENTED_EDGE('',*,*,#22156,.F.); #31213=ORIENTED_EDGE('',*,*,#22157,.F.); #31214=ORIENTED_EDGE('',*,*,#22156,.T.); #31215=ORIENTED_EDGE('',*,*,#22158,.F.); #31216=ORIENTED_EDGE('',*,*,#22159,.F.); #31217=ORIENTED_EDGE('',*,*,#22160,.F.); #31218=ORIENTED_EDGE('',*,*,#22159,.T.); #31219=ORIENTED_EDGE('',*,*,#22161,.F.); #31220=ORIENTED_EDGE('',*,*,#22162,.F.); #31221=ORIENTED_EDGE('',*,*,#22163,.F.); #31222=ORIENTED_EDGE('',*,*,#22162,.T.); #31223=ORIENTED_EDGE('',*,*,#22164,.F.); #31224=ORIENTED_EDGE('',*,*,#22165,.F.); #31225=ORIENTED_EDGE('',*,*,#22166,.F.); #31226=ORIENTED_EDGE('',*,*,#22165,.T.); #31227=ORIENTED_EDGE('',*,*,#22167,.F.); #31228=ORIENTED_EDGE('',*,*,#22168,.F.); #31229=ORIENTED_EDGE('',*,*,#22169,.F.); #31230=ORIENTED_EDGE('',*,*,#22168,.T.); #31231=ORIENTED_EDGE('',*,*,#22170,.F.); #31232=ORIENTED_EDGE('',*,*,#22171,.F.); #31233=ORIENTED_EDGE('',*,*,#22172,.F.); #31234=ORIENTED_EDGE('',*,*,#22171,.T.); #31235=ORIENTED_EDGE('',*,*,#22173,.F.); #31236=ORIENTED_EDGE('',*,*,#22174,.F.); #31237=ORIENTED_EDGE('',*,*,#22175,.T.); #31238=ORIENTED_EDGE('',*,*,#22174,.T.); #31239=ORIENTED_EDGE('',*,*,#22176,.F.); #31240=ORIENTED_EDGE('',*,*,#22177,.F.); #31241=ORIENTED_EDGE('',*,*,#22178,.T.); #31242=ORIENTED_EDGE('',*,*,#22177,.T.); #31243=ORIENTED_EDGE('',*,*,#22179,.F.); #31244=ORIENTED_EDGE('',*,*,#22180,.F.); #31245=ORIENTED_EDGE('',*,*,#22181,.T.); #31246=ORIENTED_EDGE('',*,*,#22180,.T.); #31247=ORIENTED_EDGE('',*,*,#22182,.F.); #31248=ORIENTED_EDGE('',*,*,#22183,.F.); #31249=ORIENTED_EDGE('',*,*,#22184,.T.); #31250=ORIENTED_EDGE('',*,*,#22183,.T.); #31251=ORIENTED_EDGE('',*,*,#22185,.F.); #31252=ORIENTED_EDGE('',*,*,#22151,.F.); #31253=ORIENTED_EDGE('',*,*,#22185,.T.); #31254=ORIENTED_EDGE('',*,*,#22182,.T.); #31255=ORIENTED_EDGE('',*,*,#22179,.T.); #31256=ORIENTED_EDGE('',*,*,#22176,.T.); #31257=ORIENTED_EDGE('',*,*,#22173,.T.); #31258=ORIENTED_EDGE('',*,*,#22170,.T.); #31259=ORIENTED_EDGE('',*,*,#22167,.T.); #31260=ORIENTED_EDGE('',*,*,#22164,.T.); #31261=ORIENTED_EDGE('',*,*,#22161,.T.); #31262=ORIENTED_EDGE('',*,*,#22158,.T.); #31263=ORIENTED_EDGE('',*,*,#22155,.T.); #31264=ORIENTED_EDGE('',*,*,#22152,.T.); #31265=ORIENTED_EDGE('',*,*,#22147,.T.); #31266=ORIENTED_EDGE('',*,*,#22184,.F.); #31267=ORIENTED_EDGE('',*,*,#22150,.T.); #31268=ORIENTED_EDGE('',*,*,#22154,.T.); #31269=ORIENTED_EDGE('',*,*,#22157,.T.); #31270=ORIENTED_EDGE('',*,*,#22160,.T.); #31271=ORIENTED_EDGE('',*,*,#22163,.T.); #31272=ORIENTED_EDGE('',*,*,#22166,.T.); #31273=ORIENTED_EDGE('',*,*,#22169,.T.); #31274=ORIENTED_EDGE('',*,*,#22172,.T.); #31275=ORIENTED_EDGE('',*,*,#22175,.F.); #31276=ORIENTED_EDGE('',*,*,#22178,.F.); #31277=ORIENTED_EDGE('',*,*,#22181,.F.); #31278=ORIENTED_EDGE('',*,*,#22149,.F.); #31279=ORIENTED_EDGE('',*,*,#22186,.F.); #31280=ORIENTED_EDGE('',*,*,#22187,.F.); #31281=ORIENTED_EDGE('',*,*,#22188,.F.); #31282=ORIENTED_EDGE('',*,*,#22189,.T.); #31283=ORIENTED_EDGE('',*,*,#22190,.F.); #31284=ORIENTED_EDGE('',*,*,#22189,.F.); #31285=ORIENTED_EDGE('',*,*,#22191,.F.); #31286=ORIENTED_EDGE('',*,*,#22192,.T.); #31287=ORIENTED_EDGE('',*,*,#22193,.F.); #31288=ORIENTED_EDGE('',*,*,#22192,.F.); #31289=ORIENTED_EDGE('',*,*,#22194,.F.); #31290=ORIENTED_EDGE('',*,*,#22195,.T.); #31291=ORIENTED_EDGE('',*,*,#22196,.F.); #31292=ORIENTED_EDGE('',*,*,#22195,.F.); #31293=ORIENTED_EDGE('',*,*,#22197,.F.); #31294=ORIENTED_EDGE('',*,*,#22187,.T.); #31295=ORIENTED_EDGE('',*,*,#22198,.F.); #31296=ORIENTED_EDGE('',*,*,#22199,.T.); #31297=ORIENTED_EDGE('',*,*,#22200,.T.); #31298=ORIENTED_EDGE('',*,*,#22199,.F.); #31299=ORIENTED_EDGE('',*,*,#22201,.F.); #31300=ORIENTED_EDGE('',*,*,#22202,.T.); #31301=ORIENTED_EDGE('',*,*,#22203,.T.); #31302=ORIENTED_EDGE('',*,*,#22202,.F.); #31303=ORIENTED_EDGE('',*,*,#22204,.F.); #31304=ORIENTED_EDGE('',*,*,#22205,.T.); #31305=ORIENTED_EDGE('',*,*,#22206,.F.); #31306=ORIENTED_EDGE('',*,*,#22207,.F.); #31307=ORIENTED_EDGE('',*,*,#22208,.F.); #31308=ORIENTED_EDGE('',*,*,#22207,.T.); #31309=ORIENTED_EDGE('',*,*,#22209,.F.); #31310=ORIENTED_EDGE('',*,*,#22210,.F.); #31311=ORIENTED_EDGE('',*,*,#22211,.F.); #31312=ORIENTED_EDGE('',*,*,#22210,.T.); #31313=ORIENTED_EDGE('',*,*,#22212,.F.); #31314=ORIENTED_EDGE('',*,*,#22213,.F.); #31315=ORIENTED_EDGE('',*,*,#22214,.F.); #31316=ORIENTED_EDGE('',*,*,#22213,.T.); #31317=ORIENTED_EDGE('',*,*,#22215,.F.); #31318=ORIENTED_EDGE('',*,*,#22216,.F.); #31319=ORIENTED_EDGE('',*,*,#22217,.F.); #31320=ORIENTED_EDGE('',*,*,#22216,.T.); #31321=ORIENTED_EDGE('',*,*,#22218,.F.); #31322=ORIENTED_EDGE('',*,*,#22219,.F.); #31323=ORIENTED_EDGE('',*,*,#22220,.F.); #31324=ORIENTED_EDGE('',*,*,#22219,.T.); #31325=ORIENTED_EDGE('',*,*,#22221,.F.); #31326=ORIENTED_EDGE('',*,*,#22222,.F.); #31327=ORIENTED_EDGE('',*,*,#22223,.F.); #31328=ORIENTED_EDGE('',*,*,#22222,.T.); #31329=ORIENTED_EDGE('',*,*,#22224,.F.); #31330=ORIENTED_EDGE('',*,*,#22225,.F.); #31331=ORIENTED_EDGE('',*,*,#22226,.F.); #31332=ORIENTED_EDGE('',*,*,#22225,.T.); #31333=ORIENTED_EDGE('',*,*,#22227,.F.); #31334=ORIENTED_EDGE('',*,*,#22228,.F.); #31335=ORIENTED_EDGE('',*,*,#22229,.F.); #31336=ORIENTED_EDGE('',*,*,#22228,.T.); #31337=ORIENTED_EDGE('',*,*,#22230,.F.); #31338=ORIENTED_EDGE('',*,*,#22231,.F.); #31339=ORIENTED_EDGE('',*,*,#22232,.F.); #31340=ORIENTED_EDGE('',*,*,#22231,.T.); #31341=ORIENTED_EDGE('',*,*,#22233,.F.); #31342=ORIENTED_EDGE('',*,*,#22234,.F.); #31343=ORIENTED_EDGE('',*,*,#22235,.F.); #31344=ORIENTED_EDGE('',*,*,#22234,.T.); #31345=ORIENTED_EDGE('',*,*,#22236,.F.); #31346=ORIENTED_EDGE('',*,*,#22237,.F.); #31347=ORIENTED_EDGE('',*,*,#22238,.T.); #31348=ORIENTED_EDGE('',*,*,#22237,.T.); #31349=ORIENTED_EDGE('',*,*,#22239,.F.); #31350=ORIENTED_EDGE('',*,*,#22205,.F.); #31351=ORIENTED_EDGE('',*,*,#22239,.T.); #31352=ORIENTED_EDGE('',*,*,#22236,.T.); #31353=ORIENTED_EDGE('',*,*,#22233,.T.); #31354=ORIENTED_EDGE('',*,*,#22230,.T.); #31355=ORIENTED_EDGE('',*,*,#22227,.T.); #31356=ORIENTED_EDGE('',*,*,#22224,.T.); #31357=ORIENTED_EDGE('',*,*,#22221,.T.); #31358=ORIENTED_EDGE('',*,*,#22218,.T.); #31359=ORIENTED_EDGE('',*,*,#22215,.T.); #31360=ORIENTED_EDGE('',*,*,#22212,.T.); #31361=ORIENTED_EDGE('',*,*,#22209,.T.); #31362=ORIENTED_EDGE('',*,*,#22206,.T.); #31363=ORIENTED_EDGE('',*,*,#22194,.T.); #31364=ORIENTED_EDGE('',*,*,#22191,.T.); #31365=ORIENTED_EDGE('',*,*,#22188,.T.); #31366=ORIENTED_EDGE('',*,*,#22197,.T.); #31367=ORIENTED_EDGE('',*,*,#22201,.T.); #31368=ORIENTED_EDGE('',*,*,#22198,.T.); #31369=ORIENTED_EDGE('',*,*,#22238,.F.); #31370=ORIENTED_EDGE('',*,*,#22204,.T.); #31371=ORIENTED_EDGE('',*,*,#22208,.T.); #31372=ORIENTED_EDGE('',*,*,#22211,.T.); #31373=ORIENTED_EDGE('',*,*,#22214,.T.); #31374=ORIENTED_EDGE('',*,*,#22217,.T.); #31375=ORIENTED_EDGE('',*,*,#22220,.T.); #31376=ORIENTED_EDGE('',*,*,#22223,.T.); #31377=ORIENTED_EDGE('',*,*,#22226,.T.); #31378=ORIENTED_EDGE('',*,*,#22229,.T.); #31379=ORIENTED_EDGE('',*,*,#22232,.T.); #31380=ORIENTED_EDGE('',*,*,#22235,.T.); #31381=ORIENTED_EDGE('',*,*,#22193,.T.); #31382=ORIENTED_EDGE('',*,*,#22196,.T.); #31383=ORIENTED_EDGE('',*,*,#22186,.T.); #31384=ORIENTED_EDGE('',*,*,#22190,.T.); #31385=ORIENTED_EDGE('',*,*,#22203,.F.); #31386=ORIENTED_EDGE('',*,*,#22200,.F.); #31387=ORIENTED_EDGE('',*,*,#22240,.T.); #31388=ORIENTED_EDGE('',*,*,#22241,.T.); #31389=ORIENTED_EDGE('',*,*,#22242,.F.); #31390=ORIENTED_EDGE('',*,*,#22241,.F.); #31391=ORIENTED_EDGE('',*,*,#22243,.T.); #31392=ORIENTED_EDGE('',*,*,#22244,.T.); #31393=ORIENTED_EDGE('',*,*,#22245,.T.); #31394=ORIENTED_EDGE('',*,*,#22246,.F.); #31395=ORIENTED_EDGE('',*,*,#22247,.T.); #31396=ORIENTED_EDGE('',*,*,#22248,.T.); #31397=ORIENTED_EDGE('',*,*,#22249,.T.); #31398=ORIENTED_EDGE('',*,*,#22244,.F.); #31399=ORIENTED_EDGE('',*,*,#22250,.T.); #31400=ORIENTED_EDGE('',*,*,#22251,.T.); #31401=ORIENTED_EDGE('',*,*,#22252,.T.); #31402=ORIENTED_EDGE('',*,*,#22248,.F.); #31403=ORIENTED_EDGE('',*,*,#22253,.T.); #31404=ORIENTED_EDGE('',*,*,#22254,.T.); #31405=ORIENTED_EDGE('',*,*,#22255,.T.); #31406=ORIENTED_EDGE('',*,*,#22251,.F.); #31407=ORIENTED_EDGE('',*,*,#22256,.T.); #31408=ORIENTED_EDGE('',*,*,#22257,.T.); #31409=ORIENTED_EDGE('',*,*,#22258,.T.); #31410=ORIENTED_EDGE('',*,*,#22254,.F.); #31411=ORIENTED_EDGE('',*,*,#22259,.T.); #31412=ORIENTED_EDGE('',*,*,#22260,.T.); #31413=ORIENTED_EDGE('',*,*,#22261,.T.); #31414=ORIENTED_EDGE('',*,*,#22257,.F.); #31415=ORIENTED_EDGE('',*,*,#22262,.T.); #31416=ORIENTED_EDGE('',*,*,#22263,.T.); #31417=ORIENTED_EDGE('',*,*,#22264,.T.); #31418=ORIENTED_EDGE('',*,*,#22260,.F.); #31419=ORIENTED_EDGE('',*,*,#22265,.T.); #31420=ORIENTED_EDGE('',*,*,#22266,.T.); #31421=ORIENTED_EDGE('',*,*,#22267,.T.); #31422=ORIENTED_EDGE('',*,*,#22263,.F.); #31423=ORIENTED_EDGE('',*,*,#22268,.F.); #31424=ORIENTED_EDGE('',*,*,#22269,.T.); #31425=ORIENTED_EDGE('',*,*,#22270,.T.); #31426=ORIENTED_EDGE('',*,*,#22266,.F.); #31427=ORIENTED_EDGE('',*,*,#22271,.F.); #31428=ORIENTED_EDGE('',*,*,#22272,.T.); #31429=ORIENTED_EDGE('',*,*,#22273,.T.); #31430=ORIENTED_EDGE('',*,*,#22269,.F.); #31431=ORIENTED_EDGE('',*,*,#22274,.F.); #31432=ORIENTED_EDGE('',*,*,#22275,.T.); #31433=ORIENTED_EDGE('',*,*,#22276,.T.); #31434=ORIENTED_EDGE('',*,*,#22272,.F.); #31435=ORIENTED_EDGE('',*,*,#22277,.F.); #31436=ORIENTED_EDGE('',*,*,#22246,.T.); #31437=ORIENTED_EDGE('',*,*,#22278,.T.); #31438=ORIENTED_EDGE('',*,*,#22275,.F.); #31439=ORIENTED_EDGE('',*,*,#22278,.F.); #31440=ORIENTED_EDGE('',*,*,#22245,.F.); #31441=ORIENTED_EDGE('',*,*,#22249,.F.); #31442=ORIENTED_EDGE('',*,*,#22252,.F.); #31443=ORIENTED_EDGE('',*,*,#22255,.F.); #31444=ORIENTED_EDGE('',*,*,#22258,.F.); #31445=ORIENTED_EDGE('',*,*,#22261,.F.); #31446=ORIENTED_EDGE('',*,*,#22264,.F.); #31447=ORIENTED_EDGE('',*,*,#22267,.F.); #31448=ORIENTED_EDGE('',*,*,#22270,.F.); #31449=ORIENTED_EDGE('',*,*,#22273,.F.); #31450=ORIENTED_EDGE('',*,*,#22276,.F.); #31451=ORIENTED_EDGE('',*,*,#22240,.F.); #31452=ORIENTED_EDGE('',*,*,#22277,.T.); #31453=ORIENTED_EDGE('',*,*,#22274,.T.); #31454=ORIENTED_EDGE('',*,*,#22271,.T.); #31455=ORIENTED_EDGE('',*,*,#22268,.T.); #31456=ORIENTED_EDGE('',*,*,#22265,.F.); #31457=ORIENTED_EDGE('',*,*,#22262,.F.); #31458=ORIENTED_EDGE('',*,*,#22259,.F.); #31459=ORIENTED_EDGE('',*,*,#22256,.F.); #31460=ORIENTED_EDGE('',*,*,#22253,.F.); #31461=ORIENTED_EDGE('',*,*,#22250,.F.); #31462=ORIENTED_EDGE('',*,*,#22247,.F.); #31463=ORIENTED_EDGE('',*,*,#22243,.F.); #31464=ORIENTED_EDGE('',*,*,#22242,.T.); #31465=ORIENTED_EDGE('',*,*,#22279,.F.); #31466=ORIENTED_EDGE('',*,*,#22280,.T.); #31467=ORIENTED_EDGE('',*,*,#22281,.F.); #31468=ORIENTED_EDGE('',*,*,#22282,.F.); #31469=ORIENTED_EDGE('',*,*,#22283,.F.); #31470=ORIENTED_EDGE('',*,*,#22282,.T.); #31471=ORIENTED_EDGE('',*,*,#22284,.F.); #31472=ORIENTED_EDGE('',*,*,#22285,.F.); #31473=ORIENTED_EDGE('',*,*,#22286,.F.); #31474=ORIENTED_EDGE('',*,*,#22285,.T.); #31475=ORIENTED_EDGE('',*,*,#22287,.F.); #31476=ORIENTED_EDGE('',*,*,#22288,.F.); #31477=ORIENTED_EDGE('',*,*,#22289,.F.); #31478=ORIENTED_EDGE('',*,*,#22288,.T.); #31479=ORIENTED_EDGE('',*,*,#22290,.F.); #31480=ORIENTED_EDGE('',*,*,#22280,.F.); #31481=ORIENTED_EDGE('',*,*,#22291,.T.); #31482=ORIENTED_EDGE('',*,*,#22292,.T.); #31483=ORIENTED_EDGE('',*,*,#22293,.F.); #31484=ORIENTED_EDGE('',*,*,#22292,.F.); #31485=ORIENTED_EDGE('',*,*,#22294,.T.); #31486=ORIENTED_EDGE('',*,*,#22295,.T.); #31487=ORIENTED_EDGE('',*,*,#22296,.F.); #31488=ORIENTED_EDGE('',*,*,#22295,.F.); #31489=ORIENTED_EDGE('',*,*,#22297,.T.); #31490=ORIENTED_EDGE('',*,*,#22298,.T.); #31491=ORIENTED_EDGE('',*,*,#22299,.T.); #31492=ORIENTED_EDGE('',*,*,#22300,.F.); #31493=ORIENTED_EDGE('',*,*,#22301,.T.); #31494=ORIENTED_EDGE('',*,*,#22302,.T.); #31495=ORIENTED_EDGE('',*,*,#22303,.T.); #31496=ORIENTED_EDGE('',*,*,#22298,.F.); #31497=ORIENTED_EDGE('',*,*,#22304,.T.); #31498=ORIENTED_EDGE('',*,*,#22305,.T.); #31499=ORIENTED_EDGE('',*,*,#22306,.T.); #31500=ORIENTED_EDGE('',*,*,#22302,.F.); #31501=ORIENTED_EDGE('',*,*,#22307,.T.); #31502=ORIENTED_EDGE('',*,*,#22308,.T.); #31503=ORIENTED_EDGE('',*,*,#22309,.T.); #31504=ORIENTED_EDGE('',*,*,#22305,.F.); #31505=ORIENTED_EDGE('',*,*,#22310,.T.); #31506=ORIENTED_EDGE('',*,*,#22311,.T.); #31507=ORIENTED_EDGE('',*,*,#22312,.T.); #31508=ORIENTED_EDGE('',*,*,#22308,.F.); #31509=ORIENTED_EDGE('',*,*,#22313,.T.); #31510=ORIENTED_EDGE('',*,*,#22314,.T.); #31511=ORIENTED_EDGE('',*,*,#22315,.T.); #31512=ORIENTED_EDGE('',*,*,#22311,.F.); #31513=ORIENTED_EDGE('',*,*,#22316,.T.); #31514=ORIENTED_EDGE('',*,*,#22317,.T.); #31515=ORIENTED_EDGE('',*,*,#22318,.T.); #31516=ORIENTED_EDGE('',*,*,#22314,.F.); #31517=ORIENTED_EDGE('',*,*,#22319,.T.); #31518=ORIENTED_EDGE('',*,*,#22320,.T.); #31519=ORIENTED_EDGE('',*,*,#22321,.T.); #31520=ORIENTED_EDGE('',*,*,#22317,.F.); #31521=ORIENTED_EDGE('',*,*,#22322,.T.); #31522=ORIENTED_EDGE('',*,*,#22323,.T.); #31523=ORIENTED_EDGE('',*,*,#22324,.T.); #31524=ORIENTED_EDGE('',*,*,#22320,.F.); #31525=ORIENTED_EDGE('',*,*,#22325,.T.); #31526=ORIENTED_EDGE('',*,*,#22326,.T.); #31527=ORIENTED_EDGE('',*,*,#22327,.T.); #31528=ORIENTED_EDGE('',*,*,#22323,.F.); #31529=ORIENTED_EDGE('',*,*,#22328,.T.); #31530=ORIENTED_EDGE('',*,*,#22329,.T.); #31531=ORIENTED_EDGE('',*,*,#22330,.T.); #31532=ORIENTED_EDGE('',*,*,#22326,.F.); #31533=ORIENTED_EDGE('',*,*,#22331,.F.); #31534=ORIENTED_EDGE('',*,*,#22300,.T.); #31535=ORIENTED_EDGE('',*,*,#22332,.T.); #31536=ORIENTED_EDGE('',*,*,#22329,.F.); #31537=ORIENTED_EDGE('',*,*,#22332,.F.); #31538=ORIENTED_EDGE('',*,*,#22299,.F.); #31539=ORIENTED_EDGE('',*,*,#22303,.F.); #31540=ORIENTED_EDGE('',*,*,#22306,.F.); #31541=ORIENTED_EDGE('',*,*,#22309,.F.); #31542=ORIENTED_EDGE('',*,*,#22312,.F.); #31543=ORIENTED_EDGE('',*,*,#22315,.F.); #31544=ORIENTED_EDGE('',*,*,#22318,.F.); #31545=ORIENTED_EDGE('',*,*,#22321,.F.); #31546=ORIENTED_EDGE('',*,*,#22324,.F.); #31547=ORIENTED_EDGE('',*,*,#22327,.F.); #31548=ORIENTED_EDGE('',*,*,#22330,.F.); #31549=ORIENTED_EDGE('',*,*,#22287,.T.); #31550=ORIENTED_EDGE('',*,*,#22284,.T.); #31551=ORIENTED_EDGE('',*,*,#22281,.T.); #31552=ORIENTED_EDGE('',*,*,#22290,.T.); #31553=ORIENTED_EDGE('',*,*,#22294,.F.); #31554=ORIENTED_EDGE('',*,*,#22291,.F.); #31555=ORIENTED_EDGE('',*,*,#22331,.T.); #31556=ORIENTED_EDGE('',*,*,#22328,.F.); #31557=ORIENTED_EDGE('',*,*,#22325,.F.); #31558=ORIENTED_EDGE('',*,*,#22322,.F.); #31559=ORIENTED_EDGE('',*,*,#22319,.F.); #31560=ORIENTED_EDGE('',*,*,#22316,.F.); #31561=ORIENTED_EDGE('',*,*,#22313,.F.); #31562=ORIENTED_EDGE('',*,*,#22310,.F.); #31563=ORIENTED_EDGE('',*,*,#22307,.F.); #31564=ORIENTED_EDGE('',*,*,#22304,.F.); #31565=ORIENTED_EDGE('',*,*,#22301,.F.); #31566=ORIENTED_EDGE('',*,*,#22297,.F.); #31567=ORIENTED_EDGE('',*,*,#22286,.T.); #31568=ORIENTED_EDGE('',*,*,#22289,.T.); #31569=ORIENTED_EDGE('',*,*,#22279,.T.); #31570=ORIENTED_EDGE('',*,*,#22283,.T.); #31571=ORIENTED_EDGE('',*,*,#22296,.T.); #31572=ORIENTED_EDGE('',*,*,#22293,.T.); #31573=ORIENTED_EDGE('',*,*,#22333,.F.); #31574=ORIENTED_EDGE('',*,*,#22334,.T.); #31575=ORIENTED_EDGE('',*,*,#22335,.T.); #31576=ORIENTED_EDGE('',*,*,#22334,.F.); #31577=ORIENTED_EDGE('',*,*,#22336,.T.); #31578=ORIENTED_EDGE('',*,*,#22337,.T.); #31579=ORIENTED_EDGE('',*,*,#22338,.F.); #31580=ORIENTED_EDGE('',*,*,#22339,.F.); #31581=ORIENTED_EDGE('',*,*,#22340,.T.); #31582=ORIENTED_EDGE('',*,*,#22339,.T.); #31583=ORIENTED_EDGE('',*,*,#22341,.F.); #31584=ORIENTED_EDGE('',*,*,#22342,.F.); #31585=ORIENTED_EDGE('',*,*,#22343,.T.); #31586=ORIENTED_EDGE('',*,*,#22342,.T.); #31587=ORIENTED_EDGE('',*,*,#22344,.F.); #31588=ORIENTED_EDGE('',*,*,#22345,.F.); #31589=ORIENTED_EDGE('',*,*,#22346,.T.); #31590=ORIENTED_EDGE('',*,*,#22345,.T.); #31591=ORIENTED_EDGE('',*,*,#22347,.F.); #31592=ORIENTED_EDGE('',*,*,#22337,.F.); #31593=ORIENTED_EDGE('',*,*,#22348,.F.); #31594=ORIENTED_EDGE('',*,*,#22349,.T.); #31595=ORIENTED_EDGE('',*,*,#22350,.T.); #31596=ORIENTED_EDGE('',*,*,#22349,.F.); #31597=ORIENTED_EDGE('',*,*,#22351,.F.); #31598=ORIENTED_EDGE('',*,*,#22352,.T.); #31599=ORIENTED_EDGE('',*,*,#22353,.F.); #31600=ORIENTED_EDGE('',*,*,#22354,.F.); #31601=ORIENTED_EDGE('',*,*,#22355,.F.); #31602=ORIENTED_EDGE('',*,*,#22354,.T.); #31603=ORIENTED_EDGE('',*,*,#22356,.F.); #31604=ORIENTED_EDGE('',*,*,#22357,.F.); #31605=ORIENTED_EDGE('',*,*,#22358,.F.); #31606=ORIENTED_EDGE('',*,*,#22357,.T.); #31607=ORIENTED_EDGE('',*,*,#22359,.F.); #31608=ORIENTED_EDGE('',*,*,#22360,.F.); #31609=ORIENTED_EDGE('',*,*,#22361,.F.); #31610=ORIENTED_EDGE('',*,*,#22360,.T.); #31611=ORIENTED_EDGE('',*,*,#22362,.F.); #31612=ORIENTED_EDGE('',*,*,#22363,.F.); #31613=ORIENTED_EDGE('',*,*,#22364,.F.); #31614=ORIENTED_EDGE('',*,*,#22363,.T.); #31615=ORIENTED_EDGE('',*,*,#22365,.F.); #31616=ORIENTED_EDGE('',*,*,#22366,.F.); #31617=ORIENTED_EDGE('',*,*,#22367,.F.); #31618=ORIENTED_EDGE('',*,*,#22366,.T.); #31619=ORIENTED_EDGE('',*,*,#22368,.F.); #31620=ORIENTED_EDGE('',*,*,#22369,.F.); #31621=ORIENTED_EDGE('',*,*,#22370,.T.); #31622=ORIENTED_EDGE('',*,*,#22369,.T.); #31623=ORIENTED_EDGE('',*,*,#22371,.F.); #31624=ORIENTED_EDGE('',*,*,#22372,.F.); #31625=ORIENTED_EDGE('',*,*,#22373,.T.); #31626=ORIENTED_EDGE('',*,*,#22372,.T.); #31627=ORIENTED_EDGE('',*,*,#22374,.F.); #31628=ORIENTED_EDGE('',*,*,#22375,.F.); #31629=ORIENTED_EDGE('',*,*,#22376,.T.); #31630=ORIENTED_EDGE('',*,*,#22375,.T.); #31631=ORIENTED_EDGE('',*,*,#22377,.F.); #31632=ORIENTED_EDGE('',*,*,#22378,.F.); #31633=ORIENTED_EDGE('',*,*,#22379,.T.); #31634=ORIENTED_EDGE('',*,*,#22378,.T.); #31635=ORIENTED_EDGE('',*,*,#22380,.F.); #31636=ORIENTED_EDGE('',*,*,#22381,.F.); #31637=ORIENTED_EDGE('',*,*,#22382,.T.); #31638=ORIENTED_EDGE('',*,*,#22381,.T.); #31639=ORIENTED_EDGE('',*,*,#22383,.F.); #31640=ORIENTED_EDGE('',*,*,#22384,.F.); #31641=ORIENTED_EDGE('',*,*,#22385,.T.); #31642=ORIENTED_EDGE('',*,*,#22384,.T.); #31643=ORIENTED_EDGE('',*,*,#22386,.F.); #31644=ORIENTED_EDGE('',*,*,#22352,.F.); #31645=ORIENTED_EDGE('',*,*,#22386,.T.); #31646=ORIENTED_EDGE('',*,*,#22383,.T.); #31647=ORIENTED_EDGE('',*,*,#22380,.T.); #31648=ORIENTED_EDGE('',*,*,#22377,.T.); #31649=ORIENTED_EDGE('',*,*,#22374,.T.); #31650=ORIENTED_EDGE('',*,*,#22371,.T.); #31651=ORIENTED_EDGE('',*,*,#22368,.T.); #31652=ORIENTED_EDGE('',*,*,#22365,.T.); #31653=ORIENTED_EDGE('',*,*,#22362,.T.); #31654=ORIENTED_EDGE('',*,*,#22359,.T.); #31655=ORIENTED_EDGE('',*,*,#22356,.T.); #31656=ORIENTED_EDGE('',*,*,#22353,.T.); #31657=ORIENTED_EDGE('',*,*,#22348,.T.); #31658=ORIENTED_EDGE('',*,*,#22347,.T.); #31659=ORIENTED_EDGE('',*,*,#22344,.T.); #31660=ORIENTED_EDGE('',*,*,#22341,.T.); #31661=ORIENTED_EDGE('',*,*,#22338,.T.); #31662=ORIENTED_EDGE('',*,*,#22333,.T.); #31663=ORIENTED_EDGE('',*,*,#22385,.F.); #31664=ORIENTED_EDGE('',*,*,#22351,.T.); #31665=ORIENTED_EDGE('',*,*,#22355,.T.); #31666=ORIENTED_EDGE('',*,*,#22358,.T.); #31667=ORIENTED_EDGE('',*,*,#22361,.T.); #31668=ORIENTED_EDGE('',*,*,#22364,.T.); #31669=ORIENTED_EDGE('',*,*,#22367,.T.); #31670=ORIENTED_EDGE('',*,*,#22370,.F.); #31671=ORIENTED_EDGE('',*,*,#22373,.F.); #31672=ORIENTED_EDGE('',*,*,#22376,.F.); #31673=ORIENTED_EDGE('',*,*,#22379,.F.); #31674=ORIENTED_EDGE('',*,*,#22382,.F.); #31675=ORIENTED_EDGE('',*,*,#22350,.F.); #31676=ORIENTED_EDGE('',*,*,#22346,.F.); #31677=ORIENTED_EDGE('',*,*,#22336,.F.); #31678=ORIENTED_EDGE('',*,*,#22340,.F.); #31679=ORIENTED_EDGE('',*,*,#22343,.F.); #31680=ORIENTED_EDGE('',*,*,#22335,.F.); #31681=ORIENTED_EDGE('',*,*,#22387,.F.); #31682=ORIENTED_EDGE('',*,*,#22388,.T.); #31683=ORIENTED_EDGE('',*,*,#22389,.T.); #31684=ORIENTED_EDGE('',*,*,#22388,.F.); #31685=ORIENTED_EDGE('',*,*,#22390,.F.); #31686=ORIENTED_EDGE('',*,*,#22391,.T.); #31687=ORIENTED_EDGE('',*,*,#22392,.T.); #31688=ORIENTED_EDGE('',*,*,#22391,.F.); #31689=ORIENTED_EDGE('',*,*,#22393,.F.); #31690=ORIENTED_EDGE('',*,*,#22394,.T.); #31691=ORIENTED_EDGE('',*,*,#22395,.T.); #31692=ORIENTED_EDGE('',*,*,#22394,.F.); #31693=ORIENTED_EDGE('',*,*,#22396,.F.); #31694=ORIENTED_EDGE('',*,*,#22397,.T.); #31695=ORIENTED_EDGE('',*,*,#22398,.T.); #31696=ORIENTED_EDGE('',*,*,#22397,.F.); #31697=ORIENTED_EDGE('',*,*,#22399,.F.); #31698=ORIENTED_EDGE('',*,*,#22400,.T.); #31699=ORIENTED_EDGE('',*,*,#22401,.T.); #31700=ORIENTED_EDGE('',*,*,#22400,.F.); #31701=ORIENTED_EDGE('',*,*,#22402,.F.); #31702=ORIENTED_EDGE('',*,*,#22403,.T.); #31703=ORIENTED_EDGE('',*,*,#22404,.T.); #31704=ORIENTED_EDGE('',*,*,#22403,.F.); #31705=ORIENTED_EDGE('',*,*,#22405,.F.); #31706=ORIENTED_EDGE('',*,*,#22406,.T.); #31707=ORIENTED_EDGE('',*,*,#22407,.T.); #31708=ORIENTED_EDGE('',*,*,#22406,.F.); #31709=ORIENTED_EDGE('',*,*,#22408,.F.); #31710=ORIENTED_EDGE('',*,*,#22409,.T.); #31711=ORIENTED_EDGE('',*,*,#22410,.T.); #31712=ORIENTED_EDGE('',*,*,#22409,.F.); #31713=ORIENTED_EDGE('',*,*,#22411,.F.); #31714=ORIENTED_EDGE('',*,*,#22412,.T.); #31715=ORIENTED_EDGE('',*,*,#22413,.T.); #31716=ORIENTED_EDGE('',*,*,#22412,.F.); #31717=ORIENTED_EDGE('',*,*,#22414,.F.); #31718=ORIENTED_EDGE('',*,*,#22415,.T.); #31719=ORIENTED_EDGE('',*,*,#22416,.T.); #31720=ORIENTED_EDGE('',*,*,#22415,.F.); #31721=ORIENTED_EDGE('',*,*,#22417,.F.); #31722=ORIENTED_EDGE('',*,*,#22418,.T.); #31723=ORIENTED_EDGE('',*,*,#22419,.T.); #31724=ORIENTED_EDGE('',*,*,#22418,.F.); #31725=ORIENTED_EDGE('',*,*,#22420,.F.); #31726=ORIENTED_EDGE('',*,*,#22421,.T.); #31727=ORIENTED_EDGE('',*,*,#22422,.T.); #31728=ORIENTED_EDGE('',*,*,#22421,.F.); #31729=ORIENTED_EDGE('',*,*,#22423,.F.); #31730=ORIENTED_EDGE('',*,*,#22424,.T.); #31731=ORIENTED_EDGE('',*,*,#22425,.T.); #31732=ORIENTED_EDGE('',*,*,#22424,.F.); #31733=ORIENTED_EDGE('',*,*,#22426,.F.); #31734=ORIENTED_EDGE('',*,*,#22427,.T.); #31735=ORIENTED_EDGE('',*,*,#22428,.F.); #31736=ORIENTED_EDGE('',*,*,#22429,.F.); #31737=ORIENTED_EDGE('',*,*,#22430,.T.); #31738=ORIENTED_EDGE('',*,*,#22429,.T.); #31739=ORIENTED_EDGE('',*,*,#22431,.F.); #31740=ORIENTED_EDGE('',*,*,#22432,.F.); #31741=ORIENTED_EDGE('',*,*,#22433,.F.); #31742=ORIENTED_EDGE('',*,*,#22432,.T.); #31743=ORIENTED_EDGE('',*,*,#22434,.F.); #31744=ORIENTED_EDGE('',*,*,#22435,.F.); #31745=ORIENTED_EDGE('',*,*,#22436,.F.); #31746=ORIENTED_EDGE('',*,*,#22435,.T.); #31747=ORIENTED_EDGE('',*,*,#22437,.F.); #31748=ORIENTED_EDGE('',*,*,#22438,.F.); #31749=ORIENTED_EDGE('',*,*,#22439,.T.); #31750=ORIENTED_EDGE('',*,*,#22438,.T.); #31751=ORIENTED_EDGE('',*,*,#22440,.F.); #31752=ORIENTED_EDGE('',*,*,#22441,.F.); #31753=ORIENTED_EDGE('',*,*,#22442,.T.); #31754=ORIENTED_EDGE('',*,*,#22441,.T.); #31755=ORIENTED_EDGE('',*,*,#22443,.F.); #31756=ORIENTED_EDGE('',*,*,#22444,.F.); #31757=ORIENTED_EDGE('',*,*,#22445,.T.); #31758=ORIENTED_EDGE('',*,*,#22444,.T.); #31759=ORIENTED_EDGE('',*,*,#22446,.F.); #31760=ORIENTED_EDGE('',*,*,#22447,.F.); #31761=ORIENTED_EDGE('',*,*,#22448,.T.); #31762=ORIENTED_EDGE('',*,*,#22447,.T.); #31763=ORIENTED_EDGE('',*,*,#22449,.F.); #31764=ORIENTED_EDGE('',*,*,#22450,.F.); #31765=ORIENTED_EDGE('',*,*,#22451,.T.); #31766=ORIENTED_EDGE('',*,*,#22450,.T.); #31767=ORIENTED_EDGE('',*,*,#22452,.F.); #31768=ORIENTED_EDGE('',*,*,#22453,.F.); #31769=ORIENTED_EDGE('',*,*,#22454,.T.); #31770=ORIENTED_EDGE('',*,*,#22453,.T.); #31771=ORIENTED_EDGE('',*,*,#22455,.F.); #31772=ORIENTED_EDGE('',*,*,#22456,.F.); #31773=ORIENTED_EDGE('',*,*,#22457,.T.); #31774=ORIENTED_EDGE('',*,*,#22456,.T.); #31775=ORIENTED_EDGE('',*,*,#22458,.F.); #31776=ORIENTED_EDGE('',*,*,#22459,.F.); #31777=ORIENTED_EDGE('',*,*,#22460,.T.); #31778=ORIENTED_EDGE('',*,*,#22459,.T.); #31779=ORIENTED_EDGE('',*,*,#22461,.F.); #31780=ORIENTED_EDGE('',*,*,#22462,.F.); #31781=ORIENTED_EDGE('',*,*,#22463,.T.); #31782=ORIENTED_EDGE('',*,*,#22462,.T.); #31783=ORIENTED_EDGE('',*,*,#22464,.F.); #31784=ORIENTED_EDGE('',*,*,#22465,.F.); #31785=ORIENTED_EDGE('',*,*,#22466,.T.); #31786=ORIENTED_EDGE('',*,*,#22465,.T.); #31787=ORIENTED_EDGE('',*,*,#22467,.F.); #31788=ORIENTED_EDGE('',*,*,#22468,.F.); #31789=ORIENTED_EDGE('',*,*,#22469,.T.); #31790=ORIENTED_EDGE('',*,*,#22468,.T.); #31791=ORIENTED_EDGE('',*,*,#22470,.F.); #31792=ORIENTED_EDGE('',*,*,#22471,.F.); #31793=ORIENTED_EDGE('',*,*,#22472,.F.); #31794=ORIENTED_EDGE('',*,*,#22471,.T.); #31795=ORIENTED_EDGE('',*,*,#22473,.F.); #31796=ORIENTED_EDGE('',*,*,#22427,.F.); #31797=ORIENTED_EDGE('',*,*,#22473,.T.); #31798=ORIENTED_EDGE('',*,*,#22470,.T.); #31799=ORIENTED_EDGE('',*,*,#22467,.T.); #31800=ORIENTED_EDGE('',*,*,#22464,.T.); #31801=ORIENTED_EDGE('',*,*,#22461,.T.); #31802=ORIENTED_EDGE('',*,*,#22458,.T.); #31803=ORIENTED_EDGE('',*,*,#22455,.T.); #31804=ORIENTED_EDGE('',*,*,#22452,.T.); #31805=ORIENTED_EDGE('',*,*,#22449,.T.); #31806=ORIENTED_EDGE('',*,*,#22446,.T.); #31807=ORIENTED_EDGE('',*,*,#22443,.T.); #31808=ORIENTED_EDGE('',*,*,#22440,.T.); #31809=ORIENTED_EDGE('',*,*,#22437,.T.); #31810=ORIENTED_EDGE('',*,*,#22434,.T.); #31811=ORIENTED_EDGE('',*,*,#22431,.T.); #31812=ORIENTED_EDGE('',*,*,#22428,.T.); #31813=ORIENTED_EDGE('',*,*,#22423,.T.); #31814=ORIENTED_EDGE('',*,*,#22420,.T.); #31815=ORIENTED_EDGE('',*,*,#22417,.T.); #31816=ORIENTED_EDGE('',*,*,#22414,.T.); #31817=ORIENTED_EDGE('',*,*,#22411,.T.); #31818=ORIENTED_EDGE('',*,*,#22408,.T.); #31819=ORIENTED_EDGE('',*,*,#22405,.T.); #31820=ORIENTED_EDGE('',*,*,#22402,.T.); #31821=ORIENTED_EDGE('',*,*,#22399,.T.); #31822=ORIENTED_EDGE('',*,*,#22396,.T.); #31823=ORIENTED_EDGE('',*,*,#22393,.T.); #31824=ORIENTED_EDGE('',*,*,#22390,.T.); #31825=ORIENTED_EDGE('',*,*,#22387,.T.); #31826=ORIENTED_EDGE('',*,*,#22472,.T.); #31827=ORIENTED_EDGE('',*,*,#22426,.T.); #31828=ORIENTED_EDGE('',*,*,#22430,.F.); #31829=ORIENTED_EDGE('',*,*,#22433,.T.); #31830=ORIENTED_EDGE('',*,*,#22436,.T.); #31831=ORIENTED_EDGE('',*,*,#22439,.F.); #31832=ORIENTED_EDGE('',*,*,#22442,.F.); #31833=ORIENTED_EDGE('',*,*,#22445,.F.); #31834=ORIENTED_EDGE('',*,*,#22448,.F.); #31835=ORIENTED_EDGE('',*,*,#22451,.F.); #31836=ORIENTED_EDGE('',*,*,#22454,.F.); #31837=ORIENTED_EDGE('',*,*,#22457,.F.); #31838=ORIENTED_EDGE('',*,*,#22460,.F.); #31839=ORIENTED_EDGE('',*,*,#22463,.F.); #31840=ORIENTED_EDGE('',*,*,#22466,.F.); #31841=ORIENTED_EDGE('',*,*,#22469,.F.); #31842=ORIENTED_EDGE('',*,*,#22425,.F.); #31843=ORIENTED_EDGE('',*,*,#22422,.F.); #31844=ORIENTED_EDGE('',*,*,#22419,.F.); #31845=ORIENTED_EDGE('',*,*,#22416,.F.); #31846=ORIENTED_EDGE('',*,*,#22413,.F.); #31847=ORIENTED_EDGE('',*,*,#22410,.F.); #31848=ORIENTED_EDGE('',*,*,#22407,.F.); #31849=ORIENTED_EDGE('',*,*,#22404,.F.); #31850=ORIENTED_EDGE('',*,*,#22401,.F.); #31851=ORIENTED_EDGE('',*,*,#22398,.F.); #31852=ORIENTED_EDGE('',*,*,#22395,.F.); #31853=ORIENTED_EDGE('',*,*,#22392,.F.); #31854=ORIENTED_EDGE('',*,*,#22389,.F.); #31855=ORIENTED_EDGE('',*,*,#22474,.T.); #31856=ORIENTED_EDGE('',*,*,#22475,.T.); #31857=ORIENTED_EDGE('',*,*,#22476,.F.); #31858=ORIENTED_EDGE('',*,*,#22475,.F.); #31859=ORIENTED_EDGE('',*,*,#22477,.T.); #31860=ORIENTED_EDGE('',*,*,#22478,.T.); #31861=ORIENTED_EDGE('',*,*,#22479,.F.); #31862=ORIENTED_EDGE('',*,*,#22478,.F.); #31863=ORIENTED_EDGE('',*,*,#22480,.T.); #31864=ORIENTED_EDGE('',*,*,#22481,.T.); #31865=ORIENTED_EDGE('',*,*,#22482,.F.); #31866=ORIENTED_EDGE('',*,*,#22481,.F.); #31867=ORIENTED_EDGE('',*,*,#22483,.T.); #31868=ORIENTED_EDGE('',*,*,#22484,.T.); #31869=ORIENTED_EDGE('',*,*,#22485,.F.); #31870=ORIENTED_EDGE('',*,*,#22484,.F.); #31871=ORIENTED_EDGE('',*,*,#22486,.T.); #31872=ORIENTED_EDGE('',*,*,#22487,.T.); #31873=ORIENTED_EDGE('',*,*,#22488,.F.); #31874=ORIENTED_EDGE('',*,*,#22487,.F.); #31875=ORIENTED_EDGE('',*,*,#22489,.T.); #31876=ORIENTED_EDGE('',*,*,#22490,.T.); #31877=ORIENTED_EDGE('',*,*,#22491,.F.); #31878=ORIENTED_EDGE('',*,*,#22490,.F.); #31879=ORIENTED_EDGE('',*,*,#22492,.T.); #31880=ORIENTED_EDGE('',*,*,#22493,.T.); #31881=ORIENTED_EDGE('',*,*,#22494,.F.); #31882=ORIENTED_EDGE('',*,*,#22493,.F.); #31883=ORIENTED_EDGE('',*,*,#22495,.T.); #31884=ORIENTED_EDGE('',*,*,#22496,.T.); #31885=ORIENTED_EDGE('',*,*,#22497,.F.); #31886=ORIENTED_EDGE('',*,*,#22496,.F.); #31887=ORIENTED_EDGE('',*,*,#22498,.T.); #31888=ORIENTED_EDGE('',*,*,#22499,.T.); #31889=ORIENTED_EDGE('',*,*,#22500,.F.); #31890=ORIENTED_EDGE('',*,*,#22499,.F.); #31891=ORIENTED_EDGE('',*,*,#22501,.T.); #31892=ORIENTED_EDGE('',*,*,#22502,.T.); #31893=ORIENTED_EDGE('',*,*,#22503,.F.); #31894=ORIENTED_EDGE('',*,*,#22502,.F.); #31895=ORIENTED_EDGE('',*,*,#22504,.T.); #31896=ORIENTED_EDGE('',*,*,#22505,.T.); #31897=ORIENTED_EDGE('',*,*,#22506,.F.); #31898=ORIENTED_EDGE('',*,*,#22505,.F.); #31899=ORIENTED_EDGE('',*,*,#22507,.T.); #31900=ORIENTED_EDGE('',*,*,#22508,.T.); #31901=ORIENTED_EDGE('',*,*,#22509,.F.); #31902=ORIENTED_EDGE('',*,*,#22508,.F.); #31903=ORIENTED_EDGE('',*,*,#22510,.T.); #31904=ORIENTED_EDGE('',*,*,#22511,.T.); #31905=ORIENTED_EDGE('',*,*,#22512,.F.); #31906=ORIENTED_EDGE('',*,*,#22511,.F.); #31907=ORIENTED_EDGE('',*,*,#22513,.T.); #31908=ORIENTED_EDGE('',*,*,#22514,.T.); #31909=ORIENTED_EDGE('',*,*,#22515,.T.); #31910=ORIENTED_EDGE('',*,*,#22516,.F.); #31911=ORIENTED_EDGE('',*,*,#22517,.F.); #31912=ORIENTED_EDGE('',*,*,#22518,.T.); #31913=ORIENTED_EDGE('',*,*,#22519,.T.); #31914=ORIENTED_EDGE('',*,*,#22514,.F.); #31915=ORIENTED_EDGE('',*,*,#22520,.T.); #31916=ORIENTED_EDGE('',*,*,#22521,.T.); #31917=ORIENTED_EDGE('',*,*,#22522,.T.); #31918=ORIENTED_EDGE('',*,*,#22518,.F.); #31919=ORIENTED_EDGE('',*,*,#22523,.T.); #31920=ORIENTED_EDGE('',*,*,#22524,.T.); #31921=ORIENTED_EDGE('',*,*,#22525,.T.); #31922=ORIENTED_EDGE('',*,*,#22521,.F.); #31923=ORIENTED_EDGE('',*,*,#22526,.F.); #31924=ORIENTED_EDGE('',*,*,#22527,.T.); #31925=ORIENTED_EDGE('',*,*,#22528,.T.); #31926=ORIENTED_EDGE('',*,*,#22524,.F.); #31927=ORIENTED_EDGE('',*,*,#22529,.F.); #31928=ORIENTED_EDGE('',*,*,#22530,.T.); #31929=ORIENTED_EDGE('',*,*,#22531,.T.); #31930=ORIENTED_EDGE('',*,*,#22527,.F.); #31931=ORIENTED_EDGE('',*,*,#22532,.F.); #31932=ORIENTED_EDGE('',*,*,#22533,.T.); #31933=ORIENTED_EDGE('',*,*,#22534,.T.); #31934=ORIENTED_EDGE('',*,*,#22530,.F.); #31935=ORIENTED_EDGE('',*,*,#22535,.F.); #31936=ORIENTED_EDGE('',*,*,#22536,.T.); #31937=ORIENTED_EDGE('',*,*,#22537,.T.); #31938=ORIENTED_EDGE('',*,*,#22533,.F.); #31939=ORIENTED_EDGE('',*,*,#22538,.F.); #31940=ORIENTED_EDGE('',*,*,#22539,.T.); #31941=ORIENTED_EDGE('',*,*,#22540,.T.); #31942=ORIENTED_EDGE('',*,*,#22536,.F.); #31943=ORIENTED_EDGE('',*,*,#22541,.F.); #31944=ORIENTED_EDGE('',*,*,#22542,.T.); #31945=ORIENTED_EDGE('',*,*,#22543,.T.); #31946=ORIENTED_EDGE('',*,*,#22539,.F.); #31947=ORIENTED_EDGE('',*,*,#22544,.F.); #31948=ORIENTED_EDGE('',*,*,#22545,.T.); #31949=ORIENTED_EDGE('',*,*,#22546,.T.); #31950=ORIENTED_EDGE('',*,*,#22542,.F.); #31951=ORIENTED_EDGE('',*,*,#22547,.F.); #31952=ORIENTED_EDGE('',*,*,#22548,.T.); #31953=ORIENTED_EDGE('',*,*,#22549,.T.); #31954=ORIENTED_EDGE('',*,*,#22545,.F.); #31955=ORIENTED_EDGE('',*,*,#22550,.F.); #31956=ORIENTED_EDGE('',*,*,#22551,.T.); #31957=ORIENTED_EDGE('',*,*,#22552,.T.); #31958=ORIENTED_EDGE('',*,*,#22548,.F.); #31959=ORIENTED_EDGE('',*,*,#22553,.F.); #31960=ORIENTED_EDGE('',*,*,#22554,.T.); #31961=ORIENTED_EDGE('',*,*,#22555,.T.); #31962=ORIENTED_EDGE('',*,*,#22551,.F.); #31963=ORIENTED_EDGE('',*,*,#22556,.F.); #31964=ORIENTED_EDGE('',*,*,#22557,.T.); #31965=ORIENTED_EDGE('',*,*,#22558,.T.); #31966=ORIENTED_EDGE('',*,*,#22554,.F.); #31967=ORIENTED_EDGE('',*,*,#22559,.T.); #31968=ORIENTED_EDGE('',*,*,#22516,.T.); #31969=ORIENTED_EDGE('',*,*,#22560,.T.); #31970=ORIENTED_EDGE('',*,*,#22557,.F.); #31971=ORIENTED_EDGE('',*,*,#22560,.F.); #31972=ORIENTED_EDGE('',*,*,#22515,.F.); #31973=ORIENTED_EDGE('',*,*,#22519,.F.); #31974=ORIENTED_EDGE('',*,*,#22522,.F.); #31975=ORIENTED_EDGE('',*,*,#22525,.F.); #31976=ORIENTED_EDGE('',*,*,#22528,.F.); #31977=ORIENTED_EDGE('',*,*,#22531,.F.); #31978=ORIENTED_EDGE('',*,*,#22534,.F.); #31979=ORIENTED_EDGE('',*,*,#22537,.F.); #31980=ORIENTED_EDGE('',*,*,#22540,.F.); #31981=ORIENTED_EDGE('',*,*,#22543,.F.); #31982=ORIENTED_EDGE('',*,*,#22546,.F.); #31983=ORIENTED_EDGE('',*,*,#22549,.F.); #31984=ORIENTED_EDGE('',*,*,#22552,.F.); #31985=ORIENTED_EDGE('',*,*,#22555,.F.); #31986=ORIENTED_EDGE('',*,*,#22558,.F.); #31987=ORIENTED_EDGE('',*,*,#22510,.F.); #31988=ORIENTED_EDGE('',*,*,#22507,.F.); #31989=ORIENTED_EDGE('',*,*,#22504,.F.); #31990=ORIENTED_EDGE('',*,*,#22501,.F.); #31991=ORIENTED_EDGE('',*,*,#22498,.F.); #31992=ORIENTED_EDGE('',*,*,#22495,.F.); #31993=ORIENTED_EDGE('',*,*,#22492,.F.); #31994=ORIENTED_EDGE('',*,*,#22489,.F.); #31995=ORIENTED_EDGE('',*,*,#22486,.F.); #31996=ORIENTED_EDGE('',*,*,#22483,.F.); #31997=ORIENTED_EDGE('',*,*,#22480,.F.); #31998=ORIENTED_EDGE('',*,*,#22477,.F.); #31999=ORIENTED_EDGE('',*,*,#22474,.F.); #32000=ORIENTED_EDGE('',*,*,#22559,.F.); #32001=ORIENTED_EDGE('',*,*,#22556,.T.); #32002=ORIENTED_EDGE('',*,*,#22553,.T.); #32003=ORIENTED_EDGE('',*,*,#22550,.T.); #32004=ORIENTED_EDGE('',*,*,#22547,.T.); #32005=ORIENTED_EDGE('',*,*,#22544,.T.); #32006=ORIENTED_EDGE('',*,*,#22541,.T.); #32007=ORIENTED_EDGE('',*,*,#22538,.T.); #32008=ORIENTED_EDGE('',*,*,#22535,.T.); #32009=ORIENTED_EDGE('',*,*,#22532,.T.); #32010=ORIENTED_EDGE('',*,*,#22529,.T.); #32011=ORIENTED_EDGE('',*,*,#22526,.T.); #32012=ORIENTED_EDGE('',*,*,#22523,.F.); #32013=ORIENTED_EDGE('',*,*,#22520,.F.); #32014=ORIENTED_EDGE('',*,*,#22517,.T.); #32015=ORIENTED_EDGE('',*,*,#22513,.F.); #32016=ORIENTED_EDGE('',*,*,#22512,.T.); #32017=ORIENTED_EDGE('',*,*,#22509,.T.); #32018=ORIENTED_EDGE('',*,*,#22506,.T.); #32019=ORIENTED_EDGE('',*,*,#22503,.T.); #32020=ORIENTED_EDGE('',*,*,#22500,.T.); #32021=ORIENTED_EDGE('',*,*,#22497,.T.); #32022=ORIENTED_EDGE('',*,*,#22494,.T.); #32023=ORIENTED_EDGE('',*,*,#22491,.T.); #32024=ORIENTED_EDGE('',*,*,#22488,.T.); #32025=ORIENTED_EDGE('',*,*,#22485,.T.); #32026=ORIENTED_EDGE('',*,*,#22482,.T.); #32027=ORIENTED_EDGE('',*,*,#22479,.T.); #32028=ORIENTED_EDGE('',*,*,#22476,.T.); #32029=ORIENTED_EDGE('',*,*,#22561,.F.); #32030=ORIENTED_EDGE('',*,*,#22562,.T.); #32031=ORIENTED_EDGE('',*,*,#22563,.T.); #32032=ORIENTED_EDGE('',*,*,#22562,.F.); #32033=ORIENTED_EDGE('',*,*,#22564,.F.); #32034=ORIENTED_EDGE('',*,*,#22565,.T.); #32035=ORIENTED_EDGE('',*,*,#22566,.T.); #32036=ORIENTED_EDGE('',*,*,#22565,.F.); #32037=ORIENTED_EDGE('',*,*,#22567,.F.); #32038=ORIENTED_EDGE('',*,*,#22568,.T.); #32039=ORIENTED_EDGE('',*,*,#22569,.T.); #32040=ORIENTED_EDGE('',*,*,#22568,.F.); #32041=ORIENTED_EDGE('',*,*,#22570,.F.); #32042=ORIENTED_EDGE('',*,*,#22571,.T.); #32043=ORIENTED_EDGE('',*,*,#22572,.T.); #32044=ORIENTED_EDGE('',*,*,#22571,.F.); #32045=ORIENTED_EDGE('',*,*,#22573,.F.); #32046=ORIENTED_EDGE('',*,*,#22574,.T.); #32047=ORIENTED_EDGE('',*,*,#22575,.T.); #32048=ORIENTED_EDGE('',*,*,#22574,.F.); #32049=ORIENTED_EDGE('',*,*,#22576,.F.); #32050=ORIENTED_EDGE('',*,*,#22577,.T.); #32051=ORIENTED_EDGE('',*,*,#22578,.T.); #32052=ORIENTED_EDGE('',*,*,#22577,.F.); #32053=ORIENTED_EDGE('',*,*,#22579,.F.); #32054=ORIENTED_EDGE('',*,*,#22580,.T.); #32055=ORIENTED_EDGE('',*,*,#22581,.T.); #32056=ORIENTED_EDGE('',*,*,#22580,.F.); #32057=ORIENTED_EDGE('',*,*,#22582,.F.); #32058=ORIENTED_EDGE('',*,*,#22583,.T.); #32059=ORIENTED_EDGE('',*,*,#22584,.T.); #32060=ORIENTED_EDGE('',*,*,#22583,.F.); #32061=ORIENTED_EDGE('',*,*,#22585,.F.); #32062=ORIENTED_EDGE('',*,*,#22586,.T.); #32063=ORIENTED_EDGE('',*,*,#22587,.T.); #32064=ORIENTED_EDGE('',*,*,#22586,.F.); #32065=ORIENTED_EDGE('',*,*,#22588,.F.); #32066=ORIENTED_EDGE('',*,*,#22589,.T.); #32067=ORIENTED_EDGE('',*,*,#22590,.T.); #32068=ORIENTED_EDGE('',*,*,#22589,.F.); #32069=ORIENTED_EDGE('',*,*,#22591,.F.); #32070=ORIENTED_EDGE('',*,*,#22592,.T.); #32071=ORIENTED_EDGE('',*,*,#22593,.T.); #32072=ORIENTED_EDGE('',*,*,#22592,.F.); #32073=ORIENTED_EDGE('',*,*,#22594,.F.); #32074=ORIENTED_EDGE('',*,*,#22595,.T.); #32075=ORIENTED_EDGE('',*,*,#22596,.F.); #32076=ORIENTED_EDGE('',*,*,#22597,.F.); #32077=ORIENTED_EDGE('',*,*,#22598,.F.); #32078=ORIENTED_EDGE('',*,*,#22597,.T.); #32079=ORIENTED_EDGE('',*,*,#22599,.F.); #32080=ORIENTED_EDGE('',*,*,#22600,.F.); #32081=ORIENTED_EDGE('',*,*,#22601,.T.); #32082=ORIENTED_EDGE('',*,*,#22600,.T.); #32083=ORIENTED_EDGE('',*,*,#22602,.F.); #32084=ORIENTED_EDGE('',*,*,#22603,.F.); #32085=ORIENTED_EDGE('',*,*,#22604,.F.); #32086=ORIENTED_EDGE('',*,*,#22603,.T.); #32087=ORIENTED_EDGE('',*,*,#22605,.F.); #32088=ORIENTED_EDGE('',*,*,#22606,.F.); #32089=ORIENTED_EDGE('',*,*,#22607,.T.); #32090=ORIENTED_EDGE('',*,*,#22606,.T.); #32091=ORIENTED_EDGE('',*,*,#22608,.F.); #32092=ORIENTED_EDGE('',*,*,#22609,.F.); #32093=ORIENTED_EDGE('',*,*,#22610,.F.); #32094=ORIENTED_EDGE('',*,*,#22609,.T.); #32095=ORIENTED_EDGE('',*,*,#22611,.F.); #32096=ORIENTED_EDGE('',*,*,#22595,.F.); #32097=ORIENTED_EDGE('',*,*,#22611,.T.); #32098=ORIENTED_EDGE('',*,*,#22608,.T.); #32099=ORIENTED_EDGE('',*,*,#22605,.T.); #32100=ORIENTED_EDGE('',*,*,#22602,.T.); #32101=ORIENTED_EDGE('',*,*,#22599,.T.); #32102=ORIENTED_EDGE('',*,*,#22596,.T.); #32103=ORIENTED_EDGE('',*,*,#22591,.T.); #32104=ORIENTED_EDGE('',*,*,#22588,.T.); #32105=ORIENTED_EDGE('',*,*,#22585,.T.); #32106=ORIENTED_EDGE('',*,*,#22582,.T.); #32107=ORIENTED_EDGE('',*,*,#22579,.T.); #32108=ORIENTED_EDGE('',*,*,#22576,.T.); #32109=ORIENTED_EDGE('',*,*,#22573,.T.); #32110=ORIENTED_EDGE('',*,*,#22570,.T.); #32111=ORIENTED_EDGE('',*,*,#22567,.T.); #32112=ORIENTED_EDGE('',*,*,#22564,.T.); #32113=ORIENTED_EDGE('',*,*,#22561,.T.); #32114=ORIENTED_EDGE('',*,*,#22610,.T.); #32115=ORIENTED_EDGE('',*,*,#22594,.T.); #32116=ORIENTED_EDGE('',*,*,#22598,.T.); #32117=ORIENTED_EDGE('',*,*,#22601,.F.); #32118=ORIENTED_EDGE('',*,*,#22604,.T.); #32119=ORIENTED_EDGE('',*,*,#22607,.F.); #32120=ORIENTED_EDGE('',*,*,#22593,.F.); #32121=ORIENTED_EDGE('',*,*,#22590,.F.); #32122=ORIENTED_EDGE('',*,*,#22587,.F.); #32123=ORIENTED_EDGE('',*,*,#22584,.F.); #32124=ORIENTED_EDGE('',*,*,#22581,.F.); #32125=ORIENTED_EDGE('',*,*,#22578,.F.); #32126=ORIENTED_EDGE('',*,*,#22575,.F.); #32127=ORIENTED_EDGE('',*,*,#22572,.F.); #32128=ORIENTED_EDGE('',*,*,#22569,.F.); #32129=ORIENTED_EDGE('',*,*,#22566,.F.); #32130=ORIENTED_EDGE('',*,*,#22563,.F.); #32131=ORIENTED_EDGE('',*,*,#22612,.T.); #32132=ORIENTED_EDGE('',*,*,#22613,.T.); #32133=ORIENTED_EDGE('',*,*,#22614,.F.); #32134=ORIENTED_EDGE('',*,*,#22615,.F.); #32135=ORIENTED_EDGE('',*,*,#22616,.T.); #32136=ORIENTED_EDGE('',*,*,#22615,.T.); #32137=ORIENTED_EDGE('',*,*,#22617,.F.); #32138=ORIENTED_EDGE('',*,*,#22618,.F.); #32139=ORIENTED_EDGE('',*,*,#22619,.T.); #32140=ORIENTED_EDGE('',*,*,#22618,.T.); #32141=ORIENTED_EDGE('',*,*,#22620,.F.); #32142=ORIENTED_EDGE('',*,*,#22621,.F.); #32143=ORIENTED_EDGE('',*,*,#22622,.T.); #32144=ORIENTED_EDGE('',*,*,#22621,.T.); #32145=ORIENTED_EDGE('',*,*,#22623,.F.); #32146=ORIENTED_EDGE('',*,*,#22613,.F.); #32147=ORIENTED_EDGE('',*,*,#22623,.T.); #32148=ORIENTED_EDGE('',*,*,#22620,.T.); #32149=ORIENTED_EDGE('',*,*,#22617,.T.); #32150=ORIENTED_EDGE('',*,*,#22614,.T.); #32151=ORIENTED_EDGE('',*,*,#22622,.F.); #32152=ORIENTED_EDGE('',*,*,#22612,.F.); #32153=ORIENTED_EDGE('',*,*,#22616,.F.); #32154=ORIENTED_EDGE('',*,*,#22619,.F.); #32155=ORIENTED_EDGE('',*,*,#22624,.T.); #32156=ORIENTED_EDGE('',*,*,#22625,.T.); #32157=ORIENTED_EDGE('',*,*,#22626,.F.); #32158=ORIENTED_EDGE('',*,*,#22627,.F.); #32159=ORIENTED_EDGE('',*,*,#22628,.T.); #32160=ORIENTED_EDGE('',*,*,#22627,.T.); #32161=ORIENTED_EDGE('',*,*,#22629,.F.); #32162=ORIENTED_EDGE('',*,*,#22630,.F.); #32163=ORIENTED_EDGE('',*,*,#22631,.T.); #32164=ORIENTED_EDGE('',*,*,#22630,.T.); #32165=ORIENTED_EDGE('',*,*,#22632,.F.); #32166=ORIENTED_EDGE('',*,*,#22633,.F.); #32167=ORIENTED_EDGE('',*,*,#22634,.T.); #32168=ORIENTED_EDGE('',*,*,#22633,.T.); #32169=ORIENTED_EDGE('',*,*,#22635,.F.); #32170=ORIENTED_EDGE('',*,*,#22625,.F.); #32171=ORIENTED_EDGE('',*,*,#22636,.F.); #32172=ORIENTED_EDGE('',*,*,#22637,.T.); #32173=ORIENTED_EDGE('',*,*,#22638,.T.); #32174=ORIENTED_EDGE('',*,*,#22637,.F.); #32175=ORIENTED_EDGE('',*,*,#22639,.T.); #32176=ORIENTED_EDGE('',*,*,#22640,.T.); #32177=ORIENTED_EDGE('',*,*,#22641,.F.); #32178=ORIENTED_EDGE('',*,*,#22642,.F.); #32179=ORIENTED_EDGE('',*,*,#22643,.T.); #32180=ORIENTED_EDGE('',*,*,#22642,.T.); #32181=ORIENTED_EDGE('',*,*,#22644,.F.); #32182=ORIENTED_EDGE('',*,*,#22645,.F.); #32183=ORIENTED_EDGE('',*,*,#22646,.T.); #32184=ORIENTED_EDGE('',*,*,#22645,.T.); #32185=ORIENTED_EDGE('',*,*,#22647,.F.); #32186=ORIENTED_EDGE('',*,*,#22648,.F.); #32187=ORIENTED_EDGE('',*,*,#22649,.T.); #32188=ORIENTED_EDGE('',*,*,#22648,.T.); #32189=ORIENTED_EDGE('',*,*,#22650,.F.); #32190=ORIENTED_EDGE('',*,*,#22640,.F.); #32191=ORIENTED_EDGE('',*,*,#22651,.T.); #32192=ORIENTED_EDGE('',*,*,#22652,.T.); #32193=ORIENTED_EDGE('',*,*,#22653,.F.); #32194=ORIENTED_EDGE('',*,*,#22654,.F.); #32195=ORIENTED_EDGE('',*,*,#22655,.T.); #32196=ORIENTED_EDGE('',*,*,#22654,.T.); #32197=ORIENTED_EDGE('',*,*,#22656,.F.); #32198=ORIENTED_EDGE('',*,*,#22657,.F.); #32199=ORIENTED_EDGE('',*,*,#22658,.T.); #32200=ORIENTED_EDGE('',*,*,#22657,.T.); #32201=ORIENTED_EDGE('',*,*,#22659,.F.); #32202=ORIENTED_EDGE('',*,*,#22660,.F.); #32203=ORIENTED_EDGE('',*,*,#22661,.T.); #32204=ORIENTED_EDGE('',*,*,#22660,.T.); #32205=ORIENTED_EDGE('',*,*,#22662,.F.); #32206=ORIENTED_EDGE('',*,*,#22652,.F.); #32207=ORIENTED_EDGE('',*,*,#22663,.T.); #32208=ORIENTED_EDGE('',*,*,#22664,.T.); #32209=ORIENTED_EDGE('',*,*,#22665,.F.); #32210=ORIENTED_EDGE('',*,*,#22666,.F.); #32211=ORIENTED_EDGE('',*,*,#22667,.F.); #32212=ORIENTED_EDGE('',*,*,#22666,.T.); #32213=ORIENTED_EDGE('',*,*,#22668,.F.); #32214=ORIENTED_EDGE('',*,*,#22669,.F.); #32215=ORIENTED_EDGE('',*,*,#22670,.F.); #32216=ORIENTED_EDGE('',*,*,#22669,.T.); #32217=ORIENTED_EDGE('',*,*,#22671,.F.); #32218=ORIENTED_EDGE('',*,*,#22672,.F.); #32219=ORIENTED_EDGE('',*,*,#22673,.F.); #32220=ORIENTED_EDGE('',*,*,#22672,.T.); #32221=ORIENTED_EDGE('',*,*,#22674,.F.); #32222=ORIENTED_EDGE('',*,*,#22675,.F.); #32223=ORIENTED_EDGE('',*,*,#22676,.T.); #32224=ORIENTED_EDGE('',*,*,#22675,.T.); #32225=ORIENTED_EDGE('',*,*,#22677,.F.); #32226=ORIENTED_EDGE('',*,*,#22678,.F.); #32227=ORIENTED_EDGE('',*,*,#22679,.T.); #32228=ORIENTED_EDGE('',*,*,#22678,.T.); #32229=ORIENTED_EDGE('',*,*,#22680,.F.); #32230=ORIENTED_EDGE('',*,*,#22681,.F.); #32231=ORIENTED_EDGE('',*,*,#22682,.F.); #32232=ORIENTED_EDGE('',*,*,#22681,.T.); #32233=ORIENTED_EDGE('',*,*,#22683,.F.); #32234=ORIENTED_EDGE('',*,*,#22684,.F.); #32235=ORIENTED_EDGE('',*,*,#22685,.F.); #32236=ORIENTED_EDGE('',*,*,#22684,.T.); #32237=ORIENTED_EDGE('',*,*,#22686,.F.); #32238=ORIENTED_EDGE('',*,*,#22687,.F.); #32239=ORIENTED_EDGE('',*,*,#22688,.F.); #32240=ORIENTED_EDGE('',*,*,#22687,.T.); #32241=ORIENTED_EDGE('',*,*,#22689,.F.); #32242=ORIENTED_EDGE('',*,*,#22690,.F.); #32243=ORIENTED_EDGE('',*,*,#22691,.F.); #32244=ORIENTED_EDGE('',*,*,#22690,.T.); #32245=ORIENTED_EDGE('',*,*,#22692,.F.); #32246=ORIENTED_EDGE('',*,*,#22693,.F.); #32247=ORIENTED_EDGE('',*,*,#22694,.F.); #32248=ORIENTED_EDGE('',*,*,#22693,.T.); #32249=ORIENTED_EDGE('',*,*,#22695,.F.); #32250=ORIENTED_EDGE('',*,*,#22696,.F.); #32251=ORIENTED_EDGE('',*,*,#22697,.F.); #32252=ORIENTED_EDGE('',*,*,#22696,.T.); #32253=ORIENTED_EDGE('',*,*,#22698,.F.); #32254=ORIENTED_EDGE('',*,*,#22699,.F.); #32255=ORIENTED_EDGE('',*,*,#22700,.F.); #32256=ORIENTED_EDGE('',*,*,#22699,.T.); #32257=ORIENTED_EDGE('',*,*,#22701,.F.); #32258=ORIENTED_EDGE('',*,*,#22702,.F.); #32259=ORIENTED_EDGE('',*,*,#22703,.T.); #32260=ORIENTED_EDGE('',*,*,#22702,.T.); #32261=ORIENTED_EDGE('',*,*,#22704,.F.); #32262=ORIENTED_EDGE('',*,*,#22705,.F.); #32263=ORIENTED_EDGE('',*,*,#22706,.F.); #32264=ORIENTED_EDGE('',*,*,#22705,.T.); #32265=ORIENTED_EDGE('',*,*,#22707,.F.); #32266=ORIENTED_EDGE('',*,*,#22708,.F.); #32267=ORIENTED_EDGE('',*,*,#22709,.F.); #32268=ORIENTED_EDGE('',*,*,#22708,.T.); #32269=ORIENTED_EDGE('',*,*,#22710,.F.); #32270=ORIENTED_EDGE('',*,*,#22711,.F.); #32271=ORIENTED_EDGE('',*,*,#22712,.F.); #32272=ORIENTED_EDGE('',*,*,#22711,.T.); #32273=ORIENTED_EDGE('',*,*,#22713,.F.); #32274=ORIENTED_EDGE('',*,*,#22714,.F.); #32275=ORIENTED_EDGE('',*,*,#22715,.T.); #32276=ORIENTED_EDGE('',*,*,#22714,.T.); #32277=ORIENTED_EDGE('',*,*,#22716,.F.); #32278=ORIENTED_EDGE('',*,*,#22717,.F.); #32279=ORIENTED_EDGE('',*,*,#22718,.T.); #32280=ORIENTED_EDGE('',*,*,#22717,.T.); #32281=ORIENTED_EDGE('',*,*,#22719,.F.); #32282=ORIENTED_EDGE('',*,*,#22720,.F.); #32283=ORIENTED_EDGE('',*,*,#22721,.T.); #32284=ORIENTED_EDGE('',*,*,#22720,.T.); #32285=ORIENTED_EDGE('',*,*,#22722,.F.); #32286=ORIENTED_EDGE('',*,*,#22723,.F.); #32287=ORIENTED_EDGE('',*,*,#22724,.F.); #32288=ORIENTED_EDGE('',*,*,#22723,.T.); #32289=ORIENTED_EDGE('',*,*,#22725,.F.); #32290=ORIENTED_EDGE('',*,*,#22726,.F.); #32291=ORIENTED_EDGE('',*,*,#22727,.F.); #32292=ORIENTED_EDGE('',*,*,#22726,.T.); #32293=ORIENTED_EDGE('',*,*,#22728,.F.); #32294=ORIENTED_EDGE('',*,*,#22729,.F.); #32295=ORIENTED_EDGE('',*,*,#22730,.F.); #32296=ORIENTED_EDGE('',*,*,#22729,.T.); #32297=ORIENTED_EDGE('',*,*,#22731,.F.); #32298=ORIENTED_EDGE('',*,*,#22732,.F.); #32299=ORIENTED_EDGE('',*,*,#22733,.F.); #32300=ORIENTED_EDGE('',*,*,#22732,.T.); #32301=ORIENTED_EDGE('',*,*,#22734,.F.); #32302=ORIENTED_EDGE('',*,*,#22735,.F.); #32303=ORIENTED_EDGE('',*,*,#22736,.T.); #32304=ORIENTED_EDGE('',*,*,#22735,.T.); #32305=ORIENTED_EDGE('',*,*,#22737,.F.); #32306=ORIENTED_EDGE('',*,*,#22738,.F.); #32307=ORIENTED_EDGE('',*,*,#22739,.T.); #32308=ORIENTED_EDGE('',*,*,#22738,.T.); #32309=ORIENTED_EDGE('',*,*,#22740,.F.); #32310=ORIENTED_EDGE('',*,*,#22741,.F.); #32311=ORIENTED_EDGE('',*,*,#22742,.T.); #32312=ORIENTED_EDGE('',*,*,#22741,.T.); #32313=ORIENTED_EDGE('',*,*,#22743,.F.); #32314=ORIENTED_EDGE('',*,*,#22744,.F.); #32315=ORIENTED_EDGE('',*,*,#22745,.F.); #32316=ORIENTED_EDGE('',*,*,#22744,.T.); #32317=ORIENTED_EDGE('',*,*,#22746,.F.); #32318=ORIENTED_EDGE('',*,*,#22747,.F.); #32319=ORIENTED_EDGE('',*,*,#22748,.T.); #32320=ORIENTED_EDGE('',*,*,#22747,.T.); #32321=ORIENTED_EDGE('',*,*,#22749,.F.); #32322=ORIENTED_EDGE('',*,*,#22750,.F.); #32323=ORIENTED_EDGE('',*,*,#22751,.T.); #32324=ORIENTED_EDGE('',*,*,#22750,.T.); #32325=ORIENTED_EDGE('',*,*,#22752,.F.); #32326=ORIENTED_EDGE('',*,*,#22753,.F.); #32327=ORIENTED_EDGE('',*,*,#22754,.F.); #32328=ORIENTED_EDGE('',*,*,#22753,.T.); #32329=ORIENTED_EDGE('',*,*,#22755,.F.); #32330=ORIENTED_EDGE('',*,*,#22756,.F.); #32331=ORIENTED_EDGE('',*,*,#22757,.F.); #32332=ORIENTED_EDGE('',*,*,#22756,.T.); #32333=ORIENTED_EDGE('',*,*,#22758,.F.); #32334=ORIENTED_EDGE('',*,*,#22759,.F.); #32335=ORIENTED_EDGE('',*,*,#22760,.F.); #32336=ORIENTED_EDGE('',*,*,#22759,.T.); #32337=ORIENTED_EDGE('',*,*,#22761,.F.); #32338=ORIENTED_EDGE('',*,*,#22762,.F.); #32339=ORIENTED_EDGE('',*,*,#22763,.F.); #32340=ORIENTED_EDGE('',*,*,#22762,.T.); #32341=ORIENTED_EDGE('',*,*,#22764,.F.); #32342=ORIENTED_EDGE('',*,*,#22765,.F.); #32343=ORIENTED_EDGE('',*,*,#22766,.T.); #32344=ORIENTED_EDGE('',*,*,#22765,.T.); #32345=ORIENTED_EDGE('',*,*,#22767,.F.); #32346=ORIENTED_EDGE('',*,*,#22768,.F.); #32347=ORIENTED_EDGE('',*,*,#22769,.T.); #32348=ORIENTED_EDGE('',*,*,#22768,.T.); #32349=ORIENTED_EDGE('',*,*,#22770,.F.); #32350=ORIENTED_EDGE('',*,*,#22664,.F.); #32351=ORIENTED_EDGE('',*,*,#22770,.T.); #32352=ORIENTED_EDGE('',*,*,#22767,.T.); #32353=ORIENTED_EDGE('',*,*,#22764,.T.); #32354=ORIENTED_EDGE('',*,*,#22761,.T.); #32355=ORIENTED_EDGE('',*,*,#22758,.T.); #32356=ORIENTED_EDGE('',*,*,#22755,.T.); #32357=ORIENTED_EDGE('',*,*,#22752,.T.); #32358=ORIENTED_EDGE('',*,*,#22749,.T.); #32359=ORIENTED_EDGE('',*,*,#22746,.T.); #32360=ORIENTED_EDGE('',*,*,#22743,.T.); #32361=ORIENTED_EDGE('',*,*,#22740,.T.); #32362=ORIENTED_EDGE('',*,*,#22737,.T.); #32363=ORIENTED_EDGE('',*,*,#22734,.T.); #32364=ORIENTED_EDGE('',*,*,#22731,.T.); #32365=ORIENTED_EDGE('',*,*,#22728,.T.); #32366=ORIENTED_EDGE('',*,*,#22725,.T.); #32367=ORIENTED_EDGE('',*,*,#22722,.T.); #32368=ORIENTED_EDGE('',*,*,#22719,.T.); #32369=ORIENTED_EDGE('',*,*,#22716,.T.); #32370=ORIENTED_EDGE('',*,*,#22713,.T.); #32371=ORIENTED_EDGE('',*,*,#22710,.T.); #32372=ORIENTED_EDGE('',*,*,#22707,.T.); #32373=ORIENTED_EDGE('',*,*,#22704,.T.); #32374=ORIENTED_EDGE('',*,*,#22701,.T.); #32375=ORIENTED_EDGE('',*,*,#22698,.T.); #32376=ORIENTED_EDGE('',*,*,#22695,.T.); #32377=ORIENTED_EDGE('',*,*,#22692,.T.); #32378=ORIENTED_EDGE('',*,*,#22689,.T.); #32379=ORIENTED_EDGE('',*,*,#22686,.T.); #32380=ORIENTED_EDGE('',*,*,#22683,.T.); #32381=ORIENTED_EDGE('',*,*,#22680,.T.); #32382=ORIENTED_EDGE('',*,*,#22677,.T.); #32383=ORIENTED_EDGE('',*,*,#22674,.T.); #32384=ORIENTED_EDGE('',*,*,#22671,.T.); #32385=ORIENTED_EDGE('',*,*,#22668,.T.); #32386=ORIENTED_EDGE('',*,*,#22665,.T.); #32387=ORIENTED_EDGE('',*,*,#22662,.T.); #32388=ORIENTED_EDGE('',*,*,#22659,.T.); #32389=ORIENTED_EDGE('',*,*,#22656,.T.); #32390=ORIENTED_EDGE('',*,*,#22653,.T.); #32391=ORIENTED_EDGE('',*,*,#22650,.T.); #32392=ORIENTED_EDGE('',*,*,#22647,.T.); #32393=ORIENTED_EDGE('',*,*,#22644,.T.); #32394=ORIENTED_EDGE('',*,*,#22641,.T.); #32395=ORIENTED_EDGE('',*,*,#22636,.T.); #32396=ORIENTED_EDGE('',*,*,#22635,.T.); #32397=ORIENTED_EDGE('',*,*,#22632,.T.); #32398=ORIENTED_EDGE('',*,*,#22629,.T.); #32399=ORIENTED_EDGE('',*,*,#22626,.T.); #32400=ORIENTED_EDGE('',*,*,#22769,.F.); #32401=ORIENTED_EDGE('',*,*,#22663,.F.); #32402=ORIENTED_EDGE('',*,*,#22667,.T.); #32403=ORIENTED_EDGE('',*,*,#22670,.T.); #32404=ORIENTED_EDGE('',*,*,#22673,.T.); #32405=ORIENTED_EDGE('',*,*,#22676,.F.); #32406=ORIENTED_EDGE('',*,*,#22679,.F.); #32407=ORIENTED_EDGE('',*,*,#22682,.T.); #32408=ORIENTED_EDGE('',*,*,#22685,.T.); #32409=ORIENTED_EDGE('',*,*,#22688,.T.); #32410=ORIENTED_EDGE('',*,*,#22691,.T.); #32411=ORIENTED_EDGE('',*,*,#22694,.T.); #32412=ORIENTED_EDGE('',*,*,#22697,.T.); #32413=ORIENTED_EDGE('',*,*,#22700,.T.); #32414=ORIENTED_EDGE('',*,*,#22703,.F.); #32415=ORIENTED_EDGE('',*,*,#22706,.T.); #32416=ORIENTED_EDGE('',*,*,#22709,.T.); #32417=ORIENTED_EDGE('',*,*,#22712,.T.); #32418=ORIENTED_EDGE('',*,*,#22715,.F.); #32419=ORIENTED_EDGE('',*,*,#22718,.F.); #32420=ORIENTED_EDGE('',*,*,#22721,.F.); #32421=ORIENTED_EDGE('',*,*,#22724,.T.); #32422=ORIENTED_EDGE('',*,*,#22727,.T.); #32423=ORIENTED_EDGE('',*,*,#22730,.T.); #32424=ORIENTED_EDGE('',*,*,#22733,.T.); #32425=ORIENTED_EDGE('',*,*,#22736,.F.); #32426=ORIENTED_EDGE('',*,*,#22739,.F.); #32427=ORIENTED_EDGE('',*,*,#22742,.F.); #32428=ORIENTED_EDGE('',*,*,#22745,.T.); #32429=ORIENTED_EDGE('',*,*,#22748,.F.); #32430=ORIENTED_EDGE('',*,*,#22751,.F.); #32431=ORIENTED_EDGE('',*,*,#22754,.T.); #32432=ORIENTED_EDGE('',*,*,#22757,.T.); #32433=ORIENTED_EDGE('',*,*,#22760,.T.); #32434=ORIENTED_EDGE('',*,*,#22763,.T.); #32435=ORIENTED_EDGE('',*,*,#22766,.F.); #32436=ORIENTED_EDGE('',*,*,#22661,.F.); #32437=ORIENTED_EDGE('',*,*,#22651,.F.); #32438=ORIENTED_EDGE('',*,*,#22655,.F.); #32439=ORIENTED_EDGE('',*,*,#22658,.F.); #32440=ORIENTED_EDGE('',*,*,#22649,.F.); #32441=ORIENTED_EDGE('',*,*,#22639,.F.); #32442=ORIENTED_EDGE('',*,*,#22643,.F.); #32443=ORIENTED_EDGE('',*,*,#22646,.F.); #32444=ORIENTED_EDGE('',*,*,#22638,.F.); #32445=ORIENTED_EDGE('',*,*,#22634,.F.); #32446=ORIENTED_EDGE('',*,*,#22624,.F.); #32447=ORIENTED_EDGE('',*,*,#22628,.F.); #32448=ORIENTED_EDGE('',*,*,#22631,.F.); #32449=ORIENTED_EDGE('',*,*,#22771,.T.); #32450=ORIENTED_EDGE('',*,*,#22772,.T.); #32451=ORIENTED_EDGE('',*,*,#22773,.F.); #32452=ORIENTED_EDGE('',*,*,#22774,.F.); #32453=ORIENTED_EDGE('',*,*,#22775,.T.); #32454=ORIENTED_EDGE('',*,*,#22774,.T.); #32455=ORIENTED_EDGE('',*,*,#22776,.F.); #32456=ORIENTED_EDGE('',*,*,#22777,.F.); #32457=ORIENTED_EDGE('',*,*,#22778,.T.); #32458=ORIENTED_EDGE('',*,*,#22777,.T.); #32459=ORIENTED_EDGE('',*,*,#22779,.F.); #32460=ORIENTED_EDGE('',*,*,#22780,.F.); #32461=ORIENTED_EDGE('',*,*,#22781,.T.); #32462=ORIENTED_EDGE('',*,*,#22780,.T.); #32463=ORIENTED_EDGE('',*,*,#22782,.F.); #32464=ORIENTED_EDGE('',*,*,#22772,.F.); #32465=ORIENTED_EDGE('',*,*,#22783,.T.); #32466=ORIENTED_EDGE('',*,*,#22784,.T.); #32467=ORIENTED_EDGE('',*,*,#22785,.F.); #32468=ORIENTED_EDGE('',*,*,#22786,.F.); #32469=ORIENTED_EDGE('',*,*,#22787,.T.); #32470=ORIENTED_EDGE('',*,*,#22786,.T.); #32471=ORIENTED_EDGE('',*,*,#22788,.F.); #32472=ORIENTED_EDGE('',*,*,#22789,.F.); #32473=ORIENTED_EDGE('',*,*,#22790,.T.); #32474=ORIENTED_EDGE('',*,*,#22789,.T.); #32475=ORIENTED_EDGE('',*,*,#22791,.F.); #32476=ORIENTED_EDGE('',*,*,#22792,.F.); #32477=ORIENTED_EDGE('',*,*,#22793,.T.); #32478=ORIENTED_EDGE('',*,*,#22792,.T.); #32479=ORIENTED_EDGE('',*,*,#22794,.F.); #32480=ORIENTED_EDGE('',*,*,#22784,.F.); #32481=ORIENTED_EDGE('',*,*,#22795,.F.); #32482=ORIENTED_EDGE('',*,*,#22796,.T.); #32483=ORIENTED_EDGE('',*,*,#22797,.F.); #32484=ORIENTED_EDGE('',*,*,#22798,.F.); #32485=ORIENTED_EDGE('',*,*,#22799,.F.); #32486=ORIENTED_EDGE('',*,*,#22798,.T.); #32487=ORIENTED_EDGE('',*,*,#22800,.F.); #32488=ORIENTED_EDGE('',*,*,#22801,.F.); #32489=ORIENTED_EDGE('',*,*,#22802,.F.); #32490=ORIENTED_EDGE('',*,*,#22801,.T.); #32491=ORIENTED_EDGE('',*,*,#22803,.F.); #32492=ORIENTED_EDGE('',*,*,#22804,.F.); #32493=ORIENTED_EDGE('',*,*,#22805,.F.); #32494=ORIENTED_EDGE('',*,*,#22804,.T.); #32495=ORIENTED_EDGE('',*,*,#22806,.F.); #32496=ORIENTED_EDGE('',*,*,#22807,.F.); #32497=ORIENTED_EDGE('',*,*,#22808,.F.); #32498=ORIENTED_EDGE('',*,*,#22807,.T.); #32499=ORIENTED_EDGE('',*,*,#22809,.F.); #32500=ORIENTED_EDGE('',*,*,#22810,.F.); #32501=ORIENTED_EDGE('',*,*,#22811,.T.); #32502=ORIENTED_EDGE('',*,*,#22810,.T.); #32503=ORIENTED_EDGE('',*,*,#22812,.F.); #32504=ORIENTED_EDGE('',*,*,#22813,.F.); #32505=ORIENTED_EDGE('',*,*,#22814,.F.); #32506=ORIENTED_EDGE('',*,*,#22813,.T.); #32507=ORIENTED_EDGE('',*,*,#22815,.F.); #32508=ORIENTED_EDGE('',*,*,#22816,.F.); #32509=ORIENTED_EDGE('',*,*,#22817,.F.); #32510=ORIENTED_EDGE('',*,*,#22816,.T.); #32511=ORIENTED_EDGE('',*,*,#22818,.F.); #32512=ORIENTED_EDGE('',*,*,#22819,.F.); #32513=ORIENTED_EDGE('',*,*,#22820,.F.); #32514=ORIENTED_EDGE('',*,*,#22819,.T.); #32515=ORIENTED_EDGE('',*,*,#22821,.F.); #32516=ORIENTED_EDGE('',*,*,#22822,.F.); #32517=ORIENTED_EDGE('',*,*,#22823,.F.); #32518=ORIENTED_EDGE('',*,*,#22822,.T.); #32519=ORIENTED_EDGE('',*,*,#22824,.F.); #32520=ORIENTED_EDGE('',*,*,#22825,.F.); #32521=ORIENTED_EDGE('',*,*,#22826,.T.); #32522=ORIENTED_EDGE('',*,*,#22825,.T.); #32523=ORIENTED_EDGE('',*,*,#22827,.F.); #32524=ORIENTED_EDGE('',*,*,#22828,.F.); #32525=ORIENTED_EDGE('',*,*,#22829,.F.); #32526=ORIENTED_EDGE('',*,*,#22828,.T.); #32527=ORIENTED_EDGE('',*,*,#22830,.F.); #32528=ORIENTED_EDGE('',*,*,#22831,.F.); #32529=ORIENTED_EDGE('',*,*,#22832,.T.); #32530=ORIENTED_EDGE('',*,*,#22831,.T.); #32531=ORIENTED_EDGE('',*,*,#22833,.F.); #32532=ORIENTED_EDGE('',*,*,#22834,.F.); #32533=ORIENTED_EDGE('',*,*,#22835,.T.); #32534=ORIENTED_EDGE('',*,*,#22834,.T.); #32535=ORIENTED_EDGE('',*,*,#22836,.F.); #32536=ORIENTED_EDGE('',*,*,#22837,.F.); #32537=ORIENTED_EDGE('',*,*,#22838,.F.); #32538=ORIENTED_EDGE('',*,*,#22837,.T.); #32539=ORIENTED_EDGE('',*,*,#22839,.F.); #32540=ORIENTED_EDGE('',*,*,#22840,.F.); #32541=ORIENTED_EDGE('',*,*,#22841,.F.); #32542=ORIENTED_EDGE('',*,*,#22840,.T.); #32543=ORIENTED_EDGE('',*,*,#22842,.F.); #32544=ORIENTED_EDGE('',*,*,#22843,.F.); #32545=ORIENTED_EDGE('',*,*,#22844,.F.); #32546=ORIENTED_EDGE('',*,*,#22843,.T.); #32547=ORIENTED_EDGE('',*,*,#22845,.F.); #32548=ORIENTED_EDGE('',*,*,#22846,.F.); #32549=ORIENTED_EDGE('',*,*,#22847,.T.); #32550=ORIENTED_EDGE('',*,*,#22846,.T.); #32551=ORIENTED_EDGE('',*,*,#22848,.F.); #32552=ORIENTED_EDGE('',*,*,#22796,.F.); #32553=ORIENTED_EDGE('',*,*,#22848,.T.); #32554=ORIENTED_EDGE('',*,*,#22845,.T.); #32555=ORIENTED_EDGE('',*,*,#22842,.T.); #32556=ORIENTED_EDGE('',*,*,#22839,.T.); #32557=ORIENTED_EDGE('',*,*,#22836,.T.); #32558=ORIENTED_EDGE('',*,*,#22833,.T.); #32559=ORIENTED_EDGE('',*,*,#22830,.T.); #32560=ORIENTED_EDGE('',*,*,#22827,.T.); #32561=ORIENTED_EDGE('',*,*,#22824,.T.); #32562=ORIENTED_EDGE('',*,*,#22821,.T.); #32563=ORIENTED_EDGE('',*,*,#22818,.T.); #32564=ORIENTED_EDGE('',*,*,#22815,.T.); #32565=ORIENTED_EDGE('',*,*,#22812,.T.); #32566=ORIENTED_EDGE('',*,*,#22809,.T.); #32567=ORIENTED_EDGE('',*,*,#22806,.T.); #32568=ORIENTED_EDGE('',*,*,#22803,.T.); #32569=ORIENTED_EDGE('',*,*,#22800,.T.); #32570=ORIENTED_EDGE('',*,*,#22797,.T.); #32571=ORIENTED_EDGE('',*,*,#22794,.T.); #32572=ORIENTED_EDGE('',*,*,#22791,.T.); #32573=ORIENTED_EDGE('',*,*,#22788,.T.); #32574=ORIENTED_EDGE('',*,*,#22785,.T.); #32575=ORIENTED_EDGE('',*,*,#22782,.T.); #32576=ORIENTED_EDGE('',*,*,#22779,.T.); #32577=ORIENTED_EDGE('',*,*,#22776,.T.); #32578=ORIENTED_EDGE('',*,*,#22773,.T.); #32579=ORIENTED_EDGE('',*,*,#22847,.F.); #32580=ORIENTED_EDGE('',*,*,#22795,.T.); #32581=ORIENTED_EDGE('',*,*,#22799,.T.); #32582=ORIENTED_EDGE('',*,*,#22802,.T.); #32583=ORIENTED_EDGE('',*,*,#22805,.T.); #32584=ORIENTED_EDGE('',*,*,#22808,.T.); #32585=ORIENTED_EDGE('',*,*,#22811,.F.); #32586=ORIENTED_EDGE('',*,*,#22814,.T.); #32587=ORIENTED_EDGE('',*,*,#22817,.T.); #32588=ORIENTED_EDGE('',*,*,#22820,.T.); #32589=ORIENTED_EDGE('',*,*,#22823,.T.); #32590=ORIENTED_EDGE('',*,*,#22826,.F.); #32591=ORIENTED_EDGE('',*,*,#22829,.T.); #32592=ORIENTED_EDGE('',*,*,#22832,.F.); #32593=ORIENTED_EDGE('',*,*,#22835,.F.); #32594=ORIENTED_EDGE('',*,*,#22838,.T.); #32595=ORIENTED_EDGE('',*,*,#22841,.T.); #32596=ORIENTED_EDGE('',*,*,#22844,.T.); #32597=ORIENTED_EDGE('',*,*,#22793,.F.); #32598=ORIENTED_EDGE('',*,*,#22783,.F.); #32599=ORIENTED_EDGE('',*,*,#22787,.F.); #32600=ORIENTED_EDGE('',*,*,#22790,.F.); #32601=ORIENTED_EDGE('',*,*,#22781,.F.); #32602=ORIENTED_EDGE('',*,*,#22771,.F.); #32603=ORIENTED_EDGE('',*,*,#22775,.F.); #32604=ORIENTED_EDGE('',*,*,#22778,.F.); #32605=ORIENTED_EDGE('',*,*,#22849,.F.); #32606=ORIENTED_EDGE('',*,*,#22850,.T.); #32607=ORIENTED_EDGE('',*,*,#22851,.T.); #32608=ORIENTED_EDGE('',*,*,#22850,.F.); #32609=ORIENTED_EDGE('',*,*,#22852,.F.); #32610=ORIENTED_EDGE('',*,*,#22853,.T.); #32611=ORIENTED_EDGE('',*,*,#22854,.F.); #32612=ORIENTED_EDGE('',*,*,#22855,.F.); #32613=ORIENTED_EDGE('',*,*,#22856,.F.); #32614=ORIENTED_EDGE('',*,*,#22855,.T.); #32615=ORIENTED_EDGE('',*,*,#22857,.F.); #32616=ORIENTED_EDGE('',*,*,#22858,.F.); #32617=ORIENTED_EDGE('',*,*,#22859,.T.); #32618=ORIENTED_EDGE('',*,*,#22858,.T.); #32619=ORIENTED_EDGE('',*,*,#22860,.F.); #32620=ORIENTED_EDGE('',*,*,#22861,.F.); #32621=ORIENTED_EDGE('',*,*,#22862,.T.); #32622=ORIENTED_EDGE('',*,*,#22861,.T.); #32623=ORIENTED_EDGE('',*,*,#22863,.F.); #32624=ORIENTED_EDGE('',*,*,#22864,.F.); #32625=ORIENTED_EDGE('',*,*,#22865,.T.); #32626=ORIENTED_EDGE('',*,*,#22864,.T.); #32627=ORIENTED_EDGE('',*,*,#22866,.F.); #32628=ORIENTED_EDGE('',*,*,#22867,.F.); #32629=ORIENTED_EDGE('',*,*,#22868,.T.); #32630=ORIENTED_EDGE('',*,*,#22867,.T.); #32631=ORIENTED_EDGE('',*,*,#22869,.F.); #32632=ORIENTED_EDGE('',*,*,#22870,.F.); #32633=ORIENTED_EDGE('',*,*,#22871,.T.); #32634=ORIENTED_EDGE('',*,*,#22870,.T.); #32635=ORIENTED_EDGE('',*,*,#22872,.F.); #32636=ORIENTED_EDGE('',*,*,#22873,.F.); #32637=ORIENTED_EDGE('',*,*,#22874,.T.); #32638=ORIENTED_EDGE('',*,*,#22873,.T.); #32639=ORIENTED_EDGE('',*,*,#22875,.F.); #32640=ORIENTED_EDGE('',*,*,#22876,.F.); #32641=ORIENTED_EDGE('',*,*,#22877,.T.); #32642=ORIENTED_EDGE('',*,*,#22876,.T.); #32643=ORIENTED_EDGE('',*,*,#22878,.F.); #32644=ORIENTED_EDGE('',*,*,#22879,.F.); #32645=ORIENTED_EDGE('',*,*,#22880,.T.); #32646=ORIENTED_EDGE('',*,*,#22879,.T.); #32647=ORIENTED_EDGE('',*,*,#22881,.F.); #32648=ORIENTED_EDGE('',*,*,#22882,.F.); #32649=ORIENTED_EDGE('',*,*,#22883,.T.); #32650=ORIENTED_EDGE('',*,*,#22882,.T.); #32651=ORIENTED_EDGE('',*,*,#22884,.F.); #32652=ORIENTED_EDGE('',*,*,#22885,.F.); #32653=ORIENTED_EDGE('',*,*,#22886,.T.); #32654=ORIENTED_EDGE('',*,*,#22885,.T.); #32655=ORIENTED_EDGE('',*,*,#22887,.F.); #32656=ORIENTED_EDGE('',*,*,#22853,.F.); #32657=ORIENTED_EDGE('',*,*,#22887,.T.); #32658=ORIENTED_EDGE('',*,*,#22884,.T.); #32659=ORIENTED_EDGE('',*,*,#22881,.T.); #32660=ORIENTED_EDGE('',*,*,#22878,.T.); #32661=ORIENTED_EDGE('',*,*,#22875,.T.); #32662=ORIENTED_EDGE('',*,*,#22872,.T.); #32663=ORIENTED_EDGE('',*,*,#22869,.T.); #32664=ORIENTED_EDGE('',*,*,#22866,.T.); #32665=ORIENTED_EDGE('',*,*,#22863,.T.); #32666=ORIENTED_EDGE('',*,*,#22860,.T.); #32667=ORIENTED_EDGE('',*,*,#22857,.T.); #32668=ORIENTED_EDGE('',*,*,#22854,.T.); #32669=ORIENTED_EDGE('',*,*,#22849,.T.); #32670=ORIENTED_EDGE('',*,*,#22886,.F.); #32671=ORIENTED_EDGE('',*,*,#22852,.T.); #32672=ORIENTED_EDGE('',*,*,#22856,.T.); #32673=ORIENTED_EDGE('',*,*,#22859,.F.); #32674=ORIENTED_EDGE('',*,*,#22862,.F.); #32675=ORIENTED_EDGE('',*,*,#22865,.F.); #32676=ORIENTED_EDGE('',*,*,#22868,.F.); #32677=ORIENTED_EDGE('',*,*,#22871,.F.); #32678=ORIENTED_EDGE('',*,*,#22874,.F.); #32679=ORIENTED_EDGE('',*,*,#22877,.F.); #32680=ORIENTED_EDGE('',*,*,#22880,.F.); #32681=ORIENTED_EDGE('',*,*,#22883,.F.); #32682=ORIENTED_EDGE('',*,*,#22851,.F.); #32683=ORIENTED_EDGE('',*,*,#22888,.T.); #32684=ORIENTED_EDGE('',*,*,#22889,.T.); #32685=ORIENTED_EDGE('',*,*,#22890,.F.); #32686=ORIENTED_EDGE('',*,*,#22891,.F.); #32687=ORIENTED_EDGE('',*,*,#22892,.T.); #32688=ORIENTED_EDGE('',*,*,#22891,.T.); #32689=ORIENTED_EDGE('',*,*,#22893,.F.); #32690=ORIENTED_EDGE('',*,*,#22894,.F.); #32691=ORIENTED_EDGE('',*,*,#22895,.T.); #32692=ORIENTED_EDGE('',*,*,#22894,.T.); #32693=ORIENTED_EDGE('',*,*,#22896,.F.); #32694=ORIENTED_EDGE('',*,*,#22897,.F.); #32695=ORIENTED_EDGE('',*,*,#22898,.T.); #32696=ORIENTED_EDGE('',*,*,#22897,.T.); #32697=ORIENTED_EDGE('',*,*,#22899,.F.); #32698=ORIENTED_EDGE('',*,*,#22889,.F.); #32699=ORIENTED_EDGE('',*,*,#22900,.T.); #32700=ORIENTED_EDGE('',*,*,#22901,.T.); #32701=ORIENTED_EDGE('',*,*,#22902,.F.); #32702=ORIENTED_EDGE('',*,*,#22903,.F.); #32703=ORIENTED_EDGE('',*,*,#22904,.T.); #32704=ORIENTED_EDGE('',*,*,#22903,.T.); #32705=ORIENTED_EDGE('',*,*,#22905,.F.); #32706=ORIENTED_EDGE('',*,*,#22906,.F.); #32707=ORIENTED_EDGE('',*,*,#22907,.T.); #32708=ORIENTED_EDGE('',*,*,#22906,.T.); #32709=ORIENTED_EDGE('',*,*,#22908,.F.); #32710=ORIENTED_EDGE('',*,*,#22909,.F.); #32711=ORIENTED_EDGE('',*,*,#22910,.T.); #32712=ORIENTED_EDGE('',*,*,#22909,.T.); #32713=ORIENTED_EDGE('',*,*,#22911,.F.); #32714=ORIENTED_EDGE('',*,*,#22901,.F.); #32715=ORIENTED_EDGE('',*,*,#22912,.F.); #32716=ORIENTED_EDGE('',*,*,#22913,.T.); #32717=ORIENTED_EDGE('',*,*,#22914,.F.); #32718=ORIENTED_EDGE('',*,*,#22915,.F.); #32719=ORIENTED_EDGE('',*,*,#22916,.F.); #32720=ORIENTED_EDGE('',*,*,#22915,.T.); #32721=ORIENTED_EDGE('',*,*,#22917,.F.); #32722=ORIENTED_EDGE('',*,*,#22918,.F.); #32723=ORIENTED_EDGE('',*,*,#22919,.F.); #32724=ORIENTED_EDGE('',*,*,#22918,.T.); #32725=ORIENTED_EDGE('',*,*,#22920,.F.); #32726=ORIENTED_EDGE('',*,*,#22921,.F.); #32727=ORIENTED_EDGE('',*,*,#22922,.F.); #32728=ORIENTED_EDGE('',*,*,#22921,.T.); #32729=ORIENTED_EDGE('',*,*,#22923,.F.); #32730=ORIENTED_EDGE('',*,*,#22924,.F.); #32731=ORIENTED_EDGE('',*,*,#22925,.F.); #32732=ORIENTED_EDGE('',*,*,#22924,.T.); #32733=ORIENTED_EDGE('',*,*,#22926,.F.); #32734=ORIENTED_EDGE('',*,*,#22927,.F.); #32735=ORIENTED_EDGE('',*,*,#22928,.T.); #32736=ORIENTED_EDGE('',*,*,#22927,.T.); #32737=ORIENTED_EDGE('',*,*,#22929,.F.); #32738=ORIENTED_EDGE('',*,*,#22930,.F.); #32739=ORIENTED_EDGE('',*,*,#22931,.F.); #32740=ORIENTED_EDGE('',*,*,#22930,.T.); #32741=ORIENTED_EDGE('',*,*,#22932,.F.); #32742=ORIENTED_EDGE('',*,*,#22933,.F.); #32743=ORIENTED_EDGE('',*,*,#22934,.F.); #32744=ORIENTED_EDGE('',*,*,#22933,.T.); #32745=ORIENTED_EDGE('',*,*,#22935,.F.); #32746=ORIENTED_EDGE('',*,*,#22936,.F.); #32747=ORIENTED_EDGE('',*,*,#22937,.F.); #32748=ORIENTED_EDGE('',*,*,#22936,.T.); #32749=ORIENTED_EDGE('',*,*,#22938,.F.); #32750=ORIENTED_EDGE('',*,*,#22939,.F.); #32751=ORIENTED_EDGE('',*,*,#22940,.F.); #32752=ORIENTED_EDGE('',*,*,#22939,.T.); #32753=ORIENTED_EDGE('',*,*,#22941,.F.); #32754=ORIENTED_EDGE('',*,*,#22942,.F.); #32755=ORIENTED_EDGE('',*,*,#22943,.T.); #32756=ORIENTED_EDGE('',*,*,#22942,.T.); #32757=ORIENTED_EDGE('',*,*,#22944,.F.); #32758=ORIENTED_EDGE('',*,*,#22945,.F.); #32759=ORIENTED_EDGE('',*,*,#22946,.F.); #32760=ORIENTED_EDGE('',*,*,#22945,.T.); #32761=ORIENTED_EDGE('',*,*,#22947,.F.); #32762=ORIENTED_EDGE('',*,*,#22948,.F.); #32763=ORIENTED_EDGE('',*,*,#22949,.T.); #32764=ORIENTED_EDGE('',*,*,#22948,.T.); #32765=ORIENTED_EDGE('',*,*,#22950,.F.); #32766=ORIENTED_EDGE('',*,*,#22951,.F.); #32767=ORIENTED_EDGE('',*,*,#22952,.F.); #32768=ORIENTED_EDGE('',*,*,#22951,.T.); #32769=ORIENTED_EDGE('',*,*,#22953,.F.); #32770=ORIENTED_EDGE('',*,*,#22954,.F.); #32771=ORIENTED_EDGE('',*,*,#22955,.F.); #32772=ORIENTED_EDGE('',*,*,#22954,.T.); #32773=ORIENTED_EDGE('',*,*,#22956,.F.); #32774=ORIENTED_EDGE('',*,*,#22957,.F.); #32775=ORIENTED_EDGE('',*,*,#22958,.F.); #32776=ORIENTED_EDGE('',*,*,#22957,.T.); #32777=ORIENTED_EDGE('',*,*,#22959,.F.); #32778=ORIENTED_EDGE('',*,*,#22960,.F.); #32779=ORIENTED_EDGE('',*,*,#22961,.F.); #32780=ORIENTED_EDGE('',*,*,#22960,.T.); #32781=ORIENTED_EDGE('',*,*,#22962,.F.); #32782=ORIENTED_EDGE('',*,*,#22963,.F.); #32783=ORIENTED_EDGE('',*,*,#22964,.T.); #32784=ORIENTED_EDGE('',*,*,#22963,.T.); #32785=ORIENTED_EDGE('',*,*,#22965,.F.); #32786=ORIENTED_EDGE('',*,*,#22913,.F.); #32787=ORIENTED_EDGE('',*,*,#22965,.T.); #32788=ORIENTED_EDGE('',*,*,#22962,.T.); #32789=ORIENTED_EDGE('',*,*,#22959,.T.); #32790=ORIENTED_EDGE('',*,*,#22956,.T.); #32791=ORIENTED_EDGE('',*,*,#22953,.T.); #32792=ORIENTED_EDGE('',*,*,#22950,.T.); #32793=ORIENTED_EDGE('',*,*,#22947,.T.); #32794=ORIENTED_EDGE('',*,*,#22944,.T.); #32795=ORIENTED_EDGE('',*,*,#22941,.T.); #32796=ORIENTED_EDGE('',*,*,#22938,.T.); #32797=ORIENTED_EDGE('',*,*,#22935,.T.); #32798=ORIENTED_EDGE('',*,*,#22932,.T.); #32799=ORIENTED_EDGE('',*,*,#22929,.T.); #32800=ORIENTED_EDGE('',*,*,#22926,.T.); #32801=ORIENTED_EDGE('',*,*,#22923,.T.); #32802=ORIENTED_EDGE('',*,*,#22920,.T.); #32803=ORIENTED_EDGE('',*,*,#22917,.T.); #32804=ORIENTED_EDGE('',*,*,#22914,.T.); #32805=ORIENTED_EDGE('',*,*,#22911,.T.); #32806=ORIENTED_EDGE('',*,*,#22908,.T.); #32807=ORIENTED_EDGE('',*,*,#22905,.T.); #32808=ORIENTED_EDGE('',*,*,#22902,.T.); #32809=ORIENTED_EDGE('',*,*,#22899,.T.); #32810=ORIENTED_EDGE('',*,*,#22896,.T.); #32811=ORIENTED_EDGE('',*,*,#22893,.T.); #32812=ORIENTED_EDGE('',*,*,#22890,.T.); #32813=ORIENTED_EDGE('',*,*,#22964,.F.); #32814=ORIENTED_EDGE('',*,*,#22912,.T.); #32815=ORIENTED_EDGE('',*,*,#22916,.T.); #32816=ORIENTED_EDGE('',*,*,#22919,.T.); #32817=ORIENTED_EDGE('',*,*,#22922,.T.); #32818=ORIENTED_EDGE('',*,*,#22925,.T.); #32819=ORIENTED_EDGE('',*,*,#22928,.F.); #32820=ORIENTED_EDGE('',*,*,#22931,.T.); #32821=ORIENTED_EDGE('',*,*,#22934,.T.); #32822=ORIENTED_EDGE('',*,*,#22937,.T.); #32823=ORIENTED_EDGE('',*,*,#22940,.T.); #32824=ORIENTED_EDGE('',*,*,#22943,.F.); #32825=ORIENTED_EDGE('',*,*,#22946,.T.); #32826=ORIENTED_EDGE('',*,*,#22949,.F.); #32827=ORIENTED_EDGE('',*,*,#22952,.T.); #32828=ORIENTED_EDGE('',*,*,#22955,.T.); #32829=ORIENTED_EDGE('',*,*,#22958,.T.); #32830=ORIENTED_EDGE('',*,*,#22961,.T.); #32831=ORIENTED_EDGE('',*,*,#22910,.F.); #32832=ORIENTED_EDGE('',*,*,#22900,.F.); #32833=ORIENTED_EDGE('',*,*,#22904,.F.); #32834=ORIENTED_EDGE('',*,*,#22907,.F.); #32835=ORIENTED_EDGE('',*,*,#22898,.F.); #32836=ORIENTED_EDGE('',*,*,#22888,.F.); #32837=ORIENTED_EDGE('',*,*,#22892,.F.); #32838=ORIENTED_EDGE('',*,*,#22895,.F.); #32839=ORIENTED_EDGE('',*,*,#22966,.F.); #32840=ORIENTED_EDGE('',*,*,#22967,.T.); #32841=ORIENTED_EDGE('',*,*,#22968,.T.); #32842=ORIENTED_EDGE('',*,*,#22967,.F.); #32843=ORIENTED_EDGE('',*,*,#22969,.T.); #32844=ORIENTED_EDGE('',*,*,#22970,.T.); #32845=ORIENTED_EDGE('',*,*,#22971,.F.); #32846=ORIENTED_EDGE('',*,*,#22972,.F.); #32847=ORIENTED_EDGE('',*,*,#22973,.T.); #32848=ORIENTED_EDGE('',*,*,#22972,.T.); #32849=ORIENTED_EDGE('',*,*,#22974,.F.); #32850=ORIENTED_EDGE('',*,*,#22975,.F.); #32851=ORIENTED_EDGE('',*,*,#22976,.T.); #32852=ORIENTED_EDGE('',*,*,#22975,.T.); #32853=ORIENTED_EDGE('',*,*,#22977,.F.); #32854=ORIENTED_EDGE('',*,*,#22978,.F.); #32855=ORIENTED_EDGE('',*,*,#22979,.T.); #32856=ORIENTED_EDGE('',*,*,#22978,.T.); #32857=ORIENTED_EDGE('',*,*,#22980,.F.); #32858=ORIENTED_EDGE('',*,*,#22981,.F.); #32859=ORIENTED_EDGE('',*,*,#22982,.T.); #32860=ORIENTED_EDGE('',*,*,#22981,.T.); #32861=ORIENTED_EDGE('',*,*,#22983,.F.); #32862=ORIENTED_EDGE('',*,*,#22984,.F.); #32863=ORIENTED_EDGE('',*,*,#22985,.T.); #32864=ORIENTED_EDGE('',*,*,#22984,.T.); #32865=ORIENTED_EDGE('',*,*,#22986,.F.); #32866=ORIENTED_EDGE('',*,*,#22987,.F.); #32867=ORIENTED_EDGE('',*,*,#22988,.T.); #32868=ORIENTED_EDGE('',*,*,#22987,.T.); #32869=ORIENTED_EDGE('',*,*,#22989,.F.); #32870=ORIENTED_EDGE('',*,*,#22990,.F.); #32871=ORIENTED_EDGE('',*,*,#22991,.T.); #32872=ORIENTED_EDGE('',*,*,#22990,.T.); #32873=ORIENTED_EDGE('',*,*,#22992,.F.); #32874=ORIENTED_EDGE('',*,*,#22993,.F.); #32875=ORIENTED_EDGE('',*,*,#22994,.T.); #32876=ORIENTED_EDGE('',*,*,#22993,.T.); #32877=ORIENTED_EDGE('',*,*,#22995,.F.); #32878=ORIENTED_EDGE('',*,*,#22996,.F.); #32879=ORIENTED_EDGE('',*,*,#22997,.T.); #32880=ORIENTED_EDGE('',*,*,#22996,.T.); #32881=ORIENTED_EDGE('',*,*,#22998,.F.); #32882=ORIENTED_EDGE('',*,*,#22999,.F.); #32883=ORIENTED_EDGE('',*,*,#23000,.T.); #32884=ORIENTED_EDGE('',*,*,#22999,.T.); #32885=ORIENTED_EDGE('',*,*,#23001,.F.); #32886=ORIENTED_EDGE('',*,*,#23002,.F.); #32887=ORIENTED_EDGE('',*,*,#23003,.T.); #32888=ORIENTED_EDGE('',*,*,#23002,.T.); #32889=ORIENTED_EDGE('',*,*,#23004,.F.); #32890=ORIENTED_EDGE('',*,*,#22970,.F.); #32891=ORIENTED_EDGE('',*,*,#23004,.T.); #32892=ORIENTED_EDGE('',*,*,#23001,.T.); #32893=ORIENTED_EDGE('',*,*,#22998,.T.); #32894=ORIENTED_EDGE('',*,*,#22995,.T.); #32895=ORIENTED_EDGE('',*,*,#22992,.T.); #32896=ORIENTED_EDGE('',*,*,#22989,.T.); #32897=ORIENTED_EDGE('',*,*,#22986,.T.); #32898=ORIENTED_EDGE('',*,*,#22983,.T.); #32899=ORIENTED_EDGE('',*,*,#22980,.T.); #32900=ORIENTED_EDGE('',*,*,#22977,.T.); #32901=ORIENTED_EDGE('',*,*,#22974,.T.); #32902=ORIENTED_EDGE('',*,*,#22971,.T.); #32903=ORIENTED_EDGE('',*,*,#22966,.T.); #32904=ORIENTED_EDGE('',*,*,#23003,.F.); #32905=ORIENTED_EDGE('',*,*,#22969,.F.); #32906=ORIENTED_EDGE('',*,*,#22973,.F.); #32907=ORIENTED_EDGE('',*,*,#22976,.F.); #32908=ORIENTED_EDGE('',*,*,#22979,.F.); #32909=ORIENTED_EDGE('',*,*,#22982,.F.); #32910=ORIENTED_EDGE('',*,*,#22985,.F.); #32911=ORIENTED_EDGE('',*,*,#22988,.F.); #32912=ORIENTED_EDGE('',*,*,#22991,.F.); #32913=ORIENTED_EDGE('',*,*,#22994,.F.); #32914=ORIENTED_EDGE('',*,*,#22997,.F.); #32915=ORIENTED_EDGE('',*,*,#23000,.F.); #32916=ORIENTED_EDGE('',*,*,#22968,.F.); #32917=CYLINDRICAL_SURFACE('',#35411,0.125); #32918=CYLINDRICAL_SURFACE('',#35421,0.564999999999999); #32919=CYLINDRICAL_SURFACE('',#35426,0.125); #32920=CYLINDRICAL_SURFACE('',#35436,0.564999999999999); #32921=CYLINDRICAL_SURFACE('',#35444,0.125); #32922=CYLINDRICAL_SURFACE('',#35446,1.475); #32923=CYLINDRICAL_SURFACE('',#35450,0.65); #32924=CYLINDRICAL_SURFACE('',#35453,0.4); #32925=CYLINDRICAL_SURFACE('',#35486,0.399999999999978); #32926=CYLINDRICAL_SURFACE('',#35495,0.4); #32927=CYLINDRICAL_SURFACE('',#35499,0.399999999999978); #32928=CYLINDRICAL_SURFACE('',#35533,0.537500000000003); #32929=CYLINDRICAL_SURFACE('',#35588,0.399999999999978); #32930=CYLINDRICAL_SURFACE('',#35592,0.4); #32931=CYLINDRICAL_SURFACE('',#35598,0.125); #32932=CYLINDRICAL_SURFACE('',#35608,0.564999999999999); #32933=CYLINDRICAL_SURFACE('',#35613,0.125); #32934=CYLINDRICAL_SURFACE('',#35623,0.564999999999999); #32935=CYLINDRICAL_SURFACE('',#35631,0.125); #32936=CYLINDRICAL_SURFACE('',#35633,1.475); #32937=CYLINDRICAL_SURFACE('',#35637,0.65); #32938=CYLINDRICAL_SURFACE('',#35640,0.4); #32939=CYLINDRICAL_SURFACE('',#35673,0.399999999999978); #32940=CYLINDRICAL_SURFACE('',#35682,0.4); #32941=CYLINDRICAL_SURFACE('',#35686,0.399999999999978); #32942=CYLINDRICAL_SURFACE('',#35720,0.537500000000003); #32943=CYLINDRICAL_SURFACE('',#35756,0.84375); #32944=CYLINDRICAL_SURFACE('',#35759,0.109375); #32945=CYLINDRICAL_SURFACE('',#35762,0.109375); #32946=CYLINDRICAL_SURFACE('',#35766,1.00000000000124); #32947=CYLINDRICAL_SURFACE('',#35770,0.200000000000007); #32948=CYLINDRICAL_SURFACE('',#35774,1.00000000000124); #32949=CYLINDRICAL_SURFACE('',#35778,0.200000000000249); #32950=CYLINDRICAL_SURFACE('',#35790,0.125); #32951=CYLINDRICAL_SURFACE('',#35794,0.399999999999999); #32952=CYLINDRICAL_SURFACE('',#35804,0.4); #32953=CYLINDRICAL_SURFACE('',#35809,0.125); #32954=CYLINDRICAL_SURFACE('',#35813,0.4); #32955=CYLINDRICAL_SURFACE('',#35823,0.399999999999999); #32956=CYLINDRICAL_SURFACE('',#35828,0.125); #32957=CYLINDRICAL_SURFACE('',#35831,0.125); #32958=CYLINDRICAL_SURFACE('',#35834,0.125); #32959=CYLINDRICAL_SURFACE('',#35837,0.399999999999999); #32960=CYLINDRICAL_SURFACE('',#35847,0.4); #32961=CYLINDRICAL_SURFACE('',#35853,0.125); #32962=CYLINDRICAL_SURFACE('',#35856,0.125); #32963=CYLINDRICAL_SURFACE('',#35859,0.125); #32964=CYLINDRICAL_SURFACE('',#35862,0.4); #32965=CYLINDRICAL_SURFACE('',#35872,0.399999999999999); #32966=CYLINDRICAL_SURFACE('',#35878,0.125); #32967=CYLINDRICAL_SURFACE('',#35882,0.399999999999999); #32968=CYLINDRICAL_SURFACE('',#35892,0.4); #32969=CYLINDRICAL_SURFACE('',#35897,0.125); #32970=CYLINDRICAL_SURFACE('',#35901,0.4); #32971=CYLINDRICAL_SURFACE('',#35911,0.399999999999999); #32972=CYLINDRICAL_SURFACE('',#35916,0.125); #32973=CYLINDRICAL_SURFACE('',#35919,0.125); #32974=CYLINDRICAL_SURFACE('',#35922,0.125); #32975=CYLINDRICAL_SURFACE('',#35925,0.399999999999999); #32976=CYLINDRICAL_SURFACE('',#35935,0.4); #32977=CYLINDRICAL_SURFACE('',#35941,0.125); #32978=CYLINDRICAL_SURFACE('',#35944,0.125); #32979=CYLINDRICAL_SURFACE('',#35947,0.125); #32980=CYLINDRICAL_SURFACE('',#35950,0.4); #32981=CYLINDRICAL_SURFACE('',#35960,0.399999999999999); #32982=CYLINDRICAL_SURFACE('',#35978,0.12); #32983=CYLINDRICAL_SURFACE('',#35981,0.12); #32984=CYLINDRICAL_SURFACE('',#35984,0.12); #32985=CYLINDRICAL_SURFACE('',#35987,0.12); #32986=CYLINDRICAL_SURFACE('',#35990,0.12); #32987=CYLINDRICAL_SURFACE('',#35993,0.0749999999999997); #32988=CYLINDRICAL_SURFACE('',#36020,0.84375); #32989=CYLINDRICAL_SURFACE('',#36043,0.0749999999999997); #32990=CYLINDRICAL_SURFACE('',#36046,0.125); #32991=CYLINDRICAL_SURFACE('',#36053,0.109375); #32992=CYLINDRICAL_SURFACE('',#36056,0.125); #32993=CYLINDRICAL_SURFACE('',#36059,0.125); #32994=CYLINDRICAL_SURFACE('',#36066,0.109375); #32995=CYLINDRICAL_SURFACE('',#36069,0.109375); #32996=CYLINDRICAL_SURFACE('',#36072,0.125); #32997=CYLINDRICAL_SURFACE('',#36079,0.890000028403725); #32998=CYLINDRICAL_SURFACE('',#36082,0.1); #32999=CYLINDRICAL_SURFACE('',#36086,0.1); #33000=CYLINDRICAL_SURFACE('',#36089,1.11359780852597); #33001=CYLINDRICAL_SURFACE('',#36092,0.1); #33002=CYLINDRICAL_SURFACE('',#36096,0.0999999999999993); #33003=CYLINDRICAL_SURFACE('',#36103,0.109375); #33004=CYLINDRICAL_SURFACE('',#36118,0.12); #33005=CYLINDRICAL_SURFACE('',#36129,1.); #33006=CYLINDRICAL_SURFACE('',#36133,1.); #33007=CYLINDRICAL_SURFACE('',#36149,1.); #33008=CYLINDRICAL_SURFACE('',#36153,1.); #33009=CYLINDRICAL_SURFACE('',#36163,0.109375); #33010=CYLINDRICAL_SURFACE('',#36166,0.109375); #33011=CYLINDRICAL_SURFACE('',#36169,0.84375); #33012=CYLINDRICAL_SURFACE('',#36173,1.00000000000124); #33013=CYLINDRICAL_SURFACE('',#36177,0.200000000000007); #33014=CYLINDRICAL_SURFACE('',#36181,1.00000000000124); #33015=CYLINDRICAL_SURFACE('',#36185,0.200000000000249); #33016=CYLINDRICAL_SURFACE('',#36192,0.12); #33017=CYLINDRICAL_SURFACE('',#36195,0.12); #33018=CYLINDRICAL_SURFACE('',#36212,0.12); #33019=CYLINDRICAL_SURFACE('',#36215,0.12); #33020=CYLINDRICAL_SURFACE('',#36232,0.12); #33021=CYLINDRICAL_SURFACE('',#36235,0.12); #33022=CYLINDRICAL_SURFACE('',#36252,0.12); #33023=CYLINDRICAL_SURFACE('',#36255,0.12); #33024=CYLINDRICAL_SURFACE('',#36272,0.12); #33025=CYLINDRICAL_SURFACE('',#36275,0.12); #33026=CYLINDRICAL_SURFACE('',#36292,0.12); #33027=CYLINDRICAL_SURFACE('',#36295,0.12); #33028=CYLINDRICAL_SURFACE('',#36314,0.0377952755905498); #33029=CYLINDRICAL_SURFACE('',#36318,0.0377952755905498); #33030=CYLINDRICAL_SURFACE('',#36322,0.0377952755905505); #33031=CYLINDRICAL_SURFACE('',#36326,0.0377952755905505); #33032=CYLINDRICAL_SURFACE('',#36330,0.0377952755905498); #33033=CYLINDRICAL_SURFACE('',#36334,0.0377952755905498); #33034=CYLINDRICAL_SURFACE('',#36338,0.0377952755905505); #33035=CYLINDRICAL_SURFACE('',#36342,0.0377952755905505); #33036=CYLINDRICAL_SURFACE('',#36346,0.0377952755905498); #33037=CYLINDRICAL_SURFACE('',#36350,0.0377952755905498); #33038=CYLINDRICAL_SURFACE('',#36354,0.0377952755905505); #33039=CYLINDRICAL_SURFACE('',#36358,0.0377952755905505); #33040=CYLINDRICAL_SURFACE('',#36362,0.0377952755905498); #33041=CYLINDRICAL_SURFACE('',#36366,0.0377952755905498); #33042=CYLINDRICAL_SURFACE('',#36370,0.0377952755905505); #33043=CYLINDRICAL_SURFACE('',#36374,0.0377952755905505); #33044=CYLINDRICAL_SURFACE('',#36378,0.0377952755905498); #33045=CYLINDRICAL_SURFACE('',#36382,0.0377952755905498); #33046=CYLINDRICAL_SURFACE('',#36386,0.0377952755905505); #33047=CYLINDRICAL_SURFACE('',#36390,0.0377952755905505); #33048=CYLINDRICAL_SURFACE('',#36394,0.0377952755905498); #33049=CYLINDRICAL_SURFACE('',#36398,0.0377952755905498); #33050=CYLINDRICAL_SURFACE('',#36402,0.0377952755905505); #33051=CYLINDRICAL_SURFACE('',#36406,0.0377952755905505); #33052=CYLINDRICAL_SURFACE('',#36410,0.0377952755905498); #33053=CYLINDRICAL_SURFACE('',#36414,0.0377952755905498); #33054=CYLINDRICAL_SURFACE('',#36418,0.0377952755905505); #33055=CYLINDRICAL_SURFACE('',#36422,0.0377952755905505); #33056=CYLINDRICAL_SURFACE('',#36426,0.0377952755905498); #33057=CYLINDRICAL_SURFACE('',#36430,0.0377952755905498); #33058=CYLINDRICAL_SURFACE('',#36434,0.0377952755905505); #33059=CYLINDRICAL_SURFACE('',#36438,0.0377952755905505); #33060=CYLINDRICAL_SURFACE('',#36442,0.0377952755905498); #33061=CYLINDRICAL_SURFACE('',#36446,0.0377952755905498); #33062=CYLINDRICAL_SURFACE('',#36450,0.0377952755905505); #33063=CYLINDRICAL_SURFACE('',#36454,0.0377952755905505); #33064=CYLINDRICAL_SURFACE('',#36458,0.0377952755905498); #33065=CYLINDRICAL_SURFACE('',#36462,0.0377952755905498); #33066=CYLINDRICAL_SURFACE('',#36466,0.0377952755905505); #33067=CYLINDRICAL_SURFACE('',#36470,0.0377952755905505); #33068=CYLINDRICAL_SURFACE('',#36474,0.0377952755905498); #33069=CYLINDRICAL_SURFACE('',#36478,0.0377952755905498); #33070=CYLINDRICAL_SURFACE('',#36482,0.0377952755905505); #33071=CYLINDRICAL_SURFACE('',#36486,0.0377952755905505); #33072=CYLINDRICAL_SURFACE('',#36490,0.0377952755905498); #33073=CYLINDRICAL_SURFACE('',#36494,0.0377952755905498); #33074=CYLINDRICAL_SURFACE('',#36498,0.0377952755905505); #33075=CYLINDRICAL_SURFACE('',#36502,0.0377952755905505); #33076=CYLINDRICAL_SURFACE('',#36506,0.0377952755905498); #33077=CYLINDRICAL_SURFACE('',#36510,0.0377952755905498); #33078=CYLINDRICAL_SURFACE('',#36514,0.0377952755905505); #33079=CYLINDRICAL_SURFACE('',#36518,0.0377952755905505); #33080=CYLINDRICAL_SURFACE('',#36522,0.0377952755905498); #33081=CYLINDRICAL_SURFACE('',#36526,0.0377952755905498); #33082=CYLINDRICAL_SURFACE('',#36530,0.0377952755905505); #33083=CYLINDRICAL_SURFACE('',#36534,0.0377952755905505); #33084=CYLINDRICAL_SURFACE('',#36538,0.0377952755905498); #33085=CYLINDRICAL_SURFACE('',#36542,0.0377952755905498); #33086=CYLINDRICAL_SURFACE('',#36546,0.0377952755905505); #33087=CYLINDRICAL_SURFACE('',#36550,0.0377952755905505); #33088=CYLINDRICAL_SURFACE('',#36554,0.0377952755905498); #33089=CYLINDRICAL_SURFACE('',#36558,0.0377952755905498); #33090=CYLINDRICAL_SURFACE('',#36562,0.0377952755905505); #33091=CYLINDRICAL_SURFACE('',#36566,0.0377952755905505); #33092=CYLINDRICAL_SURFACE('',#36570,0.0377952755905498); #33093=CYLINDRICAL_SURFACE('',#36574,0.0377952755905498); #33094=CYLINDRICAL_SURFACE('',#36578,0.0377952755905505); #33095=CYLINDRICAL_SURFACE('',#36582,0.0377952755905505); #33096=CYLINDRICAL_SURFACE('',#36586,0.0377952755905498); #33097=CYLINDRICAL_SURFACE('',#36590,0.0377952755905498); #33098=CYLINDRICAL_SURFACE('',#36594,0.0377952755905505); #33099=CYLINDRICAL_SURFACE('',#36598,0.0377952755905505); #33100=CYLINDRICAL_SURFACE('',#36604,0.499999999999999); #33101=CYLINDRICAL_SURFACE('',#36608,0.499999999999999); #33102=CYLINDRICAL_SURFACE('',#36615,0.499999999999999); #33103=CYLINDRICAL_SURFACE('',#36623,0.5); #33104=CYLINDRICAL_SURFACE('',#36630,0.0377952755905498); #33105=CYLINDRICAL_SURFACE('',#36634,0.0377952755905498); #33106=CYLINDRICAL_SURFACE('',#36638,0.0377952755905505); #33107=CYLINDRICAL_SURFACE('',#36642,0.0377952755905505); #33108=CYLINDRICAL_SURFACE('',#36646,0.0377952755905498); #33109=CYLINDRICAL_SURFACE('',#36650,0.0377952755905498); #33110=CYLINDRICAL_SURFACE('',#36654,0.0377952755905505); #33111=CYLINDRICAL_SURFACE('',#36658,0.0377952755905505); #33112=CYLINDRICAL_SURFACE('',#36662,0.0377952755905498); #33113=CYLINDRICAL_SURFACE('',#36666,0.0377952755905498); #33114=CYLINDRICAL_SURFACE('',#36670,0.0377952755905505); #33115=CYLINDRICAL_SURFACE('',#36674,0.0377952755905505); #33116=CYLINDRICAL_SURFACE('',#36678,0.0377952755905498); #33117=CYLINDRICAL_SURFACE('',#36682,0.0377952755905498); #33118=CYLINDRICAL_SURFACE('',#36686,0.0377952755905505); #33119=CYLINDRICAL_SURFACE('',#36690,0.0377952755905505); #33120=CYLINDRICAL_SURFACE('',#36694,0.0377952755905498); #33121=CYLINDRICAL_SURFACE('',#36698,0.0377952755905498); #33122=CYLINDRICAL_SURFACE('',#36702,0.0377952755905505); #33123=CYLINDRICAL_SURFACE('',#36706,0.0377952755905505); #33124=CYLINDRICAL_SURFACE('',#36710,0.0377952755905498); #33125=CYLINDRICAL_SURFACE('',#36714,0.0377952755905498); #33126=CYLINDRICAL_SURFACE('',#36718,0.0377952755905505); #33127=CYLINDRICAL_SURFACE('',#36722,0.0377952755905505); #33128=CYLINDRICAL_SURFACE('',#36726,0.0377952755905498); #33129=CYLINDRICAL_SURFACE('',#36730,0.0377952755905498); #33130=CYLINDRICAL_SURFACE('',#36734,0.0377952755905505); #33131=CYLINDRICAL_SURFACE('',#36738,0.0377952755905505); #33132=CYLINDRICAL_SURFACE('',#36742,0.0377952755905498); #33133=CYLINDRICAL_SURFACE('',#36746,0.0377952755905498); #33134=CYLINDRICAL_SURFACE('',#36750,0.0377952755905505); #33135=CYLINDRICAL_SURFACE('',#36754,0.0377952755905505); #33136=CYLINDRICAL_SURFACE('',#36758,0.0377952755905498); #33137=CYLINDRICAL_SURFACE('',#36762,0.0377952755905498); #33138=CYLINDRICAL_SURFACE('',#36766,0.0377952755905505); #33139=CYLINDRICAL_SURFACE('',#36770,0.0377952755905505); #33140=CYLINDRICAL_SURFACE('',#36774,0.0377952755905498); #33141=CYLINDRICAL_SURFACE('',#36778,0.0377952755905498); #33142=CYLINDRICAL_SURFACE('',#36782,0.0377952755905505); #33143=CYLINDRICAL_SURFACE('',#36786,0.0377952755905505); #33144=CYLINDRICAL_SURFACE('',#36790,0.0377952755905498); #33145=CYLINDRICAL_SURFACE('',#36794,0.0377952755905498); #33146=CYLINDRICAL_SURFACE('',#36798,0.0377952755905505); #33147=CYLINDRICAL_SURFACE('',#36802,0.0377952755905505); #33148=CYLINDRICAL_SURFACE('',#36806,0.0377952755905498); #33149=CYLINDRICAL_SURFACE('',#36810,0.0377952755905498); #33150=CYLINDRICAL_SURFACE('',#36814,0.0377952755905505); #33151=CYLINDRICAL_SURFACE('',#36818,0.0377952755905505); #33152=CYLINDRICAL_SURFACE('',#36822,0.0377952755905498); #33153=CYLINDRICAL_SURFACE('',#36826,0.0377952755905498); #33154=CYLINDRICAL_SURFACE('',#36830,0.0377952755905505); #33155=CYLINDRICAL_SURFACE('',#36834,0.0377952755905505); #33156=CYLINDRICAL_SURFACE('',#36838,0.0377952755905498); #33157=CYLINDRICAL_SURFACE('',#36842,0.0377952755905498); #33158=CYLINDRICAL_SURFACE('',#36846,0.0377952755905505); #33159=CYLINDRICAL_SURFACE('',#36850,0.0377952755905505); #33160=CYLINDRICAL_SURFACE('',#36854,0.0377952755905498); #33161=CYLINDRICAL_SURFACE('',#36858,0.0377952755905498); #33162=CYLINDRICAL_SURFACE('',#36862,0.0377952755905505); #33163=CYLINDRICAL_SURFACE('',#36866,0.0377952755905505); #33164=CYLINDRICAL_SURFACE('',#36870,0.0377952755905498); #33165=CYLINDRICAL_SURFACE('',#36874,0.0377952755905498); #33166=CYLINDRICAL_SURFACE('',#36878,0.0377952755905505); #33167=CYLINDRICAL_SURFACE('',#36882,0.0377952755905505); #33168=CYLINDRICAL_SURFACE('',#36886,0.0377952755905498); #33169=CYLINDRICAL_SURFACE('',#36890,0.0377952755905498); #33170=CYLINDRICAL_SURFACE('',#36894,0.0377952755905505); #33171=CYLINDRICAL_SURFACE('',#36898,0.0377952755905505); #33172=CYLINDRICAL_SURFACE('',#36902,0.0377952755905498); #33173=CYLINDRICAL_SURFACE('',#36906,0.0377952755905498); #33174=CYLINDRICAL_SURFACE('',#36910,0.0377952755905505); #33175=CYLINDRICAL_SURFACE('',#36914,0.0377952755905505); #33176=CYLINDRICAL_SURFACE('',#36920,0.499999999999999); #33177=CYLINDRICAL_SURFACE('',#36924,0.499999999999999); #33178=CYLINDRICAL_SURFACE('',#36931,0.499999999999999); #33179=CYLINDRICAL_SURFACE('',#36939,0.5); #33180=CYLINDRICAL_SURFACE('',#36952,0.0377952755905498); #33181=CYLINDRICAL_SURFACE('',#36956,0.0377952755905516); #33182=CYLINDRICAL_SURFACE('',#36960,0.0377952755905498); #33183=CYLINDRICAL_SURFACE('',#36964,0.0377952755905498); #33184=CYLINDRICAL_SURFACE('',#36973,0.0377952755905498); #33185=CYLINDRICAL_SURFACE('',#36977,0.0377952755905505); #33186=CYLINDRICAL_SURFACE('',#36981,0.0377952755905516); #33187=CYLINDRICAL_SURFACE('',#36985,0.0377952755905502); #33188=CYLINDRICAL_SURFACE('',#36992,0.12); #33189=CYLINDRICAL_SURFACE('',#36995,0.12); #33190=CYLINDRICAL_SURFACE('',#37006,0.12); #33191=CYLINDRICAL_SURFACE('',#37009,0.0377952755905516); #33192=CYLINDRICAL_SURFACE('',#37013,0.0377952755905512); #33193=CYLINDRICAL_SURFACE('',#37017,0.0377952755905498); #33194=CYLINDRICAL_SURFACE('',#37021,0.0377952755905498); #33195=CYLINDRICAL_SURFACE('',#37025,0.12); #33196=CYLINDRICAL_SURFACE('',#37028,0.12); #33197=CYLINDRICAL_SURFACE('',#37031,0.12); #33198=CYLINDRICAL_SURFACE('',#37034,0.12); #33199=CYLINDRICAL_SURFACE('',#37037,0.12); #33200=CYLINDRICAL_SURFACE('',#37040,0.12); #33201=CYLINDRICAL_SURFACE('',#37047,0.12); #33202=CYLINDRICAL_SURFACE('',#37050,0.12); #33203=CYLINDRICAL_SURFACE('',#37062,0.0377952755905498); #33204=CYLINDRICAL_SURFACE('',#37066,0.0377952755905505); #33205=CYLINDRICAL_SURFACE('',#37070,0.0377952755905516); #33206=CYLINDRICAL_SURFACE('',#37074,0.0377952755905502); #33207=CYLINDRICAL_SURFACE('',#37078,0.0377952755905516); #33208=CYLINDRICAL_SURFACE('',#37082,0.0377952755905505); #33209=CYLINDRICAL_SURFACE('',#37086,0.0377952755905498); #33210=CYLINDRICAL_SURFACE('',#37090,0.0377952755905498); #33211=CYLINDRICAL_SURFACE('',#37094,0.0377952755905498); #33212=CYLINDRICAL_SURFACE('',#37098,0.0377952755905505); #33213=CYLINDRICAL_SURFACE('',#37102,0.0377952755905516); #33214=CYLINDRICAL_SURFACE('',#37106,0.0377952755905502); #33215=CYLINDRICAL_SURFACE('',#37110,0.0377952755905498); #33216=CYLINDRICAL_SURFACE('',#37114,0.0377952755905505); #33217=CYLINDRICAL_SURFACE('',#37118,0.0377952755905516); #33218=CYLINDRICAL_SURFACE('',#37122,0.0377952755905502); #33219=CYLINDRICAL_SURFACE('',#37126,0.0377952755905498); #33220=CYLINDRICAL_SURFACE('',#37130,0.0377952755905505); #33221=CYLINDRICAL_SURFACE('',#37134,0.0377952755905516); #33222=CYLINDRICAL_SURFACE('',#37138,0.0377952755905502); #33223=CYLINDRICAL_SURFACE('',#37141,0.0377952755905498); #33224=CYLINDRICAL_SURFACE('',#37145,0.0377952755905498); #33225=CYLINDRICAL_SURFACE('',#37149,0.0377952755905516); #33226=CYLINDRICAL_SURFACE('',#37153,0.0377952755905505); #33227=CYLINDRICAL_SURFACE('',#37157,0.0377952755905498); #33228=CYLINDRICAL_SURFACE('',#37161,0.0377952755905498); #33229=CYLINDRICAL_SURFACE('',#37165,0.0377952755905516); #33230=CYLINDRICAL_SURFACE('',#37169,0.0377952755905498); #33231=CYLINDRICAL_SURFACE('',#37173,0.0377952755905516); #33232=CYLINDRICAL_SURFACE('',#37177,0.0377952755905498); #33233=CYLINDRICAL_SURFACE('',#37181,0.0377952755905498); #33234=CYLINDRICAL_SURFACE('',#37185,0.0377952755905498); #33235=CYLINDRICAL_SURFACE('',#37189,0.0377952755905498); #33236=CYLINDRICAL_SURFACE('',#37193,0.0377952755905498); #33237=CYLINDRICAL_SURFACE('',#37197,0.0377952755905516); #33238=CYLINDRICAL_SURFACE('',#37201,0.0377952755905505); #33239=CYLINDRICAL_SURFACE('',#37206,0.0377952755905498); #33240=CYLINDRICAL_SURFACE('',#37210,0.0377952755905505); #33241=CYLINDRICAL_SURFACE('',#37214,0.0377952755905516); #33242=CYLINDRICAL_SURFACE('',#37218,0.0377952755905502); #33243=CYLINDRICAL_SURFACE('',#37222,0.0377952755905498); #33244=CYLINDRICAL_SURFACE('',#37226,0.0377952755905505); #33245=CYLINDRICAL_SURFACE('',#37230,0.0377952755905516); #33246=CYLINDRICAL_SURFACE('',#37234,0.0377952755905502); #33247=CYLINDRICAL_SURFACE('',#37238,0.0377952755905498); #33248=CYLINDRICAL_SURFACE('',#37242,0.0377952755905498); #33249=CYLINDRICAL_SURFACE('',#37246,0.0377952755905516); #33250=CYLINDRICAL_SURFACE('',#37250,0.0377952755905512); #33251=CYLINDRICAL_SURFACE('',#37258,0.0377952755905498); #33252=CYLINDRICAL_SURFACE('',#37262,0.0377952755905505); #33253=CYLINDRICAL_SURFACE('',#37266,0.0377952755905516); #33254=CYLINDRICAL_SURFACE('',#37270,0.0377952755905502); #33255=CYLINDRICAL_SURFACE('',#37274,0.0377952755905498); #33256=CYLINDRICAL_SURFACE('',#37278,0.0377952755905516); #33257=CYLINDRICAL_SURFACE('',#37282,0.0377952755905505); #33258=CYLINDRICAL_SURFACE('',#37286,0.0377952755905498); #33259=CYLINDRICAL_SURFACE('',#37290,0.0377952755905498); #33260=CYLINDRICAL_SURFACE('',#37294,0.0377952755905505); #33261=CYLINDRICAL_SURFACE('',#37298,0.0377952755905516); #33262=CYLINDRICAL_SURFACE('',#37302,0.0377952755905502); #33263=CYLINDRICAL_SURFACE('',#37321,0.0377952755905498); #33264=CYLINDRICAL_SURFACE('',#37325,0.0377952755905516); #33265=CYLINDRICAL_SURFACE('',#37329,0.0377952755905505); #33266=CYLINDRICAL_SURFACE('',#37333,0.0377952755905498); #33267=CYLINDRICAL_SURFACE('',#37337,0.12); #33268=CYLINDRICAL_SURFACE('',#37341,0.0377952755905502); #33269=CYLINDRICAL_SURFACE('',#37345,0.0377952755905498); #33270=CYLINDRICAL_SURFACE('',#37349,0.0377952755905505); #33271=CYLINDRICAL_SURFACE('',#37353,0.0377952755905516); #33272=CYLINDRICAL_SURFACE('',#37364,0.12); #33273=CYLINDRICAL_SURFACE('',#37371,0.12); #33274=CYLINDRICAL_SURFACE('',#37379,1.); #33275=CYLINDRICAL_SURFACE('',#37383,1.); #33276=CYLINDRICAL_SURFACE('',#37387,1.); #33277=CYLINDRICAL_SURFACE('',#37391,1.); #33278=CYLINDRICAL_SURFACE('',#37401,0.75); #33279=CYLINDRICAL_SURFACE('',#37425,0.1); #33280=CYLINDRICAL_SURFACE('',#37430,0.1); #33281=CYLINDRICAL_SURFACE('',#37474,0.1); #33282=CYLINDRICAL_SURFACE('',#37479,0.1); #33283=CYLINDRICAL_SURFACE('',#37500,0.1); #33284=CYLINDRICAL_SURFACE('',#37502,1.0000000319143); #33285=CYLINDRICAL_SURFACE('',#37504,0.1); #33286=CYLINDRICAL_SURFACE('',#37506,0.1); #33287=CYLINDRICAL_SURFACE('',#37508,0.1); #33288=CYLINDRICAL_SURFACE('',#37510,1.0000000319143); #33289=CYLINDRICAL_SURFACE('',#37513,0.075); #33290=CYLINDRICAL_SURFACE('',#37516,0.075); #33291=CYLINDRICAL_SURFACE('',#37519,0.075); #33292=CYLINDRICAL_SURFACE('',#37522,0.075); #33293=CYLINDRICAL_SURFACE('',#37525,0.075); #33294=CYLINDRICAL_SURFACE('',#37528,0.075); #33295=CYLINDRICAL_SURFACE('',#37532,0.0999999999999986); #33296=CYLINDRICAL_SURFACE('',#37536,0.0999999999999991); #33297=CYLINDRICAL_SURFACE('',#37540,0.199999999999999); #33298=CYLINDRICAL_SURFACE('',#37544,0.4); #33299=CYLINDRICAL_SURFACE('',#37548,0.4); #33300=CYLINDRICAL_SURFACE('',#37552,0.200000000000001); #33301=CYLINDRICAL_SURFACE('',#37622,0.0251968503936992); #33302=CYLINDRICAL_SURFACE('',#37625,0.0251968503936985); #33303=CYLINDRICAL_SURFACE('',#37628,0.0251968503936994); #33304=CYLINDRICAL_SURFACE('',#37631,0.0251968503936992); #33305=CYLINDRICAL_SURFACE('',#37634,0.0251968503936985); #33306=CYLINDRICAL_SURFACE('',#37637,0.0251968503936985); #33307=CYLINDRICAL_SURFACE('',#37640,0.0251968503936994); #33308=CYLINDRICAL_SURFACE('',#37643,0.0251968503936992); #33309=CYLINDRICAL_SURFACE('',#37646,0.0251968503936985); #33310=CYLINDRICAL_SURFACE('',#37649,0.0251968503936985); #33311=CYLINDRICAL_SURFACE('',#37652,0.0251968503936994); #33312=CYLINDRICAL_SURFACE('',#37655,0.0251968503936992); #33313=CYLINDRICAL_SURFACE('',#37658,0.0251968503936985); #33314=CYLINDRICAL_SURFACE('',#37661,0.0251968503936985); #33315=CYLINDRICAL_SURFACE('',#37664,0.0251968503936994); #33316=CYLINDRICAL_SURFACE('',#37667,0.0251968503936992); #33317=CYLINDRICAL_SURFACE('',#37670,0.0251968503936985); #33318=CYLINDRICAL_SURFACE('',#37673,0.0251968503936985); #33319=CYLINDRICAL_SURFACE('',#37676,0.0251968503936994); #33320=CYLINDRICAL_SURFACE('',#37679,0.0251968503936992); #33321=CYLINDRICAL_SURFACE('',#37682,0.0251968503936985); #33322=CYLINDRICAL_SURFACE('',#37685,0.0251968503936985); #33323=CYLINDRICAL_SURFACE('',#37688,0.0251968503936994); #33324=CYLINDRICAL_SURFACE('',#37691,0.0251968503936992); #33325=CYLINDRICAL_SURFACE('',#37694,0.0251968503936985); #33326=CYLINDRICAL_SURFACE('',#37697,0.0251968503936985); #33327=CYLINDRICAL_SURFACE('',#37700,0.0251968503936994); #33328=CYLINDRICAL_SURFACE('',#37703,0.0251968503936992); #33329=CYLINDRICAL_SURFACE('',#37706,0.0251968503936985); #33330=CYLINDRICAL_SURFACE('',#37709,0.0251968503936985); #33331=CYLINDRICAL_SURFACE('',#37712,0.0251968503936994); #33332=CYLINDRICAL_SURFACE('',#37715,0.0251968503936992); #33333=CYLINDRICAL_SURFACE('',#37718,0.0251968503937011); #33334=CYLINDRICAL_SURFACE('',#37721,0.0251968503937011); #33335=CYLINDRICAL_SURFACE('',#37724,0.0251968503937013); #33336=CYLINDRICAL_SURFACE('',#37727,0.0251968503937013); #33337=CYLINDRICAL_SURFACE('',#37730,0.0251968503937011); #33338=CYLINDRICAL_SURFACE('',#37733,0.0251968503937011); #33339=CYLINDRICAL_SURFACE('',#37736,0.0251968503937013); #33340=CYLINDRICAL_SURFACE('',#37739,0.0251968503937013); #33341=CYLINDRICAL_SURFACE('',#37742,0.0251968503937011); #33342=CYLINDRICAL_SURFACE('',#37745,0.0251968503937011); #33343=CYLINDRICAL_SURFACE('',#37748,0.0251968503937013); #33344=CYLINDRICAL_SURFACE('',#37751,0.0251968503937013); #33345=CYLINDRICAL_SURFACE('',#37754,0.0251968503937011); #33346=CYLINDRICAL_SURFACE('',#37757,0.0251968503937011); #33347=CYLINDRICAL_SURFACE('',#37760,0.0251968503937013); #33348=CYLINDRICAL_SURFACE('',#37763,0.0251968503937013); #33349=CYLINDRICAL_SURFACE('',#37766,0.0251968503937011); #33350=CYLINDRICAL_SURFACE('',#37769,0.0251968503937011); #33351=CYLINDRICAL_SURFACE('',#37772,0.0251968503937013); #33352=CYLINDRICAL_SURFACE('',#37775,0.025196850393701); #33353=CYLINDRICAL_SURFACE('',#37778,0.025196850393701); #33354=CYLINDRICAL_SURFACE('',#37781,0.0251968503937004); #33355=CYLINDRICAL_SURFACE('',#37784,0.025196850393701); #33356=CYLINDRICAL_SURFACE('',#37787,0.025196850393701); #33357=CYLINDRICAL_SURFACE('',#37790,0.025196850393701); #33358=CYLINDRICAL_SURFACE('',#37793,0.0251968503937004); #33359=CYLINDRICAL_SURFACE('',#37796,0.25); #33360=CYLINDRICAL_SURFACE('',#37799,0.1); #33361=CYLINDRICAL_SURFACE('',#37802,0.0251968503937617); #33362=CYLINDRICAL_SURFACE('',#37805,0.0251968503936992); #33363=CYLINDRICAL_SURFACE('',#37818,0.075); #33364=CYLINDRICAL_SURFACE('',#37821,0.075); #33365=CYLINDRICAL_SURFACE('',#37824,0.075); #33366=CYLINDRICAL_SURFACE('',#37826,0.075); #33367=CYLINDRICAL_SURFACE('',#37829,0.075); #33368=CYLINDRICAL_SURFACE('',#37831,0.075); #33369=CYLINDRICAL_SURFACE('',#37834,0.075); #33370=CYLINDRICAL_SURFACE('',#37836,0.075); #33371=CYLINDRICAL_SURFACE('',#37839,0.4); #33372=CYLINDRICAL_SURFACE('',#37842,0.4); #33373=CYLINDRICAL_SURFACE('',#37846,0.5); #33374=CYLINDRICAL_SURFACE('',#37850,0.500000000000001); #33375=CYLINDRICAL_SURFACE('',#37853,0.500000000000002); #33376=CYLINDRICAL_SURFACE('',#37856,0.5); #33377=CYLINDRICAL_SURFACE('',#37862,0.120000000000001); #33378=CYLINDRICAL_SURFACE('',#37883,0.12); #33379=CYLINDRICAL_SURFACE('',#37886,0.120000000000001); #33380=CYLINDRICAL_SURFACE('',#37903,0.120000000000001); #33381=CYLINDRICAL_SURFACE('',#37924,0.12); #33382=CYLINDRICAL_SURFACE('',#37927,0.120000000000001); #33383=CYLINDRICAL_SURFACE('',#37944,0.5425); #33384=CYLINDRICAL_SURFACE('',#37951,0.5425); #33385=CYLINDRICAL_SURFACE('',#37968,0.12); #33386=CYLINDRICAL_SURFACE('',#37971,0.075); #33387=CYLINDRICAL_SURFACE('',#37974,0.075); #33388=CYLINDRICAL_SURFACE('',#37977,0.075); #33389=CYLINDRICAL_SURFACE('',#37980,0.12); #33390=CYLINDRICAL_SURFACE('',#37983,0.12); #33391=CYLINDRICAL_SURFACE('',#37986,0.075); #33392=CYLINDRICAL_SURFACE('',#37989,0.075); #33393=CYLINDRICAL_SURFACE('',#37992,0.075); #33394=CYLINDRICAL_SURFACE('',#37995,0.075); #33395=CYLINDRICAL_SURFACE('',#37998,0.075); #33396=CYLINDRICAL_SURFACE('',#38001,0.075); #33397=CYLINDRICAL_SURFACE('',#38004,0.075); #33398=CYLINDRICAL_SURFACE('',#38025,0.12); #33399=CYLINDRICAL_SURFACE('',#38028,0.075); #33400=CYLINDRICAL_SURFACE('',#38031,0.075); #33401=CYLINDRICAL_SURFACE('',#38034,0.075); #33402=CYLINDRICAL_SURFACE('',#38037,0.12); #33403=CYLINDRICAL_SURFACE('',#38040,0.12); #33404=CYLINDRICAL_SURFACE('',#38043,0.075); #33405=CYLINDRICAL_SURFACE('',#38046,0.075); #33406=CYLINDRICAL_SURFACE('',#38049,0.075); #33407=CYLINDRICAL_SURFACE('',#38052,0.075); #33408=CYLINDRICAL_SURFACE('',#38055,0.075); #33409=CYLINDRICAL_SURFACE('',#38058,0.075); #33410=CYLINDRICAL_SURFACE('',#38061,0.075); #33411=CYLINDRICAL_SURFACE('',#38082,0.0925); #33412=CYLINDRICAL_SURFACE('',#38085,0.075); #33413=CYLINDRICAL_SURFACE('',#38088,0.0925); #33414=CYLINDRICAL_SURFACE('',#38091,0.075); #33415=CYLINDRICAL_SURFACE('',#38094,0.075); #33416=CYLINDRICAL_SURFACE('',#38097,0.075); #33417=CYLINDRICAL_SURFACE('',#38100,0.075); #33418=CYLINDRICAL_SURFACE('',#38103,0.075); #33419=CYLINDRICAL_SURFACE('',#38106,0.075); #33420=CYLINDRICAL_SURFACE('',#38109,0.075); #33421=CYLINDRICAL_SURFACE('',#38112,0.075); #33422=CYLINDRICAL_SURFACE('',#38117,1.); #33423=CYLINDRICAL_SURFACE('',#38121,1.); #33424=CYLINDRICAL_SURFACE('',#38137,0.12); #33425=CYLINDRICAL_SURFACE('',#38195,0.100000000000002); #33426=CYLINDRICAL_SURFACE('',#38199,0.100000000000003); #33427=CYLINDRICAL_SURFACE('',#38203,0.399999999999999); #33428=CYLINDRICAL_SURFACE('',#38210,0.400000000000001); #33429=CYLINDRICAL_SURFACE('',#38214,0.399999999999997); #33430=CYLINDRICAL_SURFACE('',#38221,0.399999999999999); #33431=CYLINDRICAL_SURFACE('',#38226,0.7275); #33432=CYLINDRICAL_SURFACE('',#38252,0.0999999999999999); #33433=CYLINDRICAL_SURFACE('',#38256,0.0999999999999991); #33434=CYLINDRICAL_SURFACE('',#38260,0.400000000000001); #33435=CYLINDRICAL_SURFACE('',#38267,0.400000000000001); #33436=CYLINDRICAL_SURFACE('',#38271,0.399999999999999); #33437=CYLINDRICAL_SURFACE('',#38278,0.399999999999999); #33438=CYLINDRICAL_SURFACE('',#38283,0.645); #33439=ADVANCED_FACE('',(#1943),#32917,.F.); #33440=ADVANCED_FACE('',(#1944),#730,.T.); #33441=ADVANCED_FACE('',(#1945),#731,.T.); #33442=ADVANCED_FACE('',(#1946),#732,.T.); #33443=ADVANCED_FACE('',(#1947),#733,.T.); #33444=ADVANCED_FACE('',(#1948),#734,.T.); #33445=ADVANCED_FACE('',(#1949),#735,.T.); #33446=ADVANCED_FACE('',(#1950),#736,.T.); #33447=ADVANCED_FACE('',(#1951),#32918,.T.); #33448=ADVANCED_FACE('',(#1952,#251),#737,.T.); #33449=ADVANCED_FACE('',(#1953,#252),#738,.F.); #33450=ADVANCED_FACE('',(#1954),#32919,.F.); #33451=ADVANCED_FACE('',(#1955),#739,.F.); #33452=ADVANCED_FACE('',(#1956),#740,.F.); #33453=ADVANCED_FACE('',(#1957),#741,.F.); #33454=ADVANCED_FACE('',(#1958),#742,.F.); #33455=ADVANCED_FACE('',(#1959),#743,.F.); #33456=ADVANCED_FACE('',(#1960),#744,.F.); #33457=ADVANCED_FACE('',(#1961),#745,.F.); #33458=ADVANCED_FACE('',(#1962),#32920,.T.); #33459=ADVANCED_FACE('',(#1963,#253),#746,.F.); #33460=ADVANCED_FACE('',(#1964,#254),#747,.T.); #33461=ADVANCED_FACE('',(#1965,#255),#748,.F.); #33462=ADVANCED_FACE('',(#1966),#32921,.F.); #33463=ADVANCED_FACE('',(#1967),#32922,.T.); #33464=ADVANCED_FACE('',(#1968,#256),#749,.T.); #33465=ADVANCED_FACE('',(#1969),#750,.T.); #33466=ADVANCED_FACE('',(#1970),#32923,.F.); #33467=ADVANCED_FACE('',(#1971),#32924,.T.); #33468=ADVANCED_FACE('',(#1972),#751,.T.); #33469=ADVANCED_FACE('',(#1973),#752,.T.); #33470=ADVANCED_FACE('',(#1974),#753,.T.); #33471=ADVANCED_FACE('',(#1975),#754,.T.); #33472=ADVANCED_FACE('',(#1976),#755,.T.); #33473=ADVANCED_FACE('',(#1977),#756,.T.); #33474=ADVANCED_FACE('',(#1978),#757,.T.); #33475=ADVANCED_FACE('',(#1979),#758,.T.); #33476=ADVANCED_FACE('',(#1980),#759,.T.); #33477=ADVANCED_FACE('',(#1981),#760,.T.); #33478=ADVANCED_FACE('',(#1982),#761,.T.); #33479=ADVANCED_FACE('',(#1983),#762,.T.); #33480=ADVANCED_FACE('',(#1984),#763,.T.); #33481=ADVANCED_FACE('',(#1985),#764,.T.); #33482=ADVANCED_FACE('',(#1986),#765,.T.); #33483=ADVANCED_FACE('',(#1987),#766,.T.); #33484=ADVANCED_FACE('',(#1988),#767,.T.); #33485=ADVANCED_FACE('',(#1989),#768,.T.); #33486=ADVANCED_FACE('',(#1990),#769,.T.); #33487=ADVANCED_FACE('',(#1991),#770,.T.); #33488=ADVANCED_FACE('',(#1992),#771,.T.); #33489=ADVANCED_FACE('',(#1993),#772,.T.); #33490=ADVANCED_FACE('',(#1994),#773,.T.); #33491=ADVANCED_FACE('',(#1995),#774,.T.); #33492=ADVANCED_FACE('',(#1996),#775,.T.); #33493=ADVANCED_FACE('',(#1997),#776,.T.); #33494=ADVANCED_FACE('',(#1998),#777,.T.); #33495=ADVANCED_FACE('',(#1999),#778,.T.); #33496=ADVANCED_FACE('',(#2000),#779,.T.); #33497=ADVANCED_FACE('',(#2001),#780,.T.); #33498=ADVANCED_FACE('',(#2002),#32925,.T.); #33499=ADVANCED_FACE('',(#2003),#781,.T.); #33500=ADVANCED_FACE('',(#2004,#257),#782,.T.); #33501=ADVANCED_FACE('',(#2005,#258),#783,.F.); #33502=ADVANCED_FACE('',(#2006),#784,.T.); #33503=ADVANCED_FACE('',(#2007),#785,.T.); #33504=ADVANCED_FACE('',(#2008),#786,.T.); #33505=ADVANCED_FACE('',(#2009),#32926,.T.); #33506=ADVANCED_FACE('',(#2010),#787,.T.); #33507=ADVANCED_FACE('',(#2011),#32927,.T.); #33508=ADVANCED_FACE('',(#2012),#788,.T.); #33509=ADVANCED_FACE('',(#2013),#789,.T.); #33510=ADVANCED_FACE('',(#2014),#790,.T.); #33511=ADVANCED_FACE('',(#2015),#791,.T.); #33512=ADVANCED_FACE('',(#2016),#792,.T.); #33513=ADVANCED_FACE('',(#2017),#793,.T.); #33514=ADVANCED_FACE('',(#2018),#794,.T.); #33515=ADVANCED_FACE('',(#2019),#795,.T.); #33516=ADVANCED_FACE('',(#2020),#796,.T.); #33517=ADVANCED_FACE('',(#2021),#797,.T.); #33518=ADVANCED_FACE('',(#2022),#798,.T.); #33519=ADVANCED_FACE('',(#2023),#799,.T.); #33520=ADVANCED_FACE('',(#2024),#800,.T.); #33521=ADVANCED_FACE('',(#2025),#801,.F.); #33522=ADVANCED_FACE('',(#2026),#802,.T.); #33523=ADVANCED_FACE('',(#2027),#803,.T.); #33524=ADVANCED_FACE('',(#2028),#804,.T.); #33525=ADVANCED_FACE('',(#2029),#805,.T.); #33526=ADVANCED_FACE('',(#2030),#806,.T.); #33527=ADVANCED_FACE('',(#2031),#807,.T.); #33528=ADVANCED_FACE('',(#2032),#808,.T.); #33529=ADVANCED_FACE('',(#2033),#809,.T.); #33530=ADVANCED_FACE('',(#2034),#810,.T.); #33531=ADVANCED_FACE('',(#2035),#811,.T.); #33532=ADVANCED_FACE('',(#2036),#812,.T.); #33533=ADVANCED_FACE('',(#2037),#813,.T.); #33534=ADVANCED_FACE('',(#2038),#814,.T.); #33535=ADVANCED_FACE('',(#2039),#815,.T.); #33536=ADVANCED_FACE('',(#2040),#816,.T.); #33537=ADVANCED_FACE('',(#2041),#817,.T.); #33538=ADVANCED_FACE('',(#2042),#818,.T.); #33539=ADVANCED_FACE('',(#2043),#32928,.F.); #33540=ADVANCED_FACE('',(#2044),#819,.T.); #33541=ADVANCED_FACE('',(#2045),#820,.T.); #33542=ADVANCED_FACE('',(#2046),#821,.T.); #33543=ADVANCED_FACE('',(#2047),#822,.T.); #33544=ADVANCED_FACE('',(#2048),#823,.T.); #33545=ADVANCED_FACE('',(#2049),#824,.T.); #33546=ADVANCED_FACE('',(#2050),#825,.T.); #33547=ADVANCED_FACE('',(#2051),#826,.T.); #33548=ADVANCED_FACE('',(#2052),#827,.T.); #33549=ADVANCED_FACE('',(#2053),#828,.T.); #33550=ADVANCED_FACE('',(#2054),#829,.T.); #33551=ADVANCED_FACE('',(#2055),#830,.T.); #33552=ADVANCED_FACE('',(#2056),#831,.T.); #33553=ADVANCED_FACE('',(#2057),#832,.T.); #33554=ADVANCED_FACE('',(#2058),#833,.T.); #33555=ADVANCED_FACE('',(#2059),#834,.T.); #33556=ADVANCED_FACE('',(#2060),#835,.T.); #33557=ADVANCED_FACE('',(#2061),#836,.T.); #33558=ADVANCED_FACE('',(#2062),#837,.T.); #33559=ADVANCED_FACE('',(#2063),#838,.F.); #33560=ADVANCED_FACE('',(#2064),#839,.T.); #33561=ADVANCED_FACE('',(#2065),#840,.T.); #33562=ADVANCED_FACE('',(#2066),#841,.T.); #33563=ADVANCED_FACE('',(#2067),#842,.T.); #33564=ADVANCED_FACE('',(#2068),#843,.T.); #33565=ADVANCED_FACE('',(#2069),#844,.T.); #33566=ADVANCED_FACE('',(#2070),#845,.T.); #33567=ADVANCED_FACE('',(#2071),#846,.T.); #33568=ADVANCED_FACE('',(#2072),#847,.T.); #33569=ADVANCED_FACE('',(#2073),#848,.T.); #33570=ADVANCED_FACE('',(#2074),#849,.T.); #33571=ADVANCED_FACE('',(#2075),#850,.T.); #33572=ADVANCED_FACE('',(#2076),#851,.T.); #33573=ADVANCED_FACE('',(#2077),#852,.T.); #33574=ADVANCED_FACE('',(#2078),#853,.T.); #33575=ADVANCED_FACE('',(#2079),#854,.T.); #33576=ADVANCED_FACE('',(#2080),#855,.T.); #33577=ADVANCED_FACE('',(#2081),#856,.T.); #33578=ADVANCED_FACE('',(#2082),#857,.T.); #33579=ADVANCED_FACE('',(#2083),#858,.T.); #33580=ADVANCED_FACE('',(#2084),#859,.T.); #33581=ADVANCED_FACE('',(#2085),#860,.T.); #33582=ADVANCED_FACE('',(#2086),#861,.T.); #33583=ADVANCED_FACE('',(#2087),#862,.T.); #33584=ADVANCED_FACE('',(#2088),#863,.T.); #33585=ADVANCED_FACE('',(#2089),#864,.T.); #33586=ADVANCED_FACE('',(#2090),#865,.T.); #33587=ADVANCED_FACE('',(#2091),#866,.T.); #33588=ADVANCED_FACE('',(#2092),#867,.T.); #33589=ADVANCED_FACE('',(#2093),#868,.T.); #33590=ADVANCED_FACE('',(#2094),#869,.T.); #33591=ADVANCED_FACE('',(#2095),#32929,.T.); #33592=ADVANCED_FACE('',(#2096),#870,.T.); #33593=ADVANCED_FACE('',(#2097),#32930,.T.); #33594=ADVANCED_FACE('',(#2098),#871,.T.); #33595=ADVANCED_FACE('',(#2099),#872,.F.); #33596=ADVANCED_FACE('',(#2100),#32931,.F.); #33597=ADVANCED_FACE('',(#2101),#873,.F.); #33598=ADVANCED_FACE('',(#2102),#874,.F.); #33599=ADVANCED_FACE('',(#2103),#875,.F.); #33600=ADVANCED_FACE('',(#2104),#876,.F.); #33601=ADVANCED_FACE('',(#2105),#877,.F.); #33602=ADVANCED_FACE('',(#2106),#878,.F.); #33603=ADVANCED_FACE('',(#2107),#879,.F.); #33604=ADVANCED_FACE('',(#2108),#32932,.T.); #33605=ADVANCED_FACE('',(#2109,#259),#880,.F.); #33606=ADVANCED_FACE('',(#2110,#260),#881,.T.); #33607=ADVANCED_FACE('',(#2111),#32933,.F.); #33608=ADVANCED_FACE('',(#2112),#882,.T.); #33609=ADVANCED_FACE('',(#2113),#883,.T.); #33610=ADVANCED_FACE('',(#2114),#884,.T.); #33611=ADVANCED_FACE('',(#2115),#885,.T.); #33612=ADVANCED_FACE('',(#2116),#886,.T.); #33613=ADVANCED_FACE('',(#2117),#887,.T.); #33614=ADVANCED_FACE('',(#2118),#888,.T.); #33615=ADVANCED_FACE('',(#2119),#32934,.T.); #33616=ADVANCED_FACE('',(#2120,#261),#889,.T.); #33617=ADVANCED_FACE('',(#2121,#262),#890,.F.); #33618=ADVANCED_FACE('',(#2122,#263),#891,.T.); #33619=ADVANCED_FACE('',(#2123),#32935,.F.); #33620=ADVANCED_FACE('',(#2124),#32936,.T.); #33621=ADVANCED_FACE('',(#2125,#264),#892,.F.); #33622=ADVANCED_FACE('',(#2126),#893,.F.); #33623=ADVANCED_FACE('',(#2127),#32937,.F.); #33624=ADVANCED_FACE('',(#2128),#32938,.T.); #33625=ADVANCED_FACE('',(#2129),#894,.F.); #33626=ADVANCED_FACE('',(#2130),#895,.F.); #33627=ADVANCED_FACE('',(#2131),#896,.F.); #33628=ADVANCED_FACE('',(#2132),#897,.F.); #33629=ADVANCED_FACE('',(#2133),#898,.F.); #33630=ADVANCED_FACE('',(#2134),#899,.F.); #33631=ADVANCED_FACE('',(#2135),#900,.F.); #33632=ADVANCED_FACE('',(#2136),#901,.F.); #33633=ADVANCED_FACE('',(#2137),#902,.F.); #33634=ADVANCED_FACE('',(#2138),#903,.F.); #33635=ADVANCED_FACE('',(#2139),#904,.F.); #33636=ADVANCED_FACE('',(#2140),#905,.F.); #33637=ADVANCED_FACE('',(#2141),#906,.F.); #33638=ADVANCED_FACE('',(#2142),#907,.F.); #33639=ADVANCED_FACE('',(#2143),#908,.F.); #33640=ADVANCED_FACE('',(#2144),#909,.F.); #33641=ADVANCED_FACE('',(#2145),#910,.F.); #33642=ADVANCED_FACE('',(#2146),#911,.F.); #33643=ADVANCED_FACE('',(#2147),#912,.F.); #33644=ADVANCED_FACE('',(#2148),#913,.F.); #33645=ADVANCED_FACE('',(#2149),#914,.F.); #33646=ADVANCED_FACE('',(#2150),#915,.F.); #33647=ADVANCED_FACE('',(#2151),#916,.F.); #33648=ADVANCED_FACE('',(#2152),#917,.F.); #33649=ADVANCED_FACE('',(#2153),#918,.F.); #33650=ADVANCED_FACE('',(#2154),#919,.F.); #33651=ADVANCED_FACE('',(#2155),#920,.F.); #33652=ADVANCED_FACE('',(#2156),#921,.F.); #33653=ADVANCED_FACE('',(#2157),#922,.F.); #33654=ADVANCED_FACE('',(#2158),#923,.F.); #33655=ADVANCED_FACE('',(#2159),#32939,.T.); #33656=ADVANCED_FACE('',(#2160),#924,.F.); #33657=ADVANCED_FACE('',(#2161,#265),#925,.F.); #33658=ADVANCED_FACE('',(#2162,#266),#926,.T.); #33659=ADVANCED_FACE('',(#2163),#927,.F.); #33660=ADVANCED_FACE('',(#2164),#928,.F.); #33661=ADVANCED_FACE('',(#2165),#929,.F.); #33662=ADVANCED_FACE('',(#2166),#32940,.T.); #33663=ADVANCED_FACE('',(#2167),#930,.F.); #33664=ADVANCED_FACE('',(#2168),#32941,.T.); #33665=ADVANCED_FACE('',(#2169),#931,.F.); #33666=ADVANCED_FACE('',(#2170),#932,.F.); #33667=ADVANCED_FACE('',(#2171),#933,.F.); #33668=ADVANCED_FACE('',(#2172),#934,.F.); #33669=ADVANCED_FACE('',(#2173),#935,.F.); #33670=ADVANCED_FACE('',(#2174),#936,.F.); #33671=ADVANCED_FACE('',(#2175),#937,.F.); #33672=ADVANCED_FACE('',(#2176),#938,.F.); #33673=ADVANCED_FACE('',(#2177),#939,.F.); #33674=ADVANCED_FACE('',(#2178),#940,.F.); #33675=ADVANCED_FACE('',(#2179),#941,.F.); #33676=ADVANCED_FACE('',(#2180),#942,.F.); #33677=ADVANCED_FACE('',(#2181),#943,.F.); #33678=ADVANCED_FACE('',(#2182),#944,.T.); #33679=ADVANCED_FACE('',(#2183),#945,.F.); #33680=ADVANCED_FACE('',(#2184),#946,.F.); #33681=ADVANCED_FACE('',(#2185),#947,.F.); #33682=ADVANCED_FACE('',(#2186),#948,.F.); #33683=ADVANCED_FACE('',(#2187),#949,.F.); #33684=ADVANCED_FACE('',(#2188),#950,.F.); #33685=ADVANCED_FACE('',(#2189),#951,.F.); #33686=ADVANCED_FACE('',(#2190),#952,.F.); #33687=ADVANCED_FACE('',(#2191),#953,.F.); #33688=ADVANCED_FACE('',(#2192),#954,.F.); #33689=ADVANCED_FACE('',(#2193),#955,.F.); #33690=ADVANCED_FACE('',(#2194),#956,.F.); #33691=ADVANCED_FACE('',(#2195),#957,.F.); #33692=ADVANCED_FACE('',(#2196),#958,.F.); #33693=ADVANCED_FACE('',(#2197),#959,.F.); #33694=ADVANCED_FACE('',(#2198),#960,.F.); #33695=ADVANCED_FACE('',(#2199),#961,.F.); #33696=ADVANCED_FACE('',(#2200),#32942,.F.); #33697=ADVANCED_FACE('',(#2201),#962,.F.); #33698=ADVANCED_FACE('',(#2202),#963,.F.); #33699=ADVANCED_FACE('',(#2203),#964,.F.); #33700=ADVANCED_FACE('',(#2204),#965,.F.); #33701=ADVANCED_FACE('',(#2205),#966,.F.); #33702=ADVANCED_FACE('',(#2206),#967,.F.); #33703=ADVANCED_FACE('',(#2207),#968,.F.); #33704=ADVANCED_FACE('',(#2208),#969,.F.); #33705=ADVANCED_FACE('',(#2209),#970,.F.); #33706=ADVANCED_FACE('',(#2210),#971,.F.); #33707=ADVANCED_FACE('',(#2211),#972,.F.); #33708=ADVANCED_FACE('',(#2212),#973,.F.); #33709=ADVANCED_FACE('',(#2213),#974,.F.); #33710=ADVANCED_FACE('',(#2214),#975,.F.); #33711=ADVANCED_FACE('',(#2215),#976,.F.); #33712=ADVANCED_FACE('',(#2216),#977,.F.); #33713=ADVANCED_FACE('',(#2217),#978,.F.); #33714=ADVANCED_FACE('',(#2218),#979,.F.); #33715=ADVANCED_FACE('',(#2219),#980,.F.); #33716=ADVANCED_FACE('',(#2220),#981,.T.); #33717=ADVANCED_FACE('',(#2221),#29,.F.); #33718=ADVANCED_FACE('',(#2222),#15,.T.); #33719=ADVANCED_FACE('',(#2223),#32943,.T.); #33720=ADVANCED_FACE('',(#2224,#267),#982,.T.); #33721=ADVANCED_FACE('',(#2225),#32944,.F.); #33722=ADVANCED_FACE('',(#2226),#32945,.F.); #33723=ADVANCED_FACE('',(#2227),#983,.T.); #33724=ADVANCED_FACE('',(#2228),#32946,.T.); #33725=ADVANCED_FACE('',(#2229),#984,.T.); #33726=ADVANCED_FACE('',(#2230),#32947,.T.); #33727=ADVANCED_FACE('',(#2231),#985,.T.); #33728=ADVANCED_FACE('',(#2232),#32948,.T.); #33729=ADVANCED_FACE('',(#2233),#986,.T.); #33730=ADVANCED_FACE('',(#2234),#32949,.T.); #33731=ADVANCED_FACE('',(#2235,#268,#269),#987,.T.); #33732=ADVANCED_FACE('',(#2236,#270,#271,#272),#988,.F.); #33733=ADVANCED_FACE('',(#2237),#30,.T.); #33734=ADVANCED_FACE('',(#2238),#32950,.F.); #33735=ADVANCED_FACE('',(#2239),#989,.T.); #33736=ADVANCED_FACE('',(#2240),#32951,.T.); #33737=ADVANCED_FACE('',(#2241),#990,.T.); #33738=ADVANCED_FACE('',(#2242),#991,.T.); #33739=ADVANCED_FACE('',(#2243),#992,.T.); #33740=ADVANCED_FACE('',(#2244),#993,.T.); #33741=ADVANCED_FACE('',(#2245),#994,.T.); #33742=ADVANCED_FACE('',(#2246),#995,.T.); #33743=ADVANCED_FACE('',(#2247),#996,.T.); #33744=ADVANCED_FACE('',(#2248),#32952,.T.); #33745=ADVANCED_FACE('',(#2249,#273),#997,.T.); #33746=ADVANCED_FACE('',(#2250,#274),#998,.F.); #33747=ADVANCED_FACE('',(#2251),#32953,.F.); #33748=ADVANCED_FACE('',(#2252),#999,.T.); #33749=ADVANCED_FACE('',(#2253),#32954,.T.); #33750=ADVANCED_FACE('',(#2254),#1000,.T.); #33751=ADVANCED_FACE('',(#2255),#1001,.T.); #33752=ADVANCED_FACE('',(#2256),#1002,.T.); #33753=ADVANCED_FACE('',(#2257),#1003,.T.); #33754=ADVANCED_FACE('',(#2258),#1004,.T.); #33755=ADVANCED_FACE('',(#2259),#1005,.T.); #33756=ADVANCED_FACE('',(#2260),#1006,.T.); #33757=ADVANCED_FACE('',(#2261),#32955,.T.); #33758=ADVANCED_FACE('',(#2262,#275),#1007,.T.); #33759=ADVANCED_FACE('',(#2263,#276),#1008,.F.); #33760=ADVANCED_FACE('',(#2264),#32956,.F.); #33761=ADVANCED_FACE('',(#2265),#32957,.F.); #33762=ADVANCED_FACE('',(#2266),#32958,.F.); #33763=ADVANCED_FACE('',(#2267),#32959,.T.); #33764=ADVANCED_FACE('',(#2268),#1009,.T.); #33765=ADVANCED_FACE('',(#2269),#1010,.T.); #33766=ADVANCED_FACE('',(#2270),#1011,.T.); #33767=ADVANCED_FACE('',(#2271),#1012,.T.); #33768=ADVANCED_FACE('',(#2272),#1013,.T.); #33769=ADVANCED_FACE('',(#2273),#1014,.T.); #33770=ADVANCED_FACE('',(#2274),#1015,.T.); #33771=ADVANCED_FACE('',(#2275),#32960,.T.); #33772=ADVANCED_FACE('',(#2276),#1016,.T.); #33773=ADVANCED_FACE('',(#2277,#277,#278,#279),#1017,.T.); #33774=ADVANCED_FACE('',(#2278,#280,#281,#282),#1018,.F.); #33775=ADVANCED_FACE('',(#2279),#32961,.F.); #33776=ADVANCED_FACE('',(#2280),#32962,.F.); #33777=ADVANCED_FACE('',(#2281),#32963,.F.); #33778=ADVANCED_FACE('',(#2282),#32964,.T.); #33779=ADVANCED_FACE('',(#2283),#1019,.T.); #33780=ADVANCED_FACE('',(#2284),#1020,.T.); #33781=ADVANCED_FACE('',(#2285),#1021,.T.); #33782=ADVANCED_FACE('',(#2286),#1022,.T.); #33783=ADVANCED_FACE('',(#2287),#1023,.T.); #33784=ADVANCED_FACE('',(#2288),#1024,.T.); #33785=ADVANCED_FACE('',(#2289),#1025,.T.); #33786=ADVANCED_FACE('',(#2290),#32965,.T.); #33787=ADVANCED_FACE('',(#2291),#1026,.T.); #33788=ADVANCED_FACE('',(#2292,#283,#284,#285),#1027,.T.); #33789=ADVANCED_FACE('',(#2293,#286,#287,#288),#1028,.F.); #33790=ADVANCED_FACE('',(#2294),#32966,.F.); #33791=ADVANCED_FACE('',(#2295),#1029,.F.); #33792=ADVANCED_FACE('',(#2296),#32967,.T.); #33793=ADVANCED_FACE('',(#2297),#1030,.F.); #33794=ADVANCED_FACE('',(#2298),#1031,.F.); #33795=ADVANCED_FACE('',(#2299),#1032,.F.); #33796=ADVANCED_FACE('',(#2300),#1033,.F.); #33797=ADVANCED_FACE('',(#2301),#1034,.F.); #33798=ADVANCED_FACE('',(#2302),#1035,.F.); #33799=ADVANCED_FACE('',(#2303),#1036,.F.); #33800=ADVANCED_FACE('',(#2304),#32968,.T.); #33801=ADVANCED_FACE('',(#2305,#289),#1037,.F.); #33802=ADVANCED_FACE('',(#2306,#290),#1038,.T.); #33803=ADVANCED_FACE('',(#2307),#32969,.F.); #33804=ADVANCED_FACE('',(#2308),#1039,.F.); #33805=ADVANCED_FACE('',(#2309),#32970,.T.); #33806=ADVANCED_FACE('',(#2310),#1040,.F.); #33807=ADVANCED_FACE('',(#2311),#1041,.F.); #33808=ADVANCED_FACE('',(#2312),#1042,.F.); #33809=ADVANCED_FACE('',(#2313),#1043,.F.); #33810=ADVANCED_FACE('',(#2314),#1044,.F.); #33811=ADVANCED_FACE('',(#2315),#1045,.F.); #33812=ADVANCED_FACE('',(#2316),#1046,.F.); #33813=ADVANCED_FACE('',(#2317),#32971,.T.); #33814=ADVANCED_FACE('',(#2318,#291),#1047,.F.); #33815=ADVANCED_FACE('',(#2319,#292),#1048,.T.); #33816=ADVANCED_FACE('',(#2320),#32972,.F.); #33817=ADVANCED_FACE('',(#2321),#32973,.F.); #33818=ADVANCED_FACE('',(#2322),#32974,.F.); #33819=ADVANCED_FACE('',(#2323),#32975,.T.); #33820=ADVANCED_FACE('',(#2324),#1049,.F.); #33821=ADVANCED_FACE('',(#2325),#1050,.F.); #33822=ADVANCED_FACE('',(#2326),#1051,.F.); #33823=ADVANCED_FACE('',(#2327),#1052,.F.); #33824=ADVANCED_FACE('',(#2328),#1053,.F.); #33825=ADVANCED_FACE('',(#2329),#1054,.F.); #33826=ADVANCED_FACE('',(#2330),#1055,.F.); #33827=ADVANCED_FACE('',(#2331),#32976,.T.); #33828=ADVANCED_FACE('',(#2332),#1056,.F.); #33829=ADVANCED_FACE('',(#2333,#293,#294,#295),#1057,.F.); #33830=ADVANCED_FACE('',(#2334,#296,#297,#298),#1058,.T.); #33831=ADVANCED_FACE('',(#2335),#32977,.F.); #33832=ADVANCED_FACE('',(#2336),#32978,.F.); #33833=ADVANCED_FACE('',(#2337),#32979,.F.); #33834=ADVANCED_FACE('',(#2338),#32980,.T.); #33835=ADVANCED_FACE('',(#2339),#1059,.F.); #33836=ADVANCED_FACE('',(#2340),#1060,.F.); #33837=ADVANCED_FACE('',(#2341),#1061,.F.); #33838=ADVANCED_FACE('',(#2342),#1062,.F.); #33839=ADVANCED_FACE('',(#2343),#1063,.F.); #33840=ADVANCED_FACE('',(#2344),#1064,.F.); #33841=ADVANCED_FACE('',(#2345),#1065,.F.); #33842=ADVANCED_FACE('',(#2346),#32981,.T.); #33843=ADVANCED_FACE('',(#2347),#1066,.F.); #33844=ADVANCED_FACE('',(#2348,#299,#300,#301),#1067,.F.); #33845=ADVANCED_FACE('',(#2349,#302,#303,#304),#1068,.T.); #33846=ADVANCED_FACE('',(#2350),#1069,.T.); #33847=ADVANCED_FACE('',(#2351),#1070,.T.); #33848=ADVANCED_FACE('',(#2352),#1071,.T.); #33849=ADVANCED_FACE('',(#2353),#1072,.T.); #33850=ADVANCED_FACE('',(#2354),#1073,.T.); #33851=ADVANCED_FACE('',(#2355),#1074,.T.); #33852=ADVANCED_FACE('',(#2356),#1075,.T.); #33853=ADVANCED_FACE('',(#2357),#1076,.T.); #33854=ADVANCED_FACE('',(#2358),#1077,.T.); #33855=ADVANCED_FACE('',(#2359),#1078,.T.); #33856=ADVANCED_FACE('',(#2360),#1079,.T.); #33857=ADVANCED_FACE('',(#2361),#1080,.T.); #33858=ADVANCED_FACE('',(#2362),#32982,.F.); #33859=ADVANCED_FACE('',(#2363),#32983,.F.); #33860=ADVANCED_FACE('',(#2364),#32984,.F.); #33861=ADVANCED_FACE('',(#2365),#32985,.F.); #33862=ADVANCED_FACE('',(#2366),#32986,.F.); #33863=ADVANCED_FACE('',(#2367),#32987,.F.); #33864=ADVANCED_FACE('',(#2368),#1081,.T.); #33865=ADVANCED_FACE('',(#2369),#1082,.T.); #33866=ADVANCED_FACE('',(#2370),#1083,.T.); #33867=ADVANCED_FACE('',(#2371),#1084,.T.); #33868=ADVANCED_FACE('',(#2372),#1085,.T.); #33869=ADVANCED_FACE('',(#2373),#1086,.T.); #33870=ADVANCED_FACE('',(#2374),#1087,.T.); #33871=ADVANCED_FACE('',(#2375),#1088,.T.); #33872=ADVANCED_FACE('',(#2376),#1089,.T.); #33873=ADVANCED_FACE('',(#2377),#1090,.T.); #33874=ADVANCED_FACE('',(#2378),#1091,.T.); #33875=ADVANCED_FACE('',(#2379),#1092,.T.); #33876=ADVANCED_FACE('',(#2380),#1093,.T.); #33877=ADVANCED_FACE('',(#2381),#1094,.T.); #33878=ADVANCED_FACE('',(#2382),#1095,.T.); #33879=ADVANCED_FACE('',(#2383),#1096,.T.); #33880=ADVANCED_FACE('',(#2384),#1097,.T.); #33881=ADVANCED_FACE('',(#2385),#1098,.T.); #33882=ADVANCED_FACE('',(#2386),#1099,.T.); #33883=ADVANCED_FACE('',(#2387),#1100,.T.); #33884=ADVANCED_FACE('',(#2388),#1101,.T.); #33885=ADVANCED_FACE('',(#2389),#1102,.T.); #33886=ADVANCED_FACE('',(#2390),#1103,.T.); #33887=ADVANCED_FACE('',(#2391),#1104,.T.); #33888=ADVANCED_FACE('',(#2392),#32988,.F.); #33889=ADVANCED_FACE('',(#2393),#1105,.T.); #33890=ADVANCED_FACE('',(#2394),#1106,.T.); #33891=ADVANCED_FACE('',(#2395),#1107,.T.); #33892=ADVANCED_FACE('',(#2396),#1108,.T.); #33893=ADVANCED_FACE('',(#2397),#1109,.T.); #33894=ADVANCED_FACE('',(#2398),#1110,.T.); #33895=ADVANCED_FACE('',(#2399),#1111,.T.); #33896=ADVANCED_FACE('',(#2400),#1112,.T.); #33897=ADVANCED_FACE('',(#2401),#1113,.T.); #33898=ADVANCED_FACE('',(#2402),#1114,.T.); #33899=ADVANCED_FACE('',(#2403),#1115,.T.); #33900=ADVANCED_FACE('',(#2404),#1116,.T.); #33901=ADVANCED_FACE('',(#2405),#1117,.T.); #33902=ADVANCED_FACE('',(#2406),#1118,.T.); #33903=ADVANCED_FACE('',(#2407),#1119,.T.); #33904=ADVANCED_FACE('',(#2408),#1120,.T.); #33905=ADVANCED_FACE('',(#2409),#1121,.T.); #33906=ADVANCED_FACE('',(#2410),#1122,.T.); #33907=ADVANCED_FACE('',(#2411),#1123,.T.); #33908=ADVANCED_FACE('',(#2412),#1124,.T.); #33909=ADVANCED_FACE('',(#2413),#32989,.F.); #33910=ADVANCED_FACE('',(#2414),#32990,.F.); #33911=ADVANCED_FACE('',(#2415),#1125,.T.); #33912=ADVANCED_FACE('',(#2416),#1126,.T.); #33913=ADVANCED_FACE('',(#2417),#1127,.T.); #33914=ADVANCED_FACE('',(#2418),#1128,.T.); #33915=ADVANCED_FACE('',(#2419),#32991,.F.); #33916=ADVANCED_FACE('',(#2420),#32992,.F.); #33917=ADVANCED_FACE('',(#2421),#32993,.F.); #33918=ADVANCED_FACE('',(#2422),#1129,.T.); #33919=ADVANCED_FACE('',(#2423),#1130,.T.); #33920=ADVANCED_FACE('',(#2424),#1131,.T.); #33921=ADVANCED_FACE('',(#2425),#1132,.T.); #33922=ADVANCED_FACE('',(#2426),#32994,.F.); #33923=ADVANCED_FACE('',(#2427),#32995,.F.); #33924=ADVANCED_FACE('',(#2428),#32996,.F.); #33925=ADVANCED_FACE('',(#2429),#1133,.T.); #33926=ADVANCED_FACE('',(#2430),#1134,.T.); #33927=ADVANCED_FACE('',(#2431),#1135,.T.); #33928=ADVANCED_FACE('',(#2432),#1136,.T.); #33929=ADVANCED_FACE('',(#2433),#32997,.T.); #33930=ADVANCED_FACE('',(#2434),#32998,.F.); #33931=ADVANCED_FACE('',(#2435),#1137,.T.); #33932=ADVANCED_FACE('',(#2436),#32999,.F.); #33933=ADVANCED_FACE('',(#2437),#33000,.F.); #33934=ADVANCED_FACE('',(#2438),#33001,.F.); #33935=ADVANCED_FACE('',(#2439),#1138,.T.); #33936=ADVANCED_FACE('',(#2440),#33002,.F.); #33937=ADVANCED_FACE('',(#2441),#1139,.T.); #33938=ADVANCED_FACE('',(#2442),#1140,.T.); #33939=ADVANCED_FACE('',(#2443),#1141,.T.); #33940=ADVANCED_FACE('',(#2444),#1142,.T.); #33941=ADVANCED_FACE('',(#2445),#33003,.F.); #33942=ADVANCED_FACE('',(#2446),#1143,.T.); #33943=ADVANCED_FACE('',(#2447),#1144,.T.); #33944=ADVANCED_FACE('',(#2448),#1145,.T.); #33945=ADVANCED_FACE('',(#2449),#1146,.T.); #33946=ADVANCED_FACE('',(#2450),#1147,.T.); #33947=ADVANCED_FACE('',(#2451),#1148,.T.); #33948=ADVANCED_FACE('',(#2452),#1149,.T.); #33949=ADVANCED_FACE('',(#2453),#1150,.T.); #33950=ADVANCED_FACE('',(#2454),#1151,.T.); #33951=ADVANCED_FACE('',(#2455),#1152,.T.); #33952=ADVANCED_FACE('',(#2456),#1153,.T.); #33953=ADVANCED_FACE('',(#2457),#1154,.T.); #33954=ADVANCED_FACE('',(#2458),#33004,.F.); #33955=ADVANCED_FACE('',(#2459),#1155,.T.); #33956=ADVANCED_FACE('',(#2460),#1156,.T.); #33957=ADVANCED_FACE('',(#2461),#1157,.T.); #33958=ADVANCED_FACE('',(#2462),#1158,.T.); #33959=ADVANCED_FACE('',(#2463),#1159,.T.); #33960=ADVANCED_FACE('',(#2464),#1160,.T.); #33961=ADVANCED_FACE('',(#2465),#1161,.T.); #33962=ADVANCED_FACE('',(#2466),#1162,.T.); #33963=ADVANCED_FACE('',(#2467),#33005,.T.); #33964=ADVANCED_FACE('',(#2468),#1163,.T.); #33965=ADVANCED_FACE('',(#2469),#33006,.T.); #33966=ADVANCED_FACE('',(#2470),#1164,.T.); #33967=ADVANCED_FACE('',(#2471),#1165,.T.); #33968=ADVANCED_FACE('',(#2472),#1166,.T.); #33969=ADVANCED_FACE('',(#2473),#1167,.T.); #33970=ADVANCED_FACE('',(#2474),#1168,.T.); #33971=ADVANCED_FACE('',(#2475),#1169,.T.); #33972=ADVANCED_FACE('',(#2476),#1170,.T.); #33973=ADVANCED_FACE('',(#2477),#1171,.T.); #33974=ADVANCED_FACE('',(#2478),#1172,.T.); #33975=ADVANCED_FACE('',(#2479),#1173,.T.); #33976=ADVANCED_FACE('',(#2480),#1174,.T.); #33977=ADVANCED_FACE('',(#2481),#1175,.T.); #33978=ADVANCED_FACE('',(#2482),#1176,.T.); #33979=ADVANCED_FACE('',(#2483),#33007,.T.); #33980=ADVANCED_FACE('',(#2484),#1177,.T.); #33981=ADVANCED_FACE('',(#2485),#33008,.T.); #33982=ADVANCED_FACE('',(#2486),#1178,.T.); #33983=ADVANCED_FACE('',(#2487),#1179,.T.); #33984=ADVANCED_FACE('',(#2488),#1180,.T.); #33985=ADVANCED_FACE('',(#2489),#1181,.T.); #33986=ADVANCED_FACE('',(#2490),#1182,.T.); #33987=ADVANCED_FACE('',(#2491,#305,#306,#307,#308,#309,#310,#311,#312, #313,#314,#315,#316,#317,#318,#319,#320,#321,#322,#323,#324,#325,#326,#327, #328,#329,#330,#331,#332,#333,#334,#335,#336,#337,#338,#339,#340,#341,#342, #343),#1183,.T.); #33988=ADVANCED_FACE('',(#2492,#344,#345,#346,#347,#348,#349,#350,#351, #352,#353,#354,#355,#356,#357,#358,#359,#360,#361,#362,#363,#364,#365,#366, #367,#368,#369,#370,#371,#372,#373,#374,#375,#376,#377,#378,#379,#380,#381, #382),#1184,.F.); #33989=ADVANCED_FACE('',(#2493),#33009,.F.); #33990=ADVANCED_FACE('',(#2494),#33010,.F.); #33991=ADVANCED_FACE('',(#2495),#33011,.F.); #33992=ADVANCED_FACE('',(#2496),#1185,.T.); #33993=ADVANCED_FACE('',(#2497),#33012,.T.); #33994=ADVANCED_FACE('',(#2498),#1186,.T.); #33995=ADVANCED_FACE('',(#2499),#33013,.T.); #33996=ADVANCED_FACE('',(#2500),#1187,.T.); #33997=ADVANCED_FACE('',(#2501),#33014,.T.); #33998=ADVANCED_FACE('',(#2502),#1188,.T.); #33999=ADVANCED_FACE('',(#2503),#33015,.T.); #34000=ADVANCED_FACE('',(#2504,#383,#384,#385),#1189,.F.); #34001=ADVANCED_FACE('',(#2505,#386,#387,#388),#1190,.T.); #34002=ADVANCED_FACE('',(#2506),#33016,.F.); #34003=ADVANCED_FACE('',(#2507),#33017,.F.); #34004=ADVANCED_FACE('',(#2508),#1191,.T.); #34005=ADVANCED_FACE('',(#2509),#1192,.T.); #34006=ADVANCED_FACE('',(#2510),#1193,.T.); #34007=ADVANCED_FACE('',(#2511),#1194,.T.); #34008=ADVANCED_FACE('',(#2512),#1195,.T.); #34009=ADVANCED_FACE('',(#2513),#1196,.T.); #34010=ADVANCED_FACE('',(#2514),#1197,.T.); #34011=ADVANCED_FACE('',(#2515),#1198,.T.); #34012=ADVANCED_FACE('',(#2516),#1199,.T.); #34013=ADVANCED_FACE('',(#2517),#1200,.T.); #34014=ADVANCED_FACE('',(#2518),#1201,.T.); #34015=ADVANCED_FACE('',(#2519),#1202,.T.); #34016=ADVANCED_FACE('',(#2520,#389,#390),#1203,.T.); #34017=ADVANCED_FACE('',(#2521,#391,#392),#1204,.F.); #34018=ADVANCED_FACE('',(#2522),#33018,.F.); #34019=ADVANCED_FACE('',(#2523),#33019,.F.); #34020=ADVANCED_FACE('',(#2524),#1205,.T.); #34021=ADVANCED_FACE('',(#2525),#1206,.T.); #34022=ADVANCED_FACE('',(#2526),#1207,.T.); #34023=ADVANCED_FACE('',(#2527),#1208,.T.); #34024=ADVANCED_FACE('',(#2528),#1209,.T.); #34025=ADVANCED_FACE('',(#2529),#1210,.T.); #34026=ADVANCED_FACE('',(#2530),#1211,.T.); #34027=ADVANCED_FACE('',(#2531),#1212,.T.); #34028=ADVANCED_FACE('',(#2532),#1213,.T.); #34029=ADVANCED_FACE('',(#2533),#1214,.T.); #34030=ADVANCED_FACE('',(#2534),#1215,.T.); #34031=ADVANCED_FACE('',(#2535),#1216,.T.); #34032=ADVANCED_FACE('',(#2536,#393,#394),#1217,.T.); #34033=ADVANCED_FACE('',(#2537,#395,#396),#1218,.F.); #34034=ADVANCED_FACE('',(#2538),#33020,.F.); #34035=ADVANCED_FACE('',(#2539),#33021,.F.); #34036=ADVANCED_FACE('',(#2540),#1219,.F.); #34037=ADVANCED_FACE('',(#2541),#1220,.F.); #34038=ADVANCED_FACE('',(#2542),#1221,.F.); #34039=ADVANCED_FACE('',(#2543),#1222,.F.); #34040=ADVANCED_FACE('',(#2544),#1223,.F.); #34041=ADVANCED_FACE('',(#2545),#1224,.F.); #34042=ADVANCED_FACE('',(#2546),#1225,.F.); #34043=ADVANCED_FACE('',(#2547),#1226,.F.); #34044=ADVANCED_FACE('',(#2548),#1227,.F.); #34045=ADVANCED_FACE('',(#2549),#1228,.F.); #34046=ADVANCED_FACE('',(#2550),#1229,.F.); #34047=ADVANCED_FACE('',(#2551),#1230,.F.); #34048=ADVANCED_FACE('',(#2552,#397,#398),#1231,.F.); #34049=ADVANCED_FACE('',(#2553,#399,#400),#1232,.T.); #34050=ADVANCED_FACE('',(#2554),#33022,.F.); #34051=ADVANCED_FACE('',(#2555),#33023,.F.); #34052=ADVANCED_FACE('',(#2556),#1233,.F.); #34053=ADVANCED_FACE('',(#2557),#1234,.F.); #34054=ADVANCED_FACE('',(#2558),#1235,.F.); #34055=ADVANCED_FACE('',(#2559),#1236,.F.); #34056=ADVANCED_FACE('',(#2560),#1237,.F.); #34057=ADVANCED_FACE('',(#2561),#1238,.F.); #34058=ADVANCED_FACE('',(#2562),#1239,.F.); #34059=ADVANCED_FACE('',(#2563),#1240,.F.); #34060=ADVANCED_FACE('',(#2564),#1241,.F.); #34061=ADVANCED_FACE('',(#2565),#1242,.F.); #34062=ADVANCED_FACE('',(#2566),#1243,.F.); #34063=ADVANCED_FACE('',(#2567),#1244,.F.); #34064=ADVANCED_FACE('',(#2568,#401,#402),#1245,.F.); #34065=ADVANCED_FACE('',(#2569,#403,#404),#1246,.T.); #34066=ADVANCED_FACE('',(#2570),#33024,.F.); #34067=ADVANCED_FACE('',(#2571),#33025,.F.); #34068=ADVANCED_FACE('',(#2572),#1247,.T.); #34069=ADVANCED_FACE('',(#2573),#1248,.T.); #34070=ADVANCED_FACE('',(#2574),#1249,.T.); #34071=ADVANCED_FACE('',(#2575),#1250,.T.); #34072=ADVANCED_FACE('',(#2576),#1251,.T.); #34073=ADVANCED_FACE('',(#2577),#1252,.T.); #34074=ADVANCED_FACE('',(#2578),#1253,.T.); #34075=ADVANCED_FACE('',(#2579),#1254,.T.); #34076=ADVANCED_FACE('',(#2580),#1255,.T.); #34077=ADVANCED_FACE('',(#2581),#1256,.T.); #34078=ADVANCED_FACE('',(#2582),#1257,.T.); #34079=ADVANCED_FACE('',(#2583),#1258,.T.); #34080=ADVANCED_FACE('',(#2584,#405,#406),#1259,.T.); #34081=ADVANCED_FACE('',(#2585,#407,#408),#1260,.F.); #34082=ADVANCED_FACE('',(#2586),#33026,.F.); #34083=ADVANCED_FACE('',(#2587),#33027,.F.); #34084=ADVANCED_FACE('',(#2588),#1261,.F.); #34085=ADVANCED_FACE('',(#2589),#1262,.F.); #34086=ADVANCED_FACE('',(#2590),#1263,.F.); #34087=ADVANCED_FACE('',(#2591),#1264,.F.); #34088=ADVANCED_FACE('',(#2592),#1265,.F.); #34089=ADVANCED_FACE('',(#2593),#1266,.F.); #34090=ADVANCED_FACE('',(#2594),#1267,.F.); #34091=ADVANCED_FACE('',(#2595),#1268,.F.); #34092=ADVANCED_FACE('',(#2596),#1269,.F.); #34093=ADVANCED_FACE('',(#2597),#1270,.F.); #34094=ADVANCED_FACE('',(#2598),#1271,.F.); #34095=ADVANCED_FACE('',(#2599),#1272,.F.); #34096=ADVANCED_FACE('',(#2600,#409,#410),#1273,.F.); #34097=ADVANCED_FACE('',(#2601,#411,#412),#1274,.T.); #34098=ADVANCED_FACE('',(#2602),#1275,.T.); #34099=ADVANCED_FACE('',(#2603),#33028,.F.); #34100=ADVANCED_FACE('',(#2604),#1276,.T.); #34101=ADVANCED_FACE('',(#2605),#33029,.F.); #34102=ADVANCED_FACE('',(#2606),#1277,.T.); #34103=ADVANCED_FACE('',(#2607),#33030,.F.); #34104=ADVANCED_FACE('',(#2608),#1278,.T.); #34105=ADVANCED_FACE('',(#2609),#33031,.F.); #34106=ADVANCED_FACE('',(#2610),#1279,.T.); #34107=ADVANCED_FACE('',(#2611),#33032,.F.); #34108=ADVANCED_FACE('',(#2612),#1280,.T.); #34109=ADVANCED_FACE('',(#2613),#33033,.F.); #34110=ADVANCED_FACE('',(#2614),#1281,.T.); #34111=ADVANCED_FACE('',(#2615),#33034,.F.); #34112=ADVANCED_FACE('',(#2616),#1282,.T.); #34113=ADVANCED_FACE('',(#2617),#33035,.F.); #34114=ADVANCED_FACE('',(#2618),#1283,.T.); #34115=ADVANCED_FACE('',(#2619),#33036,.F.); #34116=ADVANCED_FACE('',(#2620),#1284,.T.); #34117=ADVANCED_FACE('',(#2621),#33037,.F.); #34118=ADVANCED_FACE('',(#2622),#1285,.T.); #34119=ADVANCED_FACE('',(#2623),#33038,.F.); #34120=ADVANCED_FACE('',(#2624),#1286,.T.); #34121=ADVANCED_FACE('',(#2625),#33039,.F.); #34122=ADVANCED_FACE('',(#2626),#1287,.T.); #34123=ADVANCED_FACE('',(#2627),#33040,.F.); #34124=ADVANCED_FACE('',(#2628),#1288,.T.); #34125=ADVANCED_FACE('',(#2629),#33041,.F.); #34126=ADVANCED_FACE('',(#2630),#1289,.T.); #34127=ADVANCED_FACE('',(#2631),#33042,.F.); #34128=ADVANCED_FACE('',(#2632),#1290,.T.); #34129=ADVANCED_FACE('',(#2633),#33043,.F.); #34130=ADVANCED_FACE('',(#2634),#1291,.T.); #34131=ADVANCED_FACE('',(#2635),#33044,.F.); #34132=ADVANCED_FACE('',(#2636),#1292,.T.); #34133=ADVANCED_FACE('',(#2637),#33045,.F.); #34134=ADVANCED_FACE('',(#2638),#1293,.T.); #34135=ADVANCED_FACE('',(#2639),#33046,.F.); #34136=ADVANCED_FACE('',(#2640),#1294,.T.); #34137=ADVANCED_FACE('',(#2641),#33047,.F.); #34138=ADVANCED_FACE('',(#2642),#1295,.T.); #34139=ADVANCED_FACE('',(#2643),#33048,.F.); #34140=ADVANCED_FACE('',(#2644),#1296,.T.); #34141=ADVANCED_FACE('',(#2645),#33049,.F.); #34142=ADVANCED_FACE('',(#2646),#1297,.T.); #34143=ADVANCED_FACE('',(#2647),#33050,.F.); #34144=ADVANCED_FACE('',(#2648),#1298,.T.); #34145=ADVANCED_FACE('',(#2649),#33051,.F.); #34146=ADVANCED_FACE('',(#2650),#1299,.T.); #34147=ADVANCED_FACE('',(#2651),#33052,.F.); #34148=ADVANCED_FACE('',(#2652),#1300,.T.); #34149=ADVANCED_FACE('',(#2653),#33053,.F.); #34150=ADVANCED_FACE('',(#2654),#1301,.T.); #34151=ADVANCED_FACE('',(#2655),#33054,.F.); #34152=ADVANCED_FACE('',(#2656),#1302,.T.); #34153=ADVANCED_FACE('',(#2657),#33055,.F.); #34154=ADVANCED_FACE('',(#2658),#1303,.T.); #34155=ADVANCED_FACE('',(#2659),#33056,.F.); #34156=ADVANCED_FACE('',(#2660),#1304,.T.); #34157=ADVANCED_FACE('',(#2661),#33057,.F.); #34158=ADVANCED_FACE('',(#2662),#1305,.T.); #34159=ADVANCED_FACE('',(#2663),#33058,.F.); #34160=ADVANCED_FACE('',(#2664),#1306,.T.); #34161=ADVANCED_FACE('',(#2665),#33059,.F.); #34162=ADVANCED_FACE('',(#2666),#1307,.T.); #34163=ADVANCED_FACE('',(#2667),#33060,.F.); #34164=ADVANCED_FACE('',(#2668),#1308,.T.); #34165=ADVANCED_FACE('',(#2669),#33061,.F.); #34166=ADVANCED_FACE('',(#2670),#1309,.T.); #34167=ADVANCED_FACE('',(#2671),#33062,.F.); #34168=ADVANCED_FACE('',(#2672),#1310,.T.); #34169=ADVANCED_FACE('',(#2673),#33063,.F.); #34170=ADVANCED_FACE('',(#2674),#1311,.T.); #34171=ADVANCED_FACE('',(#2675),#33064,.F.); #34172=ADVANCED_FACE('',(#2676),#1312,.T.); #34173=ADVANCED_FACE('',(#2677),#33065,.F.); #34174=ADVANCED_FACE('',(#2678),#1313,.T.); #34175=ADVANCED_FACE('',(#2679),#33066,.F.); #34176=ADVANCED_FACE('',(#2680),#1314,.T.); #34177=ADVANCED_FACE('',(#2681),#33067,.F.); #34178=ADVANCED_FACE('',(#2682),#1315,.T.); #34179=ADVANCED_FACE('',(#2683),#33068,.F.); #34180=ADVANCED_FACE('',(#2684),#1316,.T.); #34181=ADVANCED_FACE('',(#2685),#33069,.F.); #34182=ADVANCED_FACE('',(#2686),#1317,.T.); #34183=ADVANCED_FACE('',(#2687),#33070,.F.); #34184=ADVANCED_FACE('',(#2688),#1318,.T.); #34185=ADVANCED_FACE('',(#2689),#33071,.F.); #34186=ADVANCED_FACE('',(#2690),#1319,.T.); #34187=ADVANCED_FACE('',(#2691),#33072,.F.); #34188=ADVANCED_FACE('',(#2692),#1320,.T.); #34189=ADVANCED_FACE('',(#2693),#33073,.F.); #34190=ADVANCED_FACE('',(#2694),#1321,.T.); #34191=ADVANCED_FACE('',(#2695),#33074,.F.); #34192=ADVANCED_FACE('',(#2696),#1322,.T.); #34193=ADVANCED_FACE('',(#2697),#33075,.F.); #34194=ADVANCED_FACE('',(#2698),#1323,.T.); #34195=ADVANCED_FACE('',(#2699),#33076,.F.); #34196=ADVANCED_FACE('',(#2700),#1324,.T.); #34197=ADVANCED_FACE('',(#2701),#33077,.F.); #34198=ADVANCED_FACE('',(#2702),#1325,.T.); #34199=ADVANCED_FACE('',(#2703),#33078,.F.); #34200=ADVANCED_FACE('',(#2704),#1326,.T.); #34201=ADVANCED_FACE('',(#2705),#33079,.F.); #34202=ADVANCED_FACE('',(#2706),#1327,.T.); #34203=ADVANCED_FACE('',(#2707),#33080,.F.); #34204=ADVANCED_FACE('',(#2708),#1328,.T.); #34205=ADVANCED_FACE('',(#2709),#33081,.F.); #34206=ADVANCED_FACE('',(#2710),#1329,.T.); #34207=ADVANCED_FACE('',(#2711),#33082,.F.); #34208=ADVANCED_FACE('',(#2712),#1330,.T.); #34209=ADVANCED_FACE('',(#2713),#33083,.F.); #34210=ADVANCED_FACE('',(#2714),#1331,.T.); #34211=ADVANCED_FACE('',(#2715),#33084,.F.); #34212=ADVANCED_FACE('',(#2716),#1332,.T.); #34213=ADVANCED_FACE('',(#2717),#33085,.F.); #34214=ADVANCED_FACE('',(#2718),#1333,.T.); #34215=ADVANCED_FACE('',(#2719),#33086,.F.); #34216=ADVANCED_FACE('',(#2720),#1334,.T.); #34217=ADVANCED_FACE('',(#2721),#33087,.F.); #34218=ADVANCED_FACE('',(#2722),#1335,.T.); #34219=ADVANCED_FACE('',(#2723),#33088,.F.); #34220=ADVANCED_FACE('',(#2724),#1336,.T.); #34221=ADVANCED_FACE('',(#2725),#33089,.F.); #34222=ADVANCED_FACE('',(#2726),#1337,.T.); #34223=ADVANCED_FACE('',(#2727),#33090,.F.); #34224=ADVANCED_FACE('',(#2728),#1338,.T.); #34225=ADVANCED_FACE('',(#2729),#33091,.F.); #34226=ADVANCED_FACE('',(#2730),#1339,.T.); #34227=ADVANCED_FACE('',(#2731),#33092,.F.); #34228=ADVANCED_FACE('',(#2732),#1340,.T.); #34229=ADVANCED_FACE('',(#2733),#33093,.F.); #34230=ADVANCED_FACE('',(#2734),#1341,.T.); #34231=ADVANCED_FACE('',(#2735),#33094,.F.); #34232=ADVANCED_FACE('',(#2736),#1342,.T.); #34233=ADVANCED_FACE('',(#2737),#33095,.F.); #34234=ADVANCED_FACE('',(#2738),#1343,.T.); #34235=ADVANCED_FACE('',(#2739),#33096,.F.); #34236=ADVANCED_FACE('',(#2740),#1344,.T.); #34237=ADVANCED_FACE('',(#2741),#33097,.F.); #34238=ADVANCED_FACE('',(#2742),#1345,.T.); #34239=ADVANCED_FACE('',(#2743),#33098,.F.); #34240=ADVANCED_FACE('',(#2744),#1346,.T.); #34241=ADVANCED_FACE('',(#2745),#33099,.F.); #34242=ADVANCED_FACE('',(#2746),#1347,.T.); #34243=ADVANCED_FACE('',(#2747),#1348,.T.); #34244=ADVANCED_FACE('',(#2748),#1349,.T.); #34245=ADVANCED_FACE('',(#2749),#33100,.T.); #34246=ADVANCED_FACE('',(#2750),#1350,.T.); #34247=ADVANCED_FACE('',(#2751),#33101,.T.); #34248=ADVANCED_FACE('',(#2752),#1351,.T.); #34249=ADVANCED_FACE('',(#2753),#1352,.T.); #34250=ADVANCED_FACE('',(#2754),#1353,.T.); #34251=ADVANCED_FACE('',(#2755),#1354,.T.); #34252=ADVANCED_FACE('',(#2756),#33102,.T.); #34253=ADVANCED_FACE('',(#2757),#1355,.T.); #34254=ADVANCED_FACE('',(#2758),#1356,.T.); #34255=ADVANCED_FACE('',(#2759),#1357,.T.); #34256=ADVANCED_FACE('',(#2760),#1358,.T.); #34257=ADVANCED_FACE('',(#2761),#1359,.T.); #34258=ADVANCED_FACE('',(#2762),#33103,.T.); #34259=ADVANCED_FACE('',(#2763),#1360,.T.); #34260=ADVANCED_FACE('',(#2764,#413,#414,#415,#416,#417,#418,#419,#420, #421,#422,#423,#424,#425,#426,#427,#428,#429,#430),#1361,.T.); #34261=ADVANCED_FACE('',(#2765,#431,#432,#433,#434,#435,#436,#437,#438, #439,#440,#441,#442,#443,#444,#445,#446,#447,#448),#1362,.F.); #34262=ADVANCED_FACE('',(#2766),#1363,.F.); #34263=ADVANCED_FACE('',(#2767),#33104,.F.); #34264=ADVANCED_FACE('',(#2768),#1364,.F.); #34265=ADVANCED_FACE('',(#2769),#33105,.F.); #34266=ADVANCED_FACE('',(#2770),#1365,.F.); #34267=ADVANCED_FACE('',(#2771),#33106,.F.); #34268=ADVANCED_FACE('',(#2772),#1366,.F.); #34269=ADVANCED_FACE('',(#2773),#33107,.F.); #34270=ADVANCED_FACE('',(#2774),#1367,.F.); #34271=ADVANCED_FACE('',(#2775),#33108,.F.); #34272=ADVANCED_FACE('',(#2776),#1368,.F.); #34273=ADVANCED_FACE('',(#2777),#33109,.F.); #34274=ADVANCED_FACE('',(#2778),#1369,.F.); #34275=ADVANCED_FACE('',(#2779),#33110,.F.); #34276=ADVANCED_FACE('',(#2780),#1370,.F.); #34277=ADVANCED_FACE('',(#2781),#33111,.F.); #34278=ADVANCED_FACE('',(#2782),#1371,.F.); #34279=ADVANCED_FACE('',(#2783),#33112,.F.); #34280=ADVANCED_FACE('',(#2784),#1372,.F.); #34281=ADVANCED_FACE('',(#2785),#33113,.F.); #34282=ADVANCED_FACE('',(#2786),#1373,.F.); #34283=ADVANCED_FACE('',(#2787),#33114,.F.); #34284=ADVANCED_FACE('',(#2788),#1374,.F.); #34285=ADVANCED_FACE('',(#2789),#33115,.F.); #34286=ADVANCED_FACE('',(#2790),#1375,.F.); #34287=ADVANCED_FACE('',(#2791),#33116,.F.); #34288=ADVANCED_FACE('',(#2792),#1376,.F.); #34289=ADVANCED_FACE('',(#2793),#33117,.F.); #34290=ADVANCED_FACE('',(#2794),#1377,.F.); #34291=ADVANCED_FACE('',(#2795),#33118,.F.); #34292=ADVANCED_FACE('',(#2796),#1378,.F.); #34293=ADVANCED_FACE('',(#2797),#33119,.F.); #34294=ADVANCED_FACE('',(#2798),#1379,.F.); #34295=ADVANCED_FACE('',(#2799),#33120,.F.); #34296=ADVANCED_FACE('',(#2800),#1380,.F.); #34297=ADVANCED_FACE('',(#2801),#33121,.F.); #34298=ADVANCED_FACE('',(#2802),#1381,.F.); #34299=ADVANCED_FACE('',(#2803),#33122,.F.); #34300=ADVANCED_FACE('',(#2804),#1382,.F.); #34301=ADVANCED_FACE('',(#2805),#33123,.F.); #34302=ADVANCED_FACE('',(#2806),#1383,.F.); #34303=ADVANCED_FACE('',(#2807),#33124,.F.); #34304=ADVANCED_FACE('',(#2808),#1384,.F.); #34305=ADVANCED_FACE('',(#2809),#33125,.F.); #34306=ADVANCED_FACE('',(#2810),#1385,.F.); #34307=ADVANCED_FACE('',(#2811),#33126,.F.); #34308=ADVANCED_FACE('',(#2812),#1386,.F.); #34309=ADVANCED_FACE('',(#2813),#33127,.F.); #34310=ADVANCED_FACE('',(#2814),#1387,.F.); #34311=ADVANCED_FACE('',(#2815),#33128,.F.); #34312=ADVANCED_FACE('',(#2816),#1388,.F.); #34313=ADVANCED_FACE('',(#2817),#33129,.F.); #34314=ADVANCED_FACE('',(#2818),#1389,.F.); #34315=ADVANCED_FACE('',(#2819),#33130,.F.); #34316=ADVANCED_FACE('',(#2820),#1390,.F.); #34317=ADVANCED_FACE('',(#2821),#33131,.F.); #34318=ADVANCED_FACE('',(#2822),#1391,.F.); #34319=ADVANCED_FACE('',(#2823),#33132,.F.); #34320=ADVANCED_FACE('',(#2824),#1392,.F.); #34321=ADVANCED_FACE('',(#2825),#33133,.F.); #34322=ADVANCED_FACE('',(#2826),#1393,.F.); #34323=ADVANCED_FACE('',(#2827),#33134,.F.); #34324=ADVANCED_FACE('',(#2828),#1394,.F.); #34325=ADVANCED_FACE('',(#2829),#33135,.F.); #34326=ADVANCED_FACE('',(#2830),#1395,.F.); #34327=ADVANCED_FACE('',(#2831),#33136,.F.); #34328=ADVANCED_FACE('',(#2832),#1396,.F.); #34329=ADVANCED_FACE('',(#2833),#33137,.F.); #34330=ADVANCED_FACE('',(#2834),#1397,.F.); #34331=ADVANCED_FACE('',(#2835),#33138,.F.); #34332=ADVANCED_FACE('',(#2836),#1398,.F.); #34333=ADVANCED_FACE('',(#2837),#33139,.F.); #34334=ADVANCED_FACE('',(#2838),#1399,.F.); #34335=ADVANCED_FACE('',(#2839),#33140,.F.); #34336=ADVANCED_FACE('',(#2840),#1400,.F.); #34337=ADVANCED_FACE('',(#2841),#33141,.F.); #34338=ADVANCED_FACE('',(#2842),#1401,.F.); #34339=ADVANCED_FACE('',(#2843),#33142,.F.); #34340=ADVANCED_FACE('',(#2844),#1402,.F.); #34341=ADVANCED_FACE('',(#2845),#33143,.F.); #34342=ADVANCED_FACE('',(#2846),#1403,.F.); #34343=ADVANCED_FACE('',(#2847),#33144,.F.); #34344=ADVANCED_FACE('',(#2848),#1404,.F.); #34345=ADVANCED_FACE('',(#2849),#33145,.F.); #34346=ADVANCED_FACE('',(#2850),#1405,.F.); #34347=ADVANCED_FACE('',(#2851),#33146,.F.); #34348=ADVANCED_FACE('',(#2852),#1406,.F.); #34349=ADVANCED_FACE('',(#2853),#33147,.F.); #34350=ADVANCED_FACE('',(#2854),#1407,.F.); #34351=ADVANCED_FACE('',(#2855),#33148,.F.); #34352=ADVANCED_FACE('',(#2856),#1408,.F.); #34353=ADVANCED_FACE('',(#2857),#33149,.F.); #34354=ADVANCED_FACE('',(#2858),#1409,.F.); #34355=ADVANCED_FACE('',(#2859),#33150,.F.); #34356=ADVANCED_FACE('',(#2860),#1410,.F.); #34357=ADVANCED_FACE('',(#2861),#33151,.F.); #34358=ADVANCED_FACE('',(#2862),#1411,.F.); #34359=ADVANCED_FACE('',(#2863),#33152,.F.); #34360=ADVANCED_FACE('',(#2864),#1412,.F.); #34361=ADVANCED_FACE('',(#2865),#33153,.F.); #34362=ADVANCED_FACE('',(#2866),#1413,.F.); #34363=ADVANCED_FACE('',(#2867),#33154,.F.); #34364=ADVANCED_FACE('',(#2868),#1414,.F.); #34365=ADVANCED_FACE('',(#2869),#33155,.F.); #34366=ADVANCED_FACE('',(#2870),#1415,.F.); #34367=ADVANCED_FACE('',(#2871),#33156,.F.); #34368=ADVANCED_FACE('',(#2872),#1416,.F.); #34369=ADVANCED_FACE('',(#2873),#33157,.F.); #34370=ADVANCED_FACE('',(#2874),#1417,.F.); #34371=ADVANCED_FACE('',(#2875),#33158,.F.); #34372=ADVANCED_FACE('',(#2876),#1418,.F.); #34373=ADVANCED_FACE('',(#2877),#33159,.F.); #34374=ADVANCED_FACE('',(#2878),#1419,.F.); #34375=ADVANCED_FACE('',(#2879),#33160,.F.); #34376=ADVANCED_FACE('',(#2880),#1420,.F.); #34377=ADVANCED_FACE('',(#2881),#33161,.F.); #34378=ADVANCED_FACE('',(#2882),#1421,.F.); #34379=ADVANCED_FACE('',(#2883),#33162,.F.); #34380=ADVANCED_FACE('',(#2884),#1422,.F.); #34381=ADVANCED_FACE('',(#2885),#33163,.F.); #34382=ADVANCED_FACE('',(#2886),#1423,.F.); #34383=ADVANCED_FACE('',(#2887),#33164,.F.); #34384=ADVANCED_FACE('',(#2888),#1424,.F.); #34385=ADVANCED_FACE('',(#2889),#33165,.F.); #34386=ADVANCED_FACE('',(#2890),#1425,.F.); #34387=ADVANCED_FACE('',(#2891),#33166,.F.); #34388=ADVANCED_FACE('',(#2892),#1426,.F.); #34389=ADVANCED_FACE('',(#2893),#33167,.F.); #34390=ADVANCED_FACE('',(#2894),#1427,.F.); #34391=ADVANCED_FACE('',(#2895),#33168,.F.); #34392=ADVANCED_FACE('',(#2896),#1428,.F.); #34393=ADVANCED_FACE('',(#2897),#33169,.F.); #34394=ADVANCED_FACE('',(#2898),#1429,.F.); #34395=ADVANCED_FACE('',(#2899),#33170,.F.); #34396=ADVANCED_FACE('',(#2900),#1430,.F.); #34397=ADVANCED_FACE('',(#2901),#33171,.F.); #34398=ADVANCED_FACE('',(#2902),#1431,.F.); #34399=ADVANCED_FACE('',(#2903),#33172,.F.); #34400=ADVANCED_FACE('',(#2904),#1432,.F.); #34401=ADVANCED_FACE('',(#2905),#33173,.F.); #34402=ADVANCED_FACE('',(#2906),#1433,.F.); #34403=ADVANCED_FACE('',(#2907),#33174,.F.); #34404=ADVANCED_FACE('',(#2908),#1434,.F.); #34405=ADVANCED_FACE('',(#2909),#33175,.F.); #34406=ADVANCED_FACE('',(#2910),#1435,.F.); #34407=ADVANCED_FACE('',(#2911),#1436,.F.); #34408=ADVANCED_FACE('',(#2912),#1437,.F.); #34409=ADVANCED_FACE('',(#2913),#33176,.T.); #34410=ADVANCED_FACE('',(#2914),#1438,.F.); #34411=ADVANCED_FACE('',(#2915),#33177,.T.); #34412=ADVANCED_FACE('',(#2916),#1439,.F.); #34413=ADVANCED_FACE('',(#2917),#1440,.F.); #34414=ADVANCED_FACE('',(#2918),#1441,.F.); #34415=ADVANCED_FACE('',(#2919),#1442,.F.); #34416=ADVANCED_FACE('',(#2920),#33178,.T.); #34417=ADVANCED_FACE('',(#2921),#1443,.F.); #34418=ADVANCED_FACE('',(#2922),#1444,.F.); #34419=ADVANCED_FACE('',(#2923),#1445,.F.); #34420=ADVANCED_FACE('',(#2924),#1446,.F.); #34421=ADVANCED_FACE('',(#2925),#1447,.F.); #34422=ADVANCED_FACE('',(#2926),#33179,.T.); #34423=ADVANCED_FACE('',(#2927),#1448,.F.); #34424=ADVANCED_FACE('',(#2928,#449,#450,#451,#452,#453,#454,#455,#456, #457,#458,#459,#460,#461,#462,#463,#464,#465,#466),#1449,.F.); #34425=ADVANCED_FACE('',(#2929,#467,#468,#469,#470,#471,#472,#473,#474, #475,#476,#477,#478,#479,#480,#481,#482,#483,#484),#1450,.T.); #34426=ADVANCED_FACE('',(#2930),#33180,.F.); #34427=ADVANCED_FACE('',(#2931),#1451,.T.); #34428=ADVANCED_FACE('',(#2932),#33181,.F.); #34429=ADVANCED_FACE('',(#2933),#1452,.T.); #34430=ADVANCED_FACE('',(#2934),#33182,.F.); #34431=ADVANCED_FACE('',(#2935),#1453,.T.); #34432=ADVANCED_FACE('',(#2936),#33183,.F.); #34433=ADVANCED_FACE('',(#2937),#1454,.T.); #34434=ADVANCED_FACE('',(#2938),#1455,.T.); #34435=ADVANCED_FACE('',(#2939),#1456,.T.); #34436=ADVANCED_FACE('',(#2940),#1457,.T.); #34437=ADVANCED_FACE('',(#2941),#1458,.T.); #34438=ADVANCED_FACE('',(#2942),#1459,.T.); #34439=ADVANCED_FACE('',(#2943),#33184,.F.); #34440=ADVANCED_FACE('',(#2944),#1460,.T.); #34441=ADVANCED_FACE('',(#2945),#33185,.F.); #34442=ADVANCED_FACE('',(#2946),#1461,.T.); #34443=ADVANCED_FACE('',(#2947),#33186,.F.); #34444=ADVANCED_FACE('',(#2948),#1462,.T.); #34445=ADVANCED_FACE('',(#2949),#33187,.F.); #34446=ADVANCED_FACE('',(#2950),#1463,.T.); #34447=ADVANCED_FACE('',(#2951),#1464,.T.); #34448=ADVANCED_FACE('',(#2952),#1465,.T.); #34449=ADVANCED_FACE('',(#2953),#1466,.T.); #34450=ADVANCED_FACE('',(#2954),#33188,.F.); #34451=ADVANCED_FACE('',(#2955),#33189,.F.); #34452=ADVANCED_FACE('',(#2956),#1467,.T.); #34453=ADVANCED_FACE('',(#2957),#1468,.T.); #34454=ADVANCED_FACE('',(#2958),#1469,.T.); #34455=ADVANCED_FACE('',(#2959),#1470,.T.); #34456=ADVANCED_FACE('',(#2960),#1471,.T.); #34457=ADVANCED_FACE('',(#2961),#1472,.T.); #34458=ADVANCED_FACE('',(#2962),#1473,.T.); #34459=ADVANCED_FACE('',(#2963),#1474,.T.); #34460=ADVANCED_FACE('',(#2964),#33190,.F.); #34461=ADVANCED_FACE('',(#2965),#33191,.F.); #34462=ADVANCED_FACE('',(#2966),#1475,.T.); #34463=ADVANCED_FACE('',(#2967),#33192,.F.); #34464=ADVANCED_FACE('',(#2968),#1476,.T.); #34465=ADVANCED_FACE('',(#2969),#33193,.F.); #34466=ADVANCED_FACE('',(#2970),#1477,.T.); #34467=ADVANCED_FACE('',(#2971),#33194,.F.); #34468=ADVANCED_FACE('',(#2972),#1478,.T.); #34469=ADVANCED_FACE('',(#2973),#33195,.F.); #34470=ADVANCED_FACE('',(#2974),#33196,.F.); #34471=ADVANCED_FACE('',(#2975),#33197,.F.); #34472=ADVANCED_FACE('',(#2976),#33198,.F.); #34473=ADVANCED_FACE('',(#2977),#33199,.F.); #34474=ADVANCED_FACE('',(#2978),#33200,.F.); #34475=ADVANCED_FACE('',(#2979),#1479,.T.); #34476=ADVANCED_FACE('',(#2980),#1480,.T.); #34477=ADVANCED_FACE('',(#2981),#1481,.T.); #34478=ADVANCED_FACE('',(#2982),#1482,.T.); #34479=ADVANCED_FACE('',(#2983),#33201,.F.); #34480=ADVANCED_FACE('',(#2984),#33202,.F.); #34481=ADVANCED_FACE('',(#2985),#1483,.T.); #34482=ADVANCED_FACE('',(#2986),#1484,.T.); #34483=ADVANCED_FACE('',(#2987),#1485,.T.); #34484=ADVANCED_FACE('',(#2988),#1486,.T.); #34485=ADVANCED_FACE('',(#2989),#1487,.T.); #34486=ADVANCED_FACE('',(#2990),#1488,.T.); #34487=ADVANCED_FACE('',(#2991),#1489,.T.); #34488=ADVANCED_FACE('',(#2992),#1490,.T.); #34489=ADVANCED_FACE('',(#2993),#1491,.T.); #34490=ADVANCED_FACE('',(#2994),#33203,.F.); #34491=ADVANCED_FACE('',(#2995),#1492,.T.); #34492=ADVANCED_FACE('',(#2996),#33204,.F.); #34493=ADVANCED_FACE('',(#2997),#1493,.T.); #34494=ADVANCED_FACE('',(#2998),#33205,.F.); #34495=ADVANCED_FACE('',(#2999),#1494,.T.); #34496=ADVANCED_FACE('',(#3000),#33206,.F.); #34497=ADVANCED_FACE('',(#3001),#1495,.T.); #34498=ADVANCED_FACE('',(#3002),#33207,.F.); #34499=ADVANCED_FACE('',(#3003),#1496,.T.); #34500=ADVANCED_FACE('',(#3004),#33208,.F.); #34501=ADVANCED_FACE('',(#3005),#1497,.T.); #34502=ADVANCED_FACE('',(#3006),#33209,.F.); #34503=ADVANCED_FACE('',(#3007),#1498,.T.); #34504=ADVANCED_FACE('',(#3008),#33210,.F.); #34505=ADVANCED_FACE('',(#3009),#1499,.T.); #34506=ADVANCED_FACE('',(#3010),#33211,.F.); #34507=ADVANCED_FACE('',(#3011),#1500,.T.); #34508=ADVANCED_FACE('',(#3012),#33212,.F.); #34509=ADVANCED_FACE('',(#3013),#1501,.T.); #34510=ADVANCED_FACE('',(#3014),#33213,.F.); #34511=ADVANCED_FACE('',(#3015),#1502,.T.); #34512=ADVANCED_FACE('',(#3016),#33214,.F.); #34513=ADVANCED_FACE('',(#3017),#1503,.T.); #34514=ADVANCED_FACE('',(#3018),#33215,.F.); #34515=ADVANCED_FACE('',(#3019),#1504,.T.); #34516=ADVANCED_FACE('',(#3020),#33216,.F.); #34517=ADVANCED_FACE('',(#3021),#1505,.T.); #34518=ADVANCED_FACE('',(#3022),#33217,.F.); #34519=ADVANCED_FACE('',(#3023),#1506,.T.); #34520=ADVANCED_FACE('',(#3024),#33218,.F.); #34521=ADVANCED_FACE('',(#3025),#1507,.T.); #34522=ADVANCED_FACE('',(#3026),#33219,.F.); #34523=ADVANCED_FACE('',(#3027),#1508,.T.); #34524=ADVANCED_FACE('',(#3028),#33220,.F.); #34525=ADVANCED_FACE('',(#3029),#1509,.T.); #34526=ADVANCED_FACE('',(#3030),#33221,.F.); #34527=ADVANCED_FACE('',(#3031),#1510,.T.); #34528=ADVANCED_FACE('',(#3032),#33222,.F.); #34529=ADVANCED_FACE('',(#3033),#33223,.F.); #34530=ADVANCED_FACE('',(#3034),#1511,.T.); #34531=ADVANCED_FACE('',(#3035),#33224,.F.); #34532=ADVANCED_FACE('',(#3036),#1512,.T.); #34533=ADVANCED_FACE('',(#3037),#33225,.F.); #34534=ADVANCED_FACE('',(#3038),#1513,.T.); #34535=ADVANCED_FACE('',(#3039),#33226,.F.); #34536=ADVANCED_FACE('',(#3040),#1514,.T.); #34537=ADVANCED_FACE('',(#3041),#33227,.F.); #34538=ADVANCED_FACE('',(#3042),#1515,.T.); #34539=ADVANCED_FACE('',(#3043),#33228,.F.); #34540=ADVANCED_FACE('',(#3044),#1516,.T.); #34541=ADVANCED_FACE('',(#3045),#33229,.F.); #34542=ADVANCED_FACE('',(#3046),#1517,.T.); #34543=ADVANCED_FACE('',(#3047),#33230,.F.); #34544=ADVANCED_FACE('',(#3048),#1518,.T.); #34545=ADVANCED_FACE('',(#3049),#33231,.F.); #34546=ADVANCED_FACE('',(#3050),#1519,.T.); #34547=ADVANCED_FACE('',(#3051),#33232,.F.); #34548=ADVANCED_FACE('',(#3052),#1520,.T.); #34549=ADVANCED_FACE('',(#3053),#33233,.F.); #34550=ADVANCED_FACE('',(#3054),#1521,.T.); #34551=ADVANCED_FACE('',(#3055),#33234,.F.); #34552=ADVANCED_FACE('',(#3056),#1522,.T.); #34553=ADVANCED_FACE('',(#3057),#33235,.F.); #34554=ADVANCED_FACE('',(#3058),#1523,.T.); #34555=ADVANCED_FACE('',(#3059),#33236,.F.); #34556=ADVANCED_FACE('',(#3060),#1524,.T.); #34557=ADVANCED_FACE('',(#3061),#33237,.F.); #34558=ADVANCED_FACE('',(#3062),#1525,.T.); #34559=ADVANCED_FACE('',(#3063),#33238,.F.); #34560=ADVANCED_FACE('',(#3064),#1526,.T.); #34561=ADVANCED_FACE('',(#3065),#1527,.T.); #34562=ADVANCED_FACE('',(#3066),#33239,.F.); #34563=ADVANCED_FACE('',(#3067),#1528,.T.); #34564=ADVANCED_FACE('',(#3068),#33240,.F.); #34565=ADVANCED_FACE('',(#3069),#1529,.T.); #34566=ADVANCED_FACE('',(#3070),#33241,.F.); #34567=ADVANCED_FACE('',(#3071),#1530,.T.); #34568=ADVANCED_FACE('',(#3072),#33242,.F.); #34569=ADVANCED_FACE('',(#3073),#1531,.T.); #34570=ADVANCED_FACE('',(#3074),#33243,.F.); #34571=ADVANCED_FACE('',(#3075),#1532,.T.); #34572=ADVANCED_FACE('',(#3076),#33244,.F.); #34573=ADVANCED_FACE('',(#3077),#1533,.T.); #34574=ADVANCED_FACE('',(#3078),#33245,.F.); #34575=ADVANCED_FACE('',(#3079),#1534,.T.); #34576=ADVANCED_FACE('',(#3080),#33246,.F.); #34577=ADVANCED_FACE('',(#3081),#1535,.T.); #34578=ADVANCED_FACE('',(#3082),#33247,.F.); #34579=ADVANCED_FACE('',(#3083),#1536,.T.); #34580=ADVANCED_FACE('',(#3084),#33248,.F.); #34581=ADVANCED_FACE('',(#3085),#1537,.T.); #34582=ADVANCED_FACE('',(#3086),#33249,.F.); #34583=ADVANCED_FACE('',(#3087),#1538,.T.); #34584=ADVANCED_FACE('',(#3088),#33250,.F.); #34585=ADVANCED_FACE('',(#3089),#1539,.T.); #34586=ADVANCED_FACE('',(#3090),#1540,.T.); #34587=ADVANCED_FACE('',(#3091),#1541,.T.); #34588=ADVANCED_FACE('',(#3092),#1542,.T.); #34589=ADVANCED_FACE('',(#3093),#1543,.T.); #34590=ADVANCED_FACE('',(#3094),#33251,.F.); #34591=ADVANCED_FACE('',(#3095),#1544,.T.); #34592=ADVANCED_FACE('',(#3096),#33252,.F.); #34593=ADVANCED_FACE('',(#3097),#1545,.T.); #34594=ADVANCED_FACE('',(#3098),#33253,.F.); #34595=ADVANCED_FACE('',(#3099),#1546,.T.); #34596=ADVANCED_FACE('',(#3100),#33254,.F.); #34597=ADVANCED_FACE('',(#3101),#1547,.T.); #34598=ADVANCED_FACE('',(#3102),#33255,.F.); #34599=ADVANCED_FACE('',(#3103),#1548,.T.); #34600=ADVANCED_FACE('',(#3104),#33256,.F.); #34601=ADVANCED_FACE('',(#3105),#1549,.T.); #34602=ADVANCED_FACE('',(#3106),#33257,.F.); #34603=ADVANCED_FACE('',(#3107),#1550,.T.); #34604=ADVANCED_FACE('',(#3108),#33258,.F.); #34605=ADVANCED_FACE('',(#3109),#1551,.T.); #34606=ADVANCED_FACE('',(#3110),#33259,.F.); #34607=ADVANCED_FACE('',(#3111),#1552,.T.); #34608=ADVANCED_FACE('',(#3112),#33260,.F.); #34609=ADVANCED_FACE('',(#3113),#1553,.T.); #34610=ADVANCED_FACE('',(#3114),#33261,.F.); #34611=ADVANCED_FACE('',(#3115),#1554,.T.); #34612=ADVANCED_FACE('',(#3116),#33262,.F.); #34613=ADVANCED_FACE('',(#3117),#1555,.T.); #34614=ADVANCED_FACE('',(#3118),#1556,.T.); #34615=ADVANCED_FACE('',(#3119),#1557,.T.); #34616=ADVANCED_FACE('',(#3120),#1558,.T.); #34617=ADVANCED_FACE('',(#3121),#1559,.T.); #34618=ADVANCED_FACE('',(#3122),#1560,.T.); #34619=ADVANCED_FACE('',(#3123),#1561,.T.); #34620=ADVANCED_FACE('',(#3124),#1562,.T.); #34621=ADVANCED_FACE('',(#3125),#1563,.T.); #34622=ADVANCED_FACE('',(#3126),#1564,.T.); #34623=ADVANCED_FACE('',(#3127),#1565,.T.); #34624=ADVANCED_FACE('',(#3128),#1566,.T.); #34625=ADVANCED_FACE('',(#3129),#1567,.T.); #34626=ADVANCED_FACE('',(#3130),#1568,.T.); #34627=ADVANCED_FACE('',(#3131),#1569,.T.); #34628=ADVANCED_FACE('',(#3132),#1570,.T.); #34629=ADVANCED_FACE('',(#3133),#33263,.F.); #34630=ADVANCED_FACE('',(#3134),#1571,.T.); #34631=ADVANCED_FACE('',(#3135),#33264,.F.); #34632=ADVANCED_FACE('',(#3136),#1572,.T.); #34633=ADVANCED_FACE('',(#3137),#33265,.F.); #34634=ADVANCED_FACE('',(#3138),#1573,.T.); #34635=ADVANCED_FACE('',(#3139),#33266,.F.); #34636=ADVANCED_FACE('',(#3140),#1574,.T.); #34637=ADVANCED_FACE('',(#3141),#33267,.F.); #34638=ADVANCED_FACE('',(#3142),#1575,.T.); #34639=ADVANCED_FACE('',(#3143),#33268,.F.); #34640=ADVANCED_FACE('',(#3144),#1576,.T.); #34641=ADVANCED_FACE('',(#3145),#33269,.F.); #34642=ADVANCED_FACE('',(#3146),#1577,.T.); #34643=ADVANCED_FACE('',(#3147),#33270,.F.); #34644=ADVANCED_FACE('',(#3148),#1578,.T.); #34645=ADVANCED_FACE('',(#3149),#33271,.F.); #34646=ADVANCED_FACE('',(#3150),#1579,.T.); #34647=ADVANCED_FACE('',(#3151),#1580,.T.); #34648=ADVANCED_FACE('',(#3152),#1581,.T.); #34649=ADVANCED_FACE('',(#3153),#1582,.T.); #34650=ADVANCED_FACE('',(#3154),#1583,.T.); #34651=ADVANCED_FACE('',(#3155),#1584,.T.); #34652=ADVANCED_FACE('',(#3156),#1585,.T.); #34653=ADVANCED_FACE('',(#3157),#1586,.T.); #34654=ADVANCED_FACE('',(#3158),#33272,.F.); #34655=ADVANCED_FACE('',(#3159),#1587,.T.); #34656=ADVANCED_FACE('',(#3160),#1588,.T.); #34657=ADVANCED_FACE('',(#3161),#1589,.T.); #34658=ADVANCED_FACE('',(#3162),#1590,.T.); #34659=ADVANCED_FACE('',(#3163),#33273,.F.); #34660=ADVANCED_FACE('',(#3164),#1591,.T.); #34661=ADVANCED_FACE('',(#3165),#1592,.T.); #34662=ADVANCED_FACE('',(#3166),#1593,.T.); #34663=ADVANCED_FACE('',(#3167),#1594,.T.); #34664=ADVANCED_FACE('',(#3168),#1595,.T.); #34665=ADVANCED_FACE('',(#3169),#33274,.T.); #34666=ADVANCED_FACE('',(#3170),#1596,.T.); #34667=ADVANCED_FACE('',(#3171),#33275,.T.); #34668=ADVANCED_FACE('',(#3172),#1597,.T.); #34669=ADVANCED_FACE('',(#3173),#33276,.T.); #34670=ADVANCED_FACE('',(#3174),#1598,.T.); #34671=ADVANCED_FACE('',(#3175),#33277,.T.); #34672=ADVANCED_FACE('',(#3176,#485,#486,#487,#488,#489,#490,#491,#492, #493,#494,#495,#496,#497,#498,#499,#500,#501,#502,#503,#504,#505,#506,#507, #508,#509,#510,#511,#512,#513,#514,#515,#516,#517,#518,#519,#520,#521,#522, #523,#524,#525,#526,#527,#528,#529,#530,#531,#532,#533,#534),#1599,.T.); #34673=ADVANCED_FACE('',(#3177,#535,#536,#537,#538,#539,#540,#541,#542, #543,#544,#545,#546,#547,#548,#549,#550,#551,#552,#553,#554,#555,#556,#557, #558,#559,#560,#561,#562,#563,#564,#565,#566,#567,#568,#569,#570,#571,#572, #573,#574,#575,#576,#577,#578,#579,#580,#581,#582,#583,#584),#1600,.F.); #34674=ADVANCED_FACE('',(#3178),#16,.T.); #34675=ADVANCED_FACE('',(#3179),#33278,.F.); #34676=ADVANCED_FACE('',(#3180),#31,.T.); #34677=ADVANCED_FACE('',(#3181),#17,.T.); #34678=ADVANCED_FACE('',(#3182),#18,.T.); #34679=ADVANCED_FACE('',(#3183),#32,.T.); #34680=ADVANCED_FACE('',(#3184),#19,.T.); #34681=ADVANCED_FACE('',(#3185),#33279,.T.); #34682=ADVANCED_FACE('',(#3186),#20,.T.); #34683=ADVANCED_FACE('',(#3187),#33280,.T.); #34684=ADVANCED_FACE('',(#3188),#33,.T.); #34685=ADVANCED_FACE('',(#3189),#34,.T.); #34686=ADVANCED_FACE('',(#3190),#35,.F.); #34687=ADVANCED_FACE('',(#3191),#21,.T.); #34688=ADVANCED_FACE('',(#3192),#1601,.T.); #34689=ADVANCED_FACE('',(#3193),#1602,.F.); #34690=ADVANCED_FACE('',(#3194),#1603,.F.); #34691=ADVANCED_FACE('',(#3195),#36,.T.); #34692=ADVANCED_FACE('',(#3196),#22,.T.); #34693=ADVANCED_FACE('',(#3197),#1604,.F.); #34694=ADVANCED_FACE('',(#3198),#37,.T.); #34695=ADVANCED_FACE('',(#3199),#23,.T.); #34696=ADVANCED_FACE('',(#3200),#38,.T.); #34697=ADVANCED_FACE('',(#3201),#24,.T.); #34698=ADVANCED_FACE('',(#3202),#25,.T.); #34699=ADVANCED_FACE('',(#3203),#33281,.T.); #34700=ADVANCED_FACE('',(#3204),#26,.T.); #34701=ADVANCED_FACE('',(#3205),#33282,.T.); #34702=ADVANCED_FACE('',(#3206),#39,.T.); #34703=ADVANCED_FACE('',(#3207),#40,.T.); #34704=ADVANCED_FACE('',(#3208),#41,.T.); #34705=ADVANCED_FACE('',(#3209),#27,.T.); #34706=ADVANCED_FACE('',(#3210),#1605,.T.); #34707=ADVANCED_FACE('',(#3211),#1606,.T.); #34708=ADVANCED_FACE('',(#3212),#42,.F.); #34709=ADVANCED_FACE('',(#3213),#1607,.T.); #34710=ADVANCED_FACE('',(#3214),#28,.T.); #34711=ADVANCED_FACE('',(#3215),#33283,.T.); #34712=ADVANCED_FACE('',(#3216),#33284,.T.); #34713=ADVANCED_FACE('',(#3217),#33285,.T.); #34714=ADVANCED_FACE('',(#3218),#33286,.T.); #34715=ADVANCED_FACE('',(#3219),#33287,.T.); #34716=ADVANCED_FACE('',(#3220),#33288,.T.); #34717=ADVANCED_FACE('',(#3221),#1608,.T.); #34718=ADVANCED_FACE('',(#3222),#33289,.F.); #34719=ADVANCED_FACE('',(#3223),#33290,.F.); #34720=ADVANCED_FACE('',(#3224),#33291,.F.); #34721=ADVANCED_FACE('',(#3225),#33292,.F.); #34722=ADVANCED_FACE('',(#3226),#33293,.F.); #34723=ADVANCED_FACE('',(#3227),#33294,.F.); #34724=ADVANCED_FACE('',(#3228),#1609,.T.); #34725=ADVANCED_FACE('',(#3229),#33295,.T.); #34726=ADVANCED_FACE('',(#3230),#1610,.T.); #34727=ADVANCED_FACE('',(#3231),#33296,.T.); #34728=ADVANCED_FACE('',(#3232),#1611,.T.); #34729=ADVANCED_FACE('',(#3233),#33297,.T.); #34730=ADVANCED_FACE('',(#3234),#1612,.T.); #34731=ADVANCED_FACE('',(#3235),#33298,.T.); #34732=ADVANCED_FACE('',(#3236),#1613,.T.); #34733=ADVANCED_FACE('',(#3237),#33299,.T.); #34734=ADVANCED_FACE('',(#3238),#1614,.T.); #34735=ADVANCED_FACE('',(#3239),#33300,.T.); #34736=ADVANCED_FACE('',(#3240,#585,#586,#587,#588,#589,#590,#591),#1615, .T.); #34737=ADVANCED_FACE('',(#3241,#592,#593,#594,#595,#596,#597),#1616,.F.); #34738=ADVANCED_FACE('',(#3242),#1617,.F.); #34739=ADVANCED_FACE('',(#3243),#1618,.T.); #34740=ADVANCED_FACE('',(#3244),#33301,.T.); #34741=ADVANCED_FACE('',(#3245),#1619,.T.); #34742=ADVANCED_FACE('',(#3246),#33302,.F.); #34743=ADVANCED_FACE('',(#3247),#1620,.T.); #34744=ADVANCED_FACE('',(#3248),#33303,.F.); #34745=ADVANCED_FACE('',(#3249),#1621,.T.); #34746=ADVANCED_FACE('',(#3250),#33304,.T.); #34747=ADVANCED_FACE('',(#3251),#1622,.T.); #34748=ADVANCED_FACE('',(#3252),#33305,.T.); #34749=ADVANCED_FACE('',(#3253),#1623,.T.); #34750=ADVANCED_FACE('',(#3254),#33306,.F.); #34751=ADVANCED_FACE('',(#3255),#1624,.T.); #34752=ADVANCED_FACE('',(#3256),#33307,.F.); #34753=ADVANCED_FACE('',(#3257),#1625,.T.); #34754=ADVANCED_FACE('',(#3258),#33308,.T.); #34755=ADVANCED_FACE('',(#3259),#1626,.T.); #34756=ADVANCED_FACE('',(#3260),#33309,.T.); #34757=ADVANCED_FACE('',(#3261),#1627,.T.); #34758=ADVANCED_FACE('',(#3262),#33310,.F.); #34759=ADVANCED_FACE('',(#3263),#1628,.T.); #34760=ADVANCED_FACE('',(#3264),#33311,.F.); #34761=ADVANCED_FACE('',(#3265),#1629,.T.); #34762=ADVANCED_FACE('',(#3266),#33312,.T.); #34763=ADVANCED_FACE('',(#3267),#1630,.T.); #34764=ADVANCED_FACE('',(#3268),#33313,.T.); #34765=ADVANCED_FACE('',(#3269),#1631,.T.); #34766=ADVANCED_FACE('',(#3270),#33314,.F.); #34767=ADVANCED_FACE('',(#3271),#1632,.T.); #34768=ADVANCED_FACE('',(#3272),#33315,.F.); #34769=ADVANCED_FACE('',(#3273),#1633,.T.); #34770=ADVANCED_FACE('',(#3274),#33316,.T.); #34771=ADVANCED_FACE('',(#3275),#1634,.T.); #34772=ADVANCED_FACE('',(#3276),#33317,.T.); #34773=ADVANCED_FACE('',(#3277),#1635,.T.); #34774=ADVANCED_FACE('',(#3278),#33318,.F.); #34775=ADVANCED_FACE('',(#3279),#1636,.T.); #34776=ADVANCED_FACE('',(#3280),#33319,.F.); #34777=ADVANCED_FACE('',(#3281),#1637,.T.); #34778=ADVANCED_FACE('',(#3282),#33320,.T.); #34779=ADVANCED_FACE('',(#3283),#1638,.T.); #34780=ADVANCED_FACE('',(#3284),#33321,.T.); #34781=ADVANCED_FACE('',(#3285),#1639,.T.); #34782=ADVANCED_FACE('',(#3286),#33322,.F.); #34783=ADVANCED_FACE('',(#3287),#1640,.T.); #34784=ADVANCED_FACE('',(#3288),#33323,.F.); #34785=ADVANCED_FACE('',(#3289),#1641,.T.); #34786=ADVANCED_FACE('',(#3290),#33324,.T.); #34787=ADVANCED_FACE('',(#3291),#1642,.T.); #34788=ADVANCED_FACE('',(#3292),#33325,.T.); #34789=ADVANCED_FACE('',(#3293),#1643,.T.); #34790=ADVANCED_FACE('',(#3294),#33326,.F.); #34791=ADVANCED_FACE('',(#3295),#1644,.T.); #34792=ADVANCED_FACE('',(#3296),#33327,.F.); #34793=ADVANCED_FACE('',(#3297),#1645,.T.); #34794=ADVANCED_FACE('',(#3298),#33328,.T.); #34795=ADVANCED_FACE('',(#3299),#1646,.T.); #34796=ADVANCED_FACE('',(#3300),#33329,.T.); #34797=ADVANCED_FACE('',(#3301),#1647,.T.); #34798=ADVANCED_FACE('',(#3302),#33330,.F.); #34799=ADVANCED_FACE('',(#3303),#1648,.T.); #34800=ADVANCED_FACE('',(#3304),#33331,.F.); #34801=ADVANCED_FACE('',(#3305),#1649,.T.); #34802=ADVANCED_FACE('',(#3306),#33332,.T.); #34803=ADVANCED_FACE('',(#3307),#1650,.T.); #34804=ADVANCED_FACE('',(#3308),#33333,.T.); #34805=ADVANCED_FACE('',(#3309),#1651,.T.); #34806=ADVANCED_FACE('',(#3310),#33334,.F.); #34807=ADVANCED_FACE('',(#3311),#1652,.T.); #34808=ADVANCED_FACE('',(#3312),#33335,.F.); #34809=ADVANCED_FACE('',(#3313),#1653,.T.); #34810=ADVANCED_FACE('',(#3314),#33336,.T.); #34811=ADVANCED_FACE('',(#3315),#1654,.T.); #34812=ADVANCED_FACE('',(#3316),#33337,.T.); #34813=ADVANCED_FACE('',(#3317),#1655,.T.); #34814=ADVANCED_FACE('',(#3318),#33338,.F.); #34815=ADVANCED_FACE('',(#3319),#1656,.T.); #34816=ADVANCED_FACE('',(#3320),#33339,.F.); #34817=ADVANCED_FACE('',(#3321),#1657,.T.); #34818=ADVANCED_FACE('',(#3322),#33340,.T.); #34819=ADVANCED_FACE('',(#3323),#1658,.T.); #34820=ADVANCED_FACE('',(#3324),#33341,.T.); #34821=ADVANCED_FACE('',(#3325),#1659,.T.); #34822=ADVANCED_FACE('',(#3326),#33342,.F.); #34823=ADVANCED_FACE('',(#3327),#1660,.T.); #34824=ADVANCED_FACE('',(#3328),#33343,.F.); #34825=ADVANCED_FACE('',(#3329),#1661,.T.); #34826=ADVANCED_FACE('',(#3330),#33344,.T.); #34827=ADVANCED_FACE('',(#3331),#1662,.T.); #34828=ADVANCED_FACE('',(#3332),#33345,.T.); #34829=ADVANCED_FACE('',(#3333),#1663,.T.); #34830=ADVANCED_FACE('',(#3334),#33346,.F.); #34831=ADVANCED_FACE('',(#3335),#1664,.T.); #34832=ADVANCED_FACE('',(#3336),#33347,.F.); #34833=ADVANCED_FACE('',(#3337),#1665,.T.); #34834=ADVANCED_FACE('',(#3338),#33348,.T.); #34835=ADVANCED_FACE('',(#3339),#1666,.T.); #34836=ADVANCED_FACE('',(#3340),#33349,.T.); #34837=ADVANCED_FACE('',(#3341),#1667,.T.); #34838=ADVANCED_FACE('',(#3342),#33350,.F.); #34839=ADVANCED_FACE('',(#3343),#1668,.T.); #34840=ADVANCED_FACE('',(#3344),#33351,.F.); #34841=ADVANCED_FACE('',(#3345),#1669,.T.); #34842=ADVANCED_FACE('',(#3346),#33352,.T.); #34843=ADVANCED_FACE('',(#3347),#1670,.T.); #34844=ADVANCED_FACE('',(#3348),#33353,.T.); #34845=ADVANCED_FACE('',(#3349),#1671,.T.); #34846=ADVANCED_FACE('',(#3350),#33354,.F.); #34847=ADVANCED_FACE('',(#3351),#1672,.T.); #34848=ADVANCED_FACE('',(#3352),#33355,.F.); #34849=ADVANCED_FACE('',(#3353),#1673,.T.); #34850=ADVANCED_FACE('',(#3354),#33356,.T.); #34851=ADVANCED_FACE('',(#3355),#1674,.T.); #34852=ADVANCED_FACE('',(#3356),#33357,.T.); #34853=ADVANCED_FACE('',(#3357),#1675,.T.); #34854=ADVANCED_FACE('',(#3358),#33358,.F.); #34855=ADVANCED_FACE('',(#3359),#1676,.T.); #34856=ADVANCED_FACE('',(#3360),#33359,.T.); #34857=ADVANCED_FACE('',(#3361),#1677,.T.); #34858=ADVANCED_FACE('',(#3362),#33360,.F.); #34859=ADVANCED_FACE('',(#3363),#1678,.T.); #34860=ADVANCED_FACE('',(#3364),#33361,.T.); #34861=ADVANCED_FACE('',(#3365),#1679,.T.); #34862=ADVANCED_FACE('',(#3366),#33362,.T.); #34863=ADVANCED_FACE('',(#3367),#1680,.T.); #34864=ADVANCED_FACE('',(#3368,#598,#599,#600,#601),#1681,.T.); #34865=ADVANCED_FACE('',(#3369),#1682,.T.); #34866=ADVANCED_FACE('',(#3370),#1683,.T.); #34867=ADVANCED_FACE('',(#3371),#33363,.F.); #34868=ADVANCED_FACE('',(#3372),#33364,.F.); #34869=ADVANCED_FACE('',(#3373),#33365,.F.); #34870=ADVANCED_FACE('',(#3374),#33366,.F.); #34871=ADVANCED_FACE('',(#3375),#33367,.F.); #34872=ADVANCED_FACE('',(#3376),#33368,.F.); #34873=ADVANCED_FACE('',(#3377),#33369,.F.); #34874=ADVANCED_FACE('',(#3378),#33370,.F.); #34875=ADVANCED_FACE('',(#3379),#1684,.T.); #34876=ADVANCED_FACE('',(#3380),#33371,.T.); #34877=ADVANCED_FACE('',(#3381),#1685,.T.); #34878=ADVANCED_FACE('',(#3382),#33372,.T.); #34879=ADVANCED_FACE('',(#3383),#1686,.T.); #34880=ADVANCED_FACE('',(#3384),#33373,.T.); #34881=ADVANCED_FACE('',(#3385),#1687,.T.); #34882=ADVANCED_FACE('',(#3386),#33374,.T.); #34883=ADVANCED_FACE('',(#3387),#33375,.T.); #34884=ADVANCED_FACE('',(#3388),#1688,.T.); #34885=ADVANCED_FACE('',(#3389),#33376,.T.); #34886=ADVANCED_FACE('',(#3390,#602,#603,#604,#605),#1689,.T.); #34887=ADVANCED_FACE('',(#3391,#606,#607,#608,#609,#610,#611,#612,#613), #1690,.F.); #34888=ADVANCED_FACE('',(#3392),#33377,.F.); #34889=ADVANCED_FACE('',(#3393),#1691,.T.); #34890=ADVANCED_FACE('',(#3394),#1692,.T.); #34891=ADVANCED_FACE('',(#3395),#1693,.T.); #34892=ADVANCED_FACE('',(#3396),#1694,.T.); #34893=ADVANCED_FACE('',(#3397),#1695,.T.); #34894=ADVANCED_FACE('',(#3398),#1696,.T.); #34895=ADVANCED_FACE('',(#3399),#1697,.T.); #34896=ADVANCED_FACE('',(#3400),#1698,.T.); #34897=ADVANCED_FACE('',(#3401),#1699,.T.); #34898=ADVANCED_FACE('',(#3402),#1700,.T.); #34899=ADVANCED_FACE('',(#3403),#1701,.T.); #34900=ADVANCED_FACE('',(#3404),#1702,.T.); #34901=ADVANCED_FACE('',(#3405,#614),#1703,.T.); #34902=ADVANCED_FACE('',(#3406,#615),#1704,.F.); #34903=ADVANCED_FACE('',(#3407),#1705,.F.); #34904=ADVANCED_FACE('',(#3408),#1706,.F.); #34905=ADVANCED_FACE('',(#3409),#1707,.F.); #34906=ADVANCED_FACE('',(#3410),#1708,.F.); #34907=ADVANCED_FACE('',(#3411),#33378,.F.); #34908=ADVANCED_FACE('',(#3412),#33379,.F.); #34909=ADVANCED_FACE('',(#3413),#1709,.T.); #34910=ADVANCED_FACE('',(#3414),#1710,.T.); #34911=ADVANCED_FACE('',(#3415),#1711,.T.); #34912=ADVANCED_FACE('',(#3416),#1712,.T.); #34913=ADVANCED_FACE('',(#3417),#1713,.T.); #34914=ADVANCED_FACE('',(#3418),#1714,.T.); #34915=ADVANCED_FACE('',(#3419),#1715,.T.); #34916=ADVANCED_FACE('',(#3420),#1716,.T.); #34917=ADVANCED_FACE('',(#3421),#1717,.T.); #34918=ADVANCED_FACE('',(#3422),#1718,.T.); #34919=ADVANCED_FACE('',(#3423),#1719,.T.); #34920=ADVANCED_FACE('',(#3424),#1720,.T.); #34921=ADVANCED_FACE('',(#3425,#616,#617,#618),#1721,.T.); #34922=ADVANCED_FACE('',(#3426,#619,#620,#621),#1722,.F.); #34923=ADVANCED_FACE('',(#3427),#33380,.F.); #34924=ADVANCED_FACE('',(#3428),#1723,.F.); #34925=ADVANCED_FACE('',(#3429),#1724,.F.); #34926=ADVANCED_FACE('',(#3430),#1725,.F.); #34927=ADVANCED_FACE('',(#3431),#1726,.F.); #34928=ADVANCED_FACE('',(#3432),#1727,.F.); #34929=ADVANCED_FACE('',(#3433),#1728,.F.); #34930=ADVANCED_FACE('',(#3434),#1729,.F.); #34931=ADVANCED_FACE('',(#3435),#1730,.F.); #34932=ADVANCED_FACE('',(#3436),#1731,.F.); #34933=ADVANCED_FACE('',(#3437),#1732,.F.); #34934=ADVANCED_FACE('',(#3438),#1733,.F.); #34935=ADVANCED_FACE('',(#3439),#1734,.F.); #34936=ADVANCED_FACE('',(#3440,#622),#1735,.F.); #34937=ADVANCED_FACE('',(#3441,#623),#1736,.T.); #34938=ADVANCED_FACE('',(#3442),#1737,.F.); #34939=ADVANCED_FACE('',(#3443),#1738,.F.); #34940=ADVANCED_FACE('',(#3444),#1739,.F.); #34941=ADVANCED_FACE('',(#3445),#1740,.F.); #34942=ADVANCED_FACE('',(#3446),#33381,.F.); #34943=ADVANCED_FACE('',(#3447),#33382,.F.); #34944=ADVANCED_FACE('',(#3448),#1741,.F.); #34945=ADVANCED_FACE('',(#3449),#1742,.F.); #34946=ADVANCED_FACE('',(#3450),#1743,.F.); #34947=ADVANCED_FACE('',(#3451),#1744,.F.); #34948=ADVANCED_FACE('',(#3452),#1745,.F.); #34949=ADVANCED_FACE('',(#3453),#1746,.F.); #34950=ADVANCED_FACE('',(#3454),#1747,.F.); #34951=ADVANCED_FACE('',(#3455),#1748,.F.); #34952=ADVANCED_FACE('',(#3456),#1749,.F.); #34953=ADVANCED_FACE('',(#3457),#1750,.F.); #34954=ADVANCED_FACE('',(#3458),#1751,.F.); #34955=ADVANCED_FACE('',(#3459),#1752,.F.); #34956=ADVANCED_FACE('',(#3460,#624,#625,#626),#1753,.F.); #34957=ADVANCED_FACE('',(#3461,#627,#628,#629),#1754,.T.); #34958=ADVANCED_FACE('',(#3462),#33383,.F.); #34959=ADVANCED_FACE('',(#3463),#1755,.T.); #34960=ADVANCED_FACE('',(#3464),#1756,.T.); #34961=ADVANCED_FACE('',(#3465),#1757,.T.); #34962=ADVANCED_FACE('',(#3466),#1758,.T.); #34963=ADVANCED_FACE('',(#3467),#33384,.F.); #34964=ADVANCED_FACE('',(#3468),#1759,.T.); #34965=ADVANCED_FACE('',(#3469),#1760,.T.); #34966=ADVANCED_FACE('',(#3470),#1761,.T.); #34967=ADVANCED_FACE('',(#3471),#1762,.T.); #34968=ADVANCED_FACE('',(#3472),#1763,.T.); #34969=ADVANCED_FACE('',(#3473),#1764,.T.); #34970=ADVANCED_FACE('',(#3474),#1765,.T.); #34971=ADVANCED_FACE('',(#3475),#1766,.T.); #34972=ADVANCED_FACE('',(#3476),#1767,.T.); #34973=ADVANCED_FACE('',(#3477),#1768,.T.); #34974=ADVANCED_FACE('',(#3478),#1769,.T.); #34975=ADVANCED_FACE('',(#3479),#1770,.T.); #34976=ADVANCED_FACE('',(#3480,#630,#631,#632),#1771,.T.); #34977=ADVANCED_FACE('',(#3481,#633,#634,#635),#1772,.F.); #34978=ADVANCED_FACE('',(#3482),#33385,.F.); #34979=ADVANCED_FACE('',(#3483),#33386,.F.); #34980=ADVANCED_FACE('',(#3484),#33387,.F.); #34981=ADVANCED_FACE('',(#3485),#33388,.F.); #34982=ADVANCED_FACE('',(#3486),#33389,.F.); #34983=ADVANCED_FACE('',(#3487),#33390,.F.); #34984=ADVANCED_FACE('',(#3488),#33391,.F.); #34985=ADVANCED_FACE('',(#3489),#33392,.F.); #34986=ADVANCED_FACE('',(#3490),#33393,.F.); #34987=ADVANCED_FACE('',(#3491),#33394,.F.); #34988=ADVANCED_FACE('',(#3492),#33395,.F.); #34989=ADVANCED_FACE('',(#3493),#33396,.F.); #34990=ADVANCED_FACE('',(#3494),#33397,.F.); #34991=ADVANCED_FACE('',(#3495),#1773,.T.); #34992=ADVANCED_FACE('',(#3496),#1774,.T.); #34993=ADVANCED_FACE('',(#3497),#1775,.T.); #34994=ADVANCED_FACE('',(#3498),#1776,.T.); #34995=ADVANCED_FACE('',(#3499),#1777,.T.); #34996=ADVANCED_FACE('',(#3500),#1778,.T.); #34997=ADVANCED_FACE('',(#3501),#1779,.T.); #34998=ADVANCED_FACE('',(#3502),#1780,.T.); #34999=ADVANCED_FACE('',(#3503),#1781,.T.); #35000=ADVANCED_FACE('',(#3504),#1782,.T.); #35001=ADVANCED_FACE('',(#3505),#1783,.T.); #35002=ADVANCED_FACE('',(#3506),#1784,.T.); #35003=ADVANCED_FACE('',(#3507),#1785,.T.); #35004=ADVANCED_FACE('',(#3508),#1786,.T.); #35005=ADVANCED_FACE('',(#3509),#1787,.T.); #35006=ADVANCED_FACE('',(#3510),#1788,.T.); #35007=ADVANCED_FACE('',(#3511,#636,#637,#638,#639,#640,#641,#642,#643, #644,#645,#646,#647,#648),#1789,.T.); #35008=ADVANCED_FACE('',(#3512,#649,#650,#651,#652,#653,#654,#655,#656, #657,#658,#659,#660,#661),#1790,.F.); #35009=ADVANCED_FACE('',(#3513),#33398,.F.); #35010=ADVANCED_FACE('',(#3514),#33399,.F.); #35011=ADVANCED_FACE('',(#3515),#33400,.F.); #35012=ADVANCED_FACE('',(#3516),#33401,.F.); #35013=ADVANCED_FACE('',(#3517),#33402,.F.); #35014=ADVANCED_FACE('',(#3518),#33403,.F.); #35015=ADVANCED_FACE('',(#3519),#33404,.F.); #35016=ADVANCED_FACE('',(#3520),#33405,.F.); #35017=ADVANCED_FACE('',(#3521),#33406,.F.); #35018=ADVANCED_FACE('',(#3522),#33407,.F.); #35019=ADVANCED_FACE('',(#3523),#33408,.F.); #35020=ADVANCED_FACE('',(#3524),#33409,.F.); #35021=ADVANCED_FACE('',(#3525),#33410,.F.); #35022=ADVANCED_FACE('',(#3526),#1791,.F.); #35023=ADVANCED_FACE('',(#3527),#1792,.F.); #35024=ADVANCED_FACE('',(#3528),#1793,.F.); #35025=ADVANCED_FACE('',(#3529),#1794,.F.); #35026=ADVANCED_FACE('',(#3530),#1795,.F.); #35027=ADVANCED_FACE('',(#3531),#1796,.F.); #35028=ADVANCED_FACE('',(#3532),#1797,.F.); #35029=ADVANCED_FACE('',(#3533),#1798,.F.); #35030=ADVANCED_FACE('',(#3534),#1799,.F.); #35031=ADVANCED_FACE('',(#3535),#1800,.F.); #35032=ADVANCED_FACE('',(#3536),#1801,.F.); #35033=ADVANCED_FACE('',(#3537),#1802,.F.); #35034=ADVANCED_FACE('',(#3538),#1803,.F.); #35035=ADVANCED_FACE('',(#3539),#1804,.F.); #35036=ADVANCED_FACE('',(#3540),#1805,.F.); #35037=ADVANCED_FACE('',(#3541),#1806,.F.); #35038=ADVANCED_FACE('',(#3542,#662,#663,#664,#665,#666,#667,#668,#669, #670,#671,#672,#673,#674),#1807,.F.); #35039=ADVANCED_FACE('',(#3543,#675,#676,#677,#678,#679,#680,#681,#682, #683,#684,#685,#686,#687),#1808,.T.); #35040=ADVANCED_FACE('',(#3544),#33411,.F.); #35041=ADVANCED_FACE('',(#3545),#33412,.F.); #35042=ADVANCED_FACE('',(#3546),#33413,.F.); #35043=ADVANCED_FACE('',(#3547),#33414,.F.); #35044=ADVANCED_FACE('',(#3548),#33415,.F.); #35045=ADVANCED_FACE('',(#3549),#33416,.F.); #35046=ADVANCED_FACE('',(#3550),#33417,.F.); #35047=ADVANCED_FACE('',(#3551),#33418,.F.); #35048=ADVANCED_FACE('',(#3552),#33419,.F.); #35049=ADVANCED_FACE('',(#3553),#33420,.F.); #35050=ADVANCED_FACE('',(#3554),#33421,.F.); #35051=ADVANCED_FACE('',(#3555),#1809,.T.); #35052=ADVANCED_FACE('',(#3556),#1810,.T.); #35053=ADVANCED_FACE('',(#3557),#33422,.T.); #35054=ADVANCED_FACE('',(#3558),#1811,.T.); #35055=ADVANCED_FACE('',(#3559),#33423,.T.); #35056=ADVANCED_FACE('',(#3560),#1812,.T.); #35057=ADVANCED_FACE('',(#3561,#688,#689,#690,#691,#692,#693,#694,#695, #696,#697,#698),#1813,.T.); #35058=ADVANCED_FACE('',(#3562,#699,#700,#701,#702,#703,#704,#705,#706, #707,#708,#709),#1814,.F.); #35059=ADVANCED_FACE('',(#3563),#1815,.T.); #35060=ADVANCED_FACE('',(#3564),#1816,.T.); #35061=ADVANCED_FACE('',(#3565),#1817,.T.); #35062=ADVANCED_FACE('',(#3566),#1818,.T.); #35063=ADVANCED_FACE('',(#3567),#1819,.T.); #35064=ADVANCED_FACE('',(#3568),#1820,.F.); #35065=ADVANCED_FACE('',(#3569),#1821,.T.); #35066=ADVANCED_FACE('',(#3570),#1822,.T.); #35067=ADVANCED_FACE('',(#3571),#1823,.T.); #35068=ADVANCED_FACE('',(#3572),#1824,.T.); #35069=ADVANCED_FACE('',(#3573),#33424,.F.); #35070=ADVANCED_FACE('',(#3574),#1825,.T.); #35071=ADVANCED_FACE('',(#3575),#1826,.T.); #35072=ADVANCED_FACE('',(#3576),#1827,.T.); #35073=ADVANCED_FACE('',(#3577),#1828,.T.); #35074=ADVANCED_FACE('',(#3578),#1829,.T.); #35075=ADVANCED_FACE('',(#3579),#1830,.T.); #35076=ADVANCED_FACE('',(#3580),#1831,.T.); #35077=ADVANCED_FACE('',(#3581),#1832,.T.); #35078=ADVANCED_FACE('',(#3582),#1833,.T.); #35079=ADVANCED_FACE('',(#3583),#1834,.T.); #35080=ADVANCED_FACE('',(#3584),#1835,.T.); #35081=ADVANCED_FACE('',(#3585),#1836,.T.); #35082=ADVANCED_FACE('',(#3586),#1837,.T.); #35083=ADVANCED_FACE('',(#3587),#1838,.T.); #35084=ADVANCED_FACE('',(#3588),#1839,.T.); #35085=ADVANCED_FACE('',(#3589),#1840,.T.); #35086=ADVANCED_FACE('',(#3590),#1841,.T.); #35087=ADVANCED_FACE('',(#3591),#1842,.T.); #35088=ADVANCED_FACE('',(#3592),#1843,.T.); #35089=ADVANCED_FACE('',(#3593),#1844,.T.); #35090=ADVANCED_FACE('',(#3594),#1845,.T.); #35091=ADVANCED_FACE('',(#3595),#1846,.T.); #35092=ADVANCED_FACE('',(#3596),#1847,.T.); #35093=ADVANCED_FACE('',(#3597),#1848,.T.); #35094=ADVANCED_FACE('',(#3598),#1849,.T.); #35095=ADVANCED_FACE('',(#3599),#1850,.T.); #35096=ADVANCED_FACE('',(#3600),#1851,.T.); #35097=ADVANCED_FACE('',(#3601),#1852,.T.); #35098=ADVANCED_FACE('',(#3602),#1853,.T.); #35099=ADVANCED_FACE('',(#3603),#1854,.T.); #35100=ADVANCED_FACE('',(#3604),#1855,.T.); #35101=ADVANCED_FACE('',(#3605),#1856,.T.); #35102=ADVANCED_FACE('',(#3606),#1857,.T.); #35103=ADVANCED_FACE('',(#3607),#1858,.T.); #35104=ADVANCED_FACE('',(#3608),#1859,.T.); #35105=ADVANCED_FACE('',(#3609),#1860,.T.); #35106=ADVANCED_FACE('',(#3610),#1861,.T.); #35107=ADVANCED_FACE('',(#3611),#1862,.T.); #35108=ADVANCED_FACE('',(#3612),#1863,.T.); #35109=ADVANCED_FACE('',(#3613),#1864,.T.); #35110=ADVANCED_FACE('',(#3614),#1865,.T.); #35111=ADVANCED_FACE('',(#3615),#1866,.T.); #35112=ADVANCED_FACE('',(#3616),#1867,.T.); #35113=ADVANCED_FACE('',(#3617),#1868,.T.); #35114=ADVANCED_FACE('',(#3618,#710,#711,#712,#713),#1869,.T.); #35115=ADVANCED_FACE('',(#3619,#714,#715,#716,#717),#1870,.F.); #35116=ADVANCED_FACE('',(#3620),#1871,.T.); #35117=ADVANCED_FACE('',(#3621),#1872,.T.); #35118=ADVANCED_FACE('',(#3622),#1873,.T.); #35119=ADVANCED_FACE('',(#3623),#1874,.T.); #35120=ADVANCED_FACE('',(#3624),#1875,.T.); #35121=ADVANCED_FACE('',(#3625),#1876,.T.); #35122=ADVANCED_FACE('',(#3626),#1877,.T.); #35123=ADVANCED_FACE('',(#3627),#1878,.T.); #35124=ADVANCED_FACE('',(#3628),#1879,.T.); #35125=ADVANCED_FACE('',(#3629),#33425,.F.); #35126=ADVANCED_FACE('',(#3630),#1880,.T.); #35127=ADVANCED_FACE('',(#3631),#33426,.F.); #35128=ADVANCED_FACE('',(#3632),#1881,.T.); #35129=ADVANCED_FACE('',(#3633),#33427,.T.); #35130=ADVANCED_FACE('',(#3634),#1882,.T.); #35131=ADVANCED_FACE('',(#3635),#1883,.T.); #35132=ADVANCED_FACE('',(#3636),#1884,.T.); #35133=ADVANCED_FACE('',(#3637),#1885,.T.); #35134=ADVANCED_FACE('',(#3638),#33428,.T.); #35135=ADVANCED_FACE('',(#3639),#1886,.T.); #35136=ADVANCED_FACE('',(#3640),#33429,.T.); #35137=ADVANCED_FACE('',(#3641),#1887,.T.); #35138=ADVANCED_FACE('',(#3642),#1888,.T.); #35139=ADVANCED_FACE('',(#3643),#1889,.T.); #35140=ADVANCED_FACE('',(#3644),#1890,.T.); #35141=ADVANCED_FACE('',(#3645),#33430,.T.); #35142=ADVANCED_FACE('',(#3646,#718,#719),#1891,.T.); #35143=ADVANCED_FACE('',(#3647,#720,#721),#1892,.F.); #35144=ADVANCED_FACE('',(#3648),#33431,.F.); #35145=ADVANCED_FACE('',(#3649),#1893,.T.); #35146=ADVANCED_FACE('',(#3650),#1894,.T.); #35147=ADVANCED_FACE('',(#3651),#1895,.T.); #35148=ADVANCED_FACE('',(#3652),#1896,.T.); #35149=ADVANCED_FACE('',(#3653),#1897,.T.); #35150=ADVANCED_FACE('',(#3654),#1898,.T.); #35151=ADVANCED_FACE('',(#3655),#1899,.T.); #35152=ADVANCED_FACE('',(#3656),#1900,.T.); #35153=ADVANCED_FACE('',(#3657),#1901,.T.); #35154=ADVANCED_FACE('',(#3658),#1902,.T.); #35155=ADVANCED_FACE('',(#3659),#1903,.T.); #35156=ADVANCED_FACE('',(#3660),#1904,.T.); #35157=ADVANCED_FACE('',(#3661,#722),#1905,.T.); #35158=ADVANCED_FACE('',(#3662,#723),#1906,.F.); #35159=ADVANCED_FACE('',(#3663),#1907,.T.); #35160=ADVANCED_FACE('',(#3664),#1908,.T.); #35161=ADVANCED_FACE('',(#3665),#1909,.T.); #35162=ADVANCED_FACE('',(#3666),#1910,.T.); #35163=ADVANCED_FACE('',(#3667),#1911,.T.); #35164=ADVANCED_FACE('',(#3668),#1912,.T.); #35165=ADVANCED_FACE('',(#3669),#1913,.T.); #35166=ADVANCED_FACE('',(#3670),#1914,.T.); #35167=ADVANCED_FACE('',(#3671),#1915,.T.); #35168=ADVANCED_FACE('',(#3672),#33432,.F.); #35169=ADVANCED_FACE('',(#3673),#1916,.T.); #35170=ADVANCED_FACE('',(#3674),#33433,.F.); #35171=ADVANCED_FACE('',(#3675),#1917,.T.); #35172=ADVANCED_FACE('',(#3676),#33434,.T.); #35173=ADVANCED_FACE('',(#3677),#1918,.T.); #35174=ADVANCED_FACE('',(#3678),#1919,.T.); #35175=ADVANCED_FACE('',(#3679),#1920,.T.); #35176=ADVANCED_FACE('',(#3680),#1921,.T.); #35177=ADVANCED_FACE('',(#3681),#33435,.T.); #35178=ADVANCED_FACE('',(#3682),#1922,.T.); #35179=ADVANCED_FACE('',(#3683),#33436,.T.); #35180=ADVANCED_FACE('',(#3684),#1923,.T.); #35181=ADVANCED_FACE('',(#3685),#1924,.T.); #35182=ADVANCED_FACE('',(#3686),#1925,.T.); #35183=ADVANCED_FACE('',(#3687),#1926,.T.); #35184=ADVANCED_FACE('',(#3688),#33437,.T.); #35185=ADVANCED_FACE('',(#3689,#724,#725),#1927,.T.); #35186=ADVANCED_FACE('',(#3690,#726,#727),#1928,.F.); #35187=ADVANCED_FACE('',(#3691),#33438,.F.); #35188=ADVANCED_FACE('',(#3692),#1929,.T.); #35189=ADVANCED_FACE('',(#3693),#1930,.T.); #35190=ADVANCED_FACE('',(#3694),#1931,.T.); #35191=ADVANCED_FACE('',(#3695),#1932,.T.); #35192=ADVANCED_FACE('',(#3696),#1933,.T.); #35193=ADVANCED_FACE('',(#3697),#1934,.T.); #35194=ADVANCED_FACE('',(#3698),#1935,.T.); #35195=ADVANCED_FACE('',(#3699),#1936,.T.); #35196=ADVANCED_FACE('',(#3700),#1937,.T.); #35197=ADVANCED_FACE('',(#3701),#1938,.T.); #35198=ADVANCED_FACE('',(#3702),#1939,.T.); #35199=ADVANCED_FACE('',(#3703),#1940,.T.); #35200=ADVANCED_FACE('',(#3704,#728),#1941,.T.); #35201=ADVANCED_FACE('',(#3705,#729),#1942,.F.); #35202=CLOSED_SHELL('',(#33439,#33440,#33441,#33442,#33443,#33444,#33445, #33446,#33447,#33448,#33449)); #35203=CLOSED_SHELL('',(#33450,#33451,#33452,#33453,#33454,#33455,#33456, #33457,#33458,#33459,#33460)); #35204=CLOSED_SHELL('',(#33461,#33462,#33463,#33464)); #35205=CLOSED_SHELL('',(#33465,#33466,#33467,#33468,#33469,#33470,#33471, #33472,#33473,#33474,#33475,#33476,#33477,#33478,#33479,#33480,#33481,#33482, #33483,#33484,#33485,#33486,#33487,#33488,#33489,#33490,#33491,#33492,#33493, #33494,#33495,#33496,#33497,#33498,#33499,#33500,#33501)); #35206=CLOSED_SHELL('',(#33502,#33503,#33504,#33505,#33506,#33507,#33508, #33509,#33510,#33511,#33512,#33513,#33514,#33515,#33516,#33517,#33518,#33519, #33520,#33521)); #35207=CLOSED_SHELL('',(#33522,#33523,#33524,#33525,#33526,#33527,#33528, #33529,#33530,#33531,#33532,#33533,#33534,#33535,#33536,#33537,#33538,#33539, #33540,#33541,#33542,#33543,#33544,#33545,#33546,#33547,#33548,#33549,#33550, #33551,#33552,#33553,#33554,#33555,#33556,#33557,#33558,#33559)); #35208=CLOSED_SHELL('',(#33560,#33561,#33562,#33563,#33564,#33565,#33566, #33567,#33568,#33569,#33570,#33571,#33572,#33573,#33574,#33575,#33576,#33577, #33578,#33579,#33580,#33581,#33582,#33583,#33584,#33585,#33586,#33587,#33588, #33589,#33590,#33591,#33592,#33593,#33594,#33595)); #35209=CLOSED_SHELL('',(#33596,#33597,#33598,#33599,#33600,#33601,#33602, #33603,#33604,#33605,#33606)); #35210=CLOSED_SHELL('',(#33607,#33608,#33609,#33610,#33611,#33612,#33613, #33614,#33615,#33616,#33617)); #35211=CLOSED_SHELL('',(#33618,#33619,#33620,#33621)); #35212=CLOSED_SHELL('',(#33622,#33623,#33624,#33625,#33626,#33627,#33628, #33629,#33630,#33631,#33632,#33633,#33634,#33635,#33636,#33637,#33638,#33639, #33640,#33641,#33642,#33643,#33644,#33645,#33646,#33647,#33648,#33649,#33650, #33651,#33652,#33653,#33654,#33655,#33656,#33657,#33658)); #35213=CLOSED_SHELL('',(#33659,#33660,#33661,#33662,#33663,#33664,#33665, #33666,#33667,#33668,#33669,#33670,#33671,#33672,#33673,#33674,#33675,#33676, #33677,#33678)); #35214=CLOSED_SHELL('',(#33679,#33680,#33681,#33682,#33683,#33684,#33685, #33686,#33687,#33688,#33689,#33690,#33691,#33692,#33693,#33694,#33695,#33696, #33697,#33698,#33699,#33700,#33701,#33702,#33703,#33704,#33705,#33706,#33707, #33708,#33709,#33710,#33711,#33712,#33713,#33714,#33715,#33716)); #35215=CLOSED_SHELL('',(#33717,#33718,#33719,#33720,#33721,#33722,#33723, #33724,#33725,#33726,#33727,#33728,#33729,#33730,#33731,#33732)); #35216=CLOSED_SHELL('',(#33733)); #35217=CLOSED_SHELL('',(#33734,#33735,#33736,#33737,#33738,#33739,#33740, #33741,#33742,#33743,#33744,#33745,#33746)); #35218=CLOSED_SHELL('',(#33747,#33748,#33749,#33750,#33751,#33752,#33753, #33754,#33755,#33756,#33757,#33758,#33759)); #35219=CLOSED_SHELL('',(#33760,#33761,#33762,#33763,#33764,#33765,#33766, #33767,#33768,#33769,#33770,#33771,#33772,#33773,#33774)); #35220=CLOSED_SHELL('',(#33775,#33776,#33777,#33778,#33779,#33780,#33781, #33782,#33783,#33784,#33785,#33786,#33787,#33788,#33789)); #35221=CLOSED_SHELL('',(#33790,#33791,#33792,#33793,#33794,#33795,#33796, #33797,#33798,#33799,#33800,#33801,#33802)); #35222=CLOSED_SHELL('',(#33803,#33804,#33805,#33806,#33807,#33808,#33809, #33810,#33811,#33812,#33813,#33814,#33815)); #35223=CLOSED_SHELL('',(#33816,#33817,#33818,#33819,#33820,#33821,#33822, #33823,#33824,#33825,#33826,#33827,#33828,#33829,#33830)); #35224=CLOSED_SHELL('',(#33831,#33832,#33833,#33834,#33835,#33836,#33837, #33838,#33839,#33840,#33841,#33842,#33843,#33844,#33845)); #35225=CLOSED_SHELL('',(#33846,#33847,#33848,#33849,#33850,#33851,#33852, #33853,#33854,#33855,#33856,#33857,#33858,#33859,#33860,#33861,#33862,#33863, #33864,#33865,#33866,#33867,#33868,#33869,#33870,#33871,#33872,#33873,#33874, #33875,#33876,#33877,#33878,#33879,#33880,#33881,#33882,#33883,#33884,#33885, #33886,#33887,#33888,#33889,#33890,#33891,#33892,#33893,#33894,#33895,#33896, #33897,#33898,#33899,#33900,#33901,#33902,#33903,#33904,#33905,#33906,#33907, #33908,#33909,#33910,#33911,#33912,#33913,#33914,#33915,#33916,#33917,#33918, #33919,#33920,#33921,#33922,#33923,#33924,#33925,#33926,#33927,#33928,#33929, #33930,#33931,#33932,#33933,#33934,#33935,#33936,#33937,#33938,#33939,#33940, #33941,#33942,#33943,#33944,#33945,#33946,#33947,#33948,#33949,#33950,#33951, #33952,#33953,#33954,#33955,#33956,#33957,#33958,#33959,#33960,#33961,#33962, #33963,#33964,#33965,#33966,#33967,#33968,#33969,#33970,#33971,#33972,#33973, #33974,#33975,#33976,#33977,#33978,#33979,#33980,#33981,#33982,#33983,#33984, #33985,#33986,#33987,#33988)); #35226=CLOSED_SHELL('',(#33989,#33990,#33991,#33992,#33993,#33994,#33995, #33996,#33997,#33998,#33999,#34000,#34001)); #35227=CLOSED_SHELL('',(#34002,#34003,#34004,#34005,#34006,#34007,#34008, #34009,#34010,#34011,#34012,#34013,#34014,#34015,#34016,#34017)); #35228=CLOSED_SHELL('',(#34018,#34019,#34020,#34021,#34022,#34023,#34024, #34025,#34026,#34027,#34028,#34029,#34030,#34031,#34032,#34033)); #35229=CLOSED_SHELL('',(#34034,#34035,#34036,#34037,#34038,#34039,#34040, #34041,#34042,#34043,#34044,#34045,#34046,#34047,#34048,#34049)); #35230=CLOSED_SHELL('',(#34050,#34051,#34052,#34053,#34054,#34055,#34056, #34057,#34058,#34059,#34060,#34061,#34062,#34063,#34064,#34065)); #35231=CLOSED_SHELL('',(#34066,#34067,#34068,#34069,#34070,#34071,#34072, #34073,#34074,#34075,#34076,#34077,#34078,#34079,#34080,#34081)); #35232=CLOSED_SHELL('',(#34082,#34083,#34084,#34085,#34086,#34087,#34088, #34089,#34090,#34091,#34092,#34093,#34094,#34095,#34096,#34097)); #35233=CLOSED_SHELL('',(#34098,#34099,#34100,#34101,#34102,#34103,#34104, #34105,#34106,#34107,#34108,#34109,#34110,#34111,#34112,#34113,#34114,#34115, #34116,#34117,#34118,#34119,#34120,#34121,#34122,#34123,#34124,#34125,#34126, #34127,#34128,#34129,#34130,#34131,#34132,#34133,#34134,#34135,#34136,#34137, #34138,#34139,#34140,#34141,#34142,#34143,#34144,#34145,#34146,#34147,#34148, #34149,#34150,#34151,#34152,#34153,#34154,#34155,#34156,#34157,#34158,#34159, #34160,#34161,#34162,#34163,#34164,#34165,#34166,#34167,#34168,#34169,#34170, #34171,#34172,#34173,#34174,#34175,#34176,#34177,#34178,#34179,#34180,#34181, #34182,#34183,#34184,#34185,#34186,#34187,#34188,#34189,#34190,#34191,#34192, #34193,#34194,#34195,#34196,#34197,#34198,#34199,#34200,#34201,#34202,#34203, #34204,#34205,#34206,#34207,#34208,#34209,#34210,#34211,#34212,#34213,#34214, #34215,#34216,#34217,#34218,#34219,#34220,#34221,#34222,#34223,#34224,#34225, #34226,#34227,#34228,#34229,#34230,#34231,#34232,#34233,#34234,#34235,#34236, #34237,#34238,#34239,#34240,#34241,#34242,#34243,#34244,#34245,#34246,#34247, #34248,#34249,#34250,#34251,#34252,#34253,#34254,#34255,#34256,#34257,#34258, #34259,#34260,#34261)); #35234=CLOSED_SHELL('',(#34262,#34263,#34264,#34265,#34266,#34267,#34268, #34269,#34270,#34271,#34272,#34273,#34274,#34275,#34276,#34277,#34278,#34279, #34280,#34281,#34282,#34283,#34284,#34285,#34286,#34287,#34288,#34289,#34290, #34291,#34292,#34293,#34294,#34295,#34296,#34297,#34298,#34299,#34300,#34301, #34302,#34303,#34304,#34305,#34306,#34307,#34308,#34309,#34310,#34311,#34312, #34313,#34314,#34315,#34316,#34317,#34318,#34319,#34320,#34321,#34322,#34323, #34324,#34325,#34326,#34327,#34328,#34329,#34330,#34331,#34332,#34333,#34334, #34335,#34336,#34337,#34338,#34339,#34340,#34341,#34342,#34343,#34344,#34345, #34346,#34347,#34348,#34349,#34350,#34351,#34352,#34353,#34354,#34355,#34356, #34357,#34358,#34359,#34360,#34361,#34362,#34363,#34364,#34365,#34366,#34367, #34368,#34369,#34370,#34371,#34372,#34373,#34374,#34375,#34376,#34377,#34378, #34379,#34380,#34381,#34382,#34383,#34384,#34385,#34386,#34387,#34388,#34389, #34390,#34391,#34392,#34393,#34394,#34395,#34396,#34397,#34398,#34399,#34400, #34401,#34402,#34403,#34404,#34405,#34406,#34407,#34408,#34409,#34410,#34411, #34412,#34413,#34414,#34415,#34416,#34417,#34418,#34419,#34420,#34421,#34422, #34423,#34424,#34425)); #35235=CLOSED_SHELL('',(#34426,#34427,#34428,#34429,#34430,#34431,#34432, #34433,#34434,#34435,#34436,#34437,#34438,#34439,#34440,#34441,#34442,#34443, #34444,#34445,#34446,#34447,#34448,#34449,#34450,#34451,#34452,#34453,#34454, #34455,#34456,#34457,#34458,#34459,#34460,#34461,#34462,#34463,#34464,#34465, #34466,#34467,#34468,#34469,#34470,#34471,#34472,#34473,#34474,#34475,#34476, #34477,#34478,#34479,#34480,#34481,#34482,#34483,#34484,#34485,#34486,#34487, #34488,#34489,#34490,#34491,#34492,#34493,#34494,#34495,#34496,#34497,#34498, #34499,#34500,#34501,#34502,#34503,#34504,#34505,#34506,#34507,#34508,#34509, #34510,#34511,#34512,#34513,#34514,#34515,#34516,#34517,#34518,#34519,#34520, #34521,#34522,#34523,#34524,#34525,#34526,#34527,#34528,#34529,#34530,#34531, #34532,#34533,#34534,#34535,#34536,#34537,#34538,#34539,#34540,#34541,#34542, #34543,#34544,#34545,#34546,#34547,#34548,#34549,#34550,#34551,#34552,#34553, #34554,#34555,#34556,#34557,#34558,#34559,#34560,#34561,#34562,#34563,#34564, #34565,#34566,#34567,#34568,#34569,#34570,#34571,#34572,#34573,#34574,#34575, #34576,#34577,#34578,#34579,#34580,#34581,#34582,#34583,#34584,#34585,#34586, #34587,#34588,#34589,#34590,#34591,#34592,#34593,#34594,#34595,#34596,#34597, #34598,#34599,#34600,#34601,#34602,#34603,#34604,#34605,#34606,#34607,#34608, #34609,#34610,#34611,#34612,#34613,#34614,#34615,#34616,#34617,#34618,#34619, #34620,#34621,#34622,#34623,#34624,#34625,#34626,#34627,#34628,#34629,#34630, #34631,#34632,#34633,#34634,#34635,#34636,#34637,#34638,#34639,#34640,#34641, #34642,#34643,#34644,#34645,#34646,#34647,#34648,#34649,#34650,#34651,#34652, #34653,#34654,#34655,#34656,#34657,#34658,#34659,#34660,#34661,#34662,#34663, #34664,#34665,#34666,#34667,#34668,#34669,#34670,#34671,#34672,#34673)); #35236=CLOSED_SHELL('',(#34674,#34675,#34676,#34677,#34678,#34679,#34680, #34681,#34682,#34683,#34684,#34685,#34686,#34687,#34688,#34689,#34690,#34691, #34692,#34693,#34694,#34695,#34696,#34697,#34698,#34699,#34700,#34701,#34702, #34703,#34704,#34705,#34706,#34707,#34708,#34709,#34710,#34711,#34712,#34713, #34714,#34715,#34716,#34717,#34718,#34719,#34720,#34721,#34722,#34723,#34724, #34725,#34726,#34727,#34728,#34729,#34730,#34731,#34732,#34733,#34734,#34735, #34736,#34737)); #35237=CLOSED_SHELL('',(#34738,#34739,#34740,#34741,#34742,#34743,#34744, #34745,#34746,#34747,#34748,#34749,#34750,#34751,#34752,#34753,#34754,#34755, #34756,#34757,#34758,#34759,#34760,#34761,#34762,#34763,#34764,#34765,#34766, #34767,#34768,#34769,#34770,#34771,#34772,#34773,#34774,#34775,#34776,#34777, #34778,#34779,#34780,#34781,#34782,#34783,#34784,#34785,#34786,#34787,#34788, #34789,#34790,#34791,#34792,#34793,#34794,#34795,#34796,#34797,#34798,#34799, #34800,#34801,#34802,#34803,#34804,#34805,#34806,#34807,#34808,#34809,#34810, #34811,#34812,#34813,#34814,#34815,#34816,#34817,#34818,#34819,#34820,#34821, #34822,#34823,#34824,#34825,#34826,#34827,#34828,#34829,#34830,#34831,#34832, #34833,#34834,#34835,#34836,#34837,#34838,#34839,#34840,#34841,#34842,#34843, #34844,#34845,#34846,#34847,#34848,#34849,#34850,#34851,#34852,#34853,#34854, #34855,#34856,#34857,#34858,#34859,#34860,#34861,#34862,#34863,#34864,#34865, #34866,#34867,#34868,#34869,#34870,#34871,#34872,#34873,#34874,#34875,#34876, #34877,#34878,#34879,#34880,#34881,#34882,#34883,#34884,#34885,#34886,#34887)); #35238=CLOSED_SHELL('',(#34888,#34889,#34890,#34891,#34892,#34893,#34894, #34895,#34896,#34897,#34898,#34899,#34900,#34901,#34902)); #35239=CLOSED_SHELL('',(#34903,#34904,#34905,#34906,#34907,#34908,#34909, #34910,#34911,#34912,#34913,#34914,#34915,#34916,#34917,#34918,#34919,#34920, #34921,#34922)); #35240=CLOSED_SHELL('',(#34923,#34924,#34925,#34926,#34927,#34928,#34929, #34930,#34931,#34932,#34933,#34934,#34935,#34936,#34937)); #35241=CLOSED_SHELL('',(#34938,#34939,#34940,#34941,#34942,#34943,#34944, #34945,#34946,#34947,#34948,#34949,#34950,#34951,#34952,#34953,#34954,#34955, #34956,#34957)); #35242=CLOSED_SHELL('',(#34958,#34959,#34960,#34961,#34962,#34963,#34964, #34965,#34966,#34967,#34968,#34969,#34970,#34971,#34972,#34973,#34974,#34975, #34976,#34977)); #35243=CLOSED_SHELL('',(#34978,#34979,#34980,#34981,#34982,#34983,#34984, #34985,#34986,#34987,#34988,#34989,#34990,#34991,#34992,#34993,#34994,#34995, #34996,#34997,#34998,#34999,#35000,#35001,#35002,#35003,#35004,#35005,#35006, #35007,#35008)); #35244=CLOSED_SHELL('',(#35009,#35010,#35011,#35012,#35013,#35014,#35015, #35016,#35017,#35018,#35019,#35020,#35021,#35022,#35023,#35024,#35025,#35026, #35027,#35028,#35029,#35030,#35031,#35032,#35033,#35034,#35035,#35036,#35037, #35038,#35039)); #35245=CLOSED_SHELL('',(#35040,#35041,#35042,#35043,#35044,#35045,#35046, #35047,#35048,#35049,#35050,#35051,#35052,#35053,#35054,#35055,#35056,#35057, #35058)); #35246=CLOSED_SHELL('',(#35059,#35060,#35061,#35062,#35063,#35064)); #35247=CLOSED_SHELL('',(#35065,#35066,#35067,#35068,#35069,#35070,#35071, #35072,#35073,#35074,#35075,#35076,#35077,#35078,#35079,#35080,#35081,#35082, #35083,#35084,#35085,#35086,#35087,#35088,#35089,#35090,#35091,#35092,#35093, #35094,#35095,#35096,#35097,#35098,#35099,#35100,#35101,#35102,#35103,#35104, #35105,#35106,#35107,#35108,#35109,#35110,#35111,#35112,#35113,#35114,#35115)); #35248=CLOSED_SHELL('',(#35116,#35117,#35118,#35119,#35120,#35121,#35122, #35123,#35124,#35125,#35126,#35127,#35128,#35129,#35130,#35131,#35132,#35133, #35134,#35135,#35136,#35137,#35138,#35139,#35140,#35141,#35142,#35143)); #35249=CLOSED_SHELL('',(#35144,#35145,#35146,#35147,#35148,#35149,#35150, #35151,#35152,#35153,#35154,#35155,#35156,#35157,#35158)); #35250=CLOSED_SHELL('',(#35159,#35160,#35161,#35162,#35163,#35164,#35165, #35166,#35167,#35168,#35169,#35170,#35171,#35172,#35173,#35174,#35175,#35176, #35177,#35178,#35179,#35180,#35181,#35182,#35183,#35184,#35185,#35186)); #35251=CLOSED_SHELL('',(#35187,#35188,#35189,#35190,#35191,#35192,#35193, #35194,#35195,#35196,#35197,#35198,#35199,#35200,#35201)); #35252=DERIVED_UNIT_ELEMENT(#35280,1.); #35253=DERIVED_UNIT_ELEMENT(#58114,-3.); #35254=DERIVED_UNIT_ELEMENT(#35280,1.); #35255=DERIVED_UNIT_ELEMENT(#58114,-3.); #35256=DERIVED_UNIT_ELEMENT(#35280,1.); #35257=DERIVED_UNIT_ELEMENT(#58114,-3.); #35258=DERIVED_UNIT_ELEMENT(#35280,1.); #35259=DERIVED_UNIT_ELEMENT(#58114,-3.); #35260=DERIVED_UNIT_ELEMENT(#35280,1.); #35261=DERIVED_UNIT_ELEMENT(#58114,-3.); #35262=DERIVED_UNIT_ELEMENT(#35280,1.); #35263=DERIVED_UNIT_ELEMENT(#58114,-3.); #35264=DERIVED_UNIT_ELEMENT(#35280,1.); #35265=DERIVED_UNIT_ELEMENT(#58114,-3.); #35266=DERIVED_UNIT_ELEMENT(#35280,1.); #35267=DERIVED_UNIT_ELEMENT(#58114,-3.); #35268=DERIVED_UNIT_ELEMENT(#35280,1.); #35269=DERIVED_UNIT_ELEMENT(#58114,-3.); #35270=DERIVED_UNIT_ELEMENT(#35280,1.); #35271=DERIVED_UNIT_ELEMENT(#58114,-3.); #35272=DERIVED_UNIT_ELEMENT(#35280,1.); #35273=DERIVED_UNIT_ELEMENT(#58114,-3.); #35274=DERIVED_UNIT_ELEMENT(#35280,1.); #35275=DERIVED_UNIT_ELEMENT(#58114,-3.); #35276=DERIVED_UNIT_ELEMENT(#35280,1.); #35277=DERIVED_UNIT_ELEMENT(#58114,-3.); #35278=DERIVED_UNIT_ELEMENT(#35280,1.); #35279=DERIVED_UNIT_ELEMENT(#58114,-3.); #35280=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #35281=DERIVED_UNIT((#35252,#35253)); #35282=DERIVED_UNIT((#35254,#35255)); #35283=DERIVED_UNIT((#35256,#35257)); #35284=DERIVED_UNIT((#35258,#35259)); #35285=DERIVED_UNIT((#35260,#35261)); #35286=DERIVED_UNIT((#35262,#35263)); #35287=DERIVED_UNIT((#35264,#35265)); #35288=DERIVED_UNIT((#35266,#35267)); #35289=DERIVED_UNIT((#35268,#35269)); #35290=DERIVED_UNIT((#35270,#35271)); #35291=DERIVED_UNIT((#35272,#35273)); #35292=DERIVED_UNIT((#35274,#35275)); #35293=DERIVED_UNIT((#35276,#35277)); #35294=DERIVED_UNIT((#35278,#35279)); #35295=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35281); #35296=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35282); #35297=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35283); #35298=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35284); #35299=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35285); #35300=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35286); #35301=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35287); #35302=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35288); #35303=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35289); #35304=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35290); #35305=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35291); #35306=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35292); #35307=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35293); #35308=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#35294); #35309=PROPERTY_DEFINITION_REPRESENTATION(#35379,#35337); #35310=PROPERTY_DEFINITION_REPRESENTATION(#35380,#35338); #35311=PROPERTY_DEFINITION_REPRESENTATION(#35381,#35339); #35312=PROPERTY_DEFINITION_REPRESENTATION(#35382,#35340); #35313=PROPERTY_DEFINITION_REPRESENTATION(#35383,#35341); #35314=PROPERTY_DEFINITION_REPRESENTATION(#35384,#35342); #35315=PROPERTY_DEFINITION_REPRESENTATION(#35385,#35343); #35316=PROPERTY_DEFINITION_REPRESENTATION(#35386,#35344); #35317=PROPERTY_DEFINITION_REPRESENTATION(#35387,#35345); #35318=PROPERTY_DEFINITION_REPRESENTATION(#35388,#35346); #35319=PROPERTY_DEFINITION_REPRESENTATION(#35389,#35347); #35320=PROPERTY_DEFINITION_REPRESENTATION(#35390,#35348); #35321=PROPERTY_DEFINITION_REPRESENTATION(#35391,#35349); #35322=PROPERTY_DEFINITION_REPRESENTATION(#35392,#35350); #35323=PROPERTY_DEFINITION_REPRESENTATION(#35393,#35351); #35324=PROPERTY_DEFINITION_REPRESENTATION(#35394,#35352); #35325=PROPERTY_DEFINITION_REPRESENTATION(#35395,#35353); #35326=PROPERTY_DEFINITION_REPRESENTATION(#35396,#35354); #35327=PROPERTY_DEFINITION_REPRESENTATION(#35397,#35355); #35328=PROPERTY_DEFINITION_REPRESENTATION(#35398,#35356); #35329=PROPERTY_DEFINITION_REPRESENTATION(#35399,#35357); #35330=PROPERTY_DEFINITION_REPRESENTATION(#35400,#35358); #35331=PROPERTY_DEFINITION_REPRESENTATION(#35401,#35359); #35332=PROPERTY_DEFINITION_REPRESENTATION(#35402,#35360); #35333=PROPERTY_DEFINITION_REPRESENTATION(#35403,#35361); #35334=PROPERTY_DEFINITION_REPRESENTATION(#35404,#35362); #35335=PROPERTY_DEFINITION_REPRESENTATION(#35405,#35363); #35336=PROPERTY_DEFINITION_REPRESENTATION(#35406,#35364); #35337=REPRESENTATION('material name',(#35365),#58092); #35338=REPRESENTATION('density',(#35295),#58092); #35339=REPRESENTATION('material name',(#35366),#58093); #35340=REPRESENTATION('density',(#35296),#58093); #35341=REPRESENTATION('material name',(#35367),#58094); #35342=REPRESENTATION('density',(#35297),#58094); #35343=REPRESENTATION('material name',(#35368),#58096); #35344=REPRESENTATION('density',(#35298),#58096); #35345=REPRESENTATION('material name',(#35369),#58097); #35346=REPRESENTATION('density',(#35299),#58097); #35347=REPRESENTATION('material name',(#35370),#58099); #35348=REPRESENTATION('density',(#35300),#58099); #35349=REPRESENTATION('material name',(#35371),#58100); #35350=REPRESENTATION('density',(#35301),#58100); #35351=REPRESENTATION('material name',(#35372),#58101); #35352=REPRESENTATION('density',(#35302),#58101); #35353=REPRESENTATION('material name',(#35373),#58103); #35354=REPRESENTATION('density',(#35303),#58103); #35355=REPRESENTATION('material name',(#35374),#58105); #35356=REPRESENTATION('density',(#35304),#58105); #35357=REPRESENTATION('material name',(#35375),#58106); #35358=REPRESENTATION('density',(#35305),#58106); #35359=REPRESENTATION('material name',(#35376),#58107); #35360=REPRESENTATION('density',(#35306),#58107); #35361=REPRESENTATION('material name',(#35377),#58108); #35362=REPRESENTATION('density',(#35307),#58108); #35363=REPRESENTATION('material name',(#35378),#58109); #35364=REPRESENTATION('density',(#35308),#58109); #35365=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35366=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35367=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35368=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35369=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35370=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35371=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35372=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35373=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35374=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35375=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35376=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35377=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35378=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #35379=PROPERTY_DEFINITION('material property','material name',#58205); #35380=PROPERTY_DEFINITION('material property','density of part',#58205); #35381=PROPERTY_DEFINITION('material property','material name',#58206); #35382=PROPERTY_DEFINITION('material property','density of part',#58206); #35383=PROPERTY_DEFINITION('material property','material name',#58207); #35384=PROPERTY_DEFINITION('material property','density of part',#58207); #35385=PROPERTY_DEFINITION('material property','material name',#58209); #35386=PROPERTY_DEFINITION('material property','density of part',#58209); #35387=PROPERTY_DEFINITION('material property','material name',#58210); #35388=PROPERTY_DEFINITION('material property','density of part',#58210); #35389=PROPERTY_DEFINITION('material property','material name',#58212); #35390=PROPERTY_DEFINITION('material property','density of part',#58212); #35391=PROPERTY_DEFINITION('material property','material name',#58213); #35392=PROPERTY_DEFINITION('material property','density of part',#58213); #35393=PROPERTY_DEFINITION('material property','material name',#58214); #35394=PROPERTY_DEFINITION('material property','density of part',#58214); #35395=PROPERTY_DEFINITION('material property','material name',#58216); #35396=PROPERTY_DEFINITION('material property','density of part',#58216); #35397=PROPERTY_DEFINITION('material property','material name',#58218); #35398=PROPERTY_DEFINITION('material property','density of part',#58218); #35399=PROPERTY_DEFINITION('material property','material name',#58219); #35400=PROPERTY_DEFINITION('material property','density of part',#58219); #35401=PROPERTY_DEFINITION('material property','material name',#58220); #35402=PROPERTY_DEFINITION('material property','density of part',#58220); #35403=PROPERTY_DEFINITION('material property','material name',#58221); #35404=PROPERTY_DEFINITION('material property','density of part',#58221); #35405=PROPERTY_DEFINITION('material property','material name',#58222); #35406=PROPERTY_DEFINITION('material property','density of part',#58222); #35407=AXIS2_PLACEMENT_3D('placement',#47969,#38310,#38311); #35408=AXIS2_PLACEMENT_3D('placement',#47970,#38312,#38313); #35409=AXIS2_PLACEMENT_3D('placement',#47971,#38314,#38315); #35410=AXIS2_PLACEMENT_3D('placement',#47972,#38316,#38317); #35411=AXIS2_PLACEMENT_3D('',#47973,#38318,#38319); #35412=AXIS2_PLACEMENT_3D('',#47975,#38320,#38321); #35413=AXIS2_PLACEMENT_3D('',#47978,#38323,#38324); #35414=AXIS2_PLACEMENT_3D('',#47979,#38325,#38326); #35415=AXIS2_PLACEMENT_3D('',#47988,#38331,#38332); #35416=AXIS2_PLACEMENT_3D('',#47994,#38336,#38337); #35417=AXIS2_PLACEMENT_3D('',#48000,#38341,#38342); #35418=AXIS2_PLACEMENT_3D('',#48006,#38346,#38347); #35419=AXIS2_PLACEMENT_3D('',#48012,#38351,#38352); #35420=AXIS2_PLACEMENT_3D('',#48018,#38356,#38357); #35421=AXIS2_PLACEMENT_3D('',#48024,#38361,#38362); #35422=AXIS2_PLACEMENT_3D('',#48025,#38363,#38364); #35423=AXIS2_PLACEMENT_3D('',#48026,#38365,#38366); #35424=AXIS2_PLACEMENT_3D('',#48027,#38367,#38368); #35425=AXIS2_PLACEMENT_3D('',#48028,#38369,#38370); #35426=AXIS2_PLACEMENT_3D('',#48029,#38371,#38372); #35427=AXIS2_PLACEMENT_3D('',#48031,#38373,#38374); #35428=AXIS2_PLACEMENT_3D('',#48034,#38376,#38377); #35429=AXIS2_PLACEMENT_3D('',#48035,#38378,#38379); #35430=AXIS2_PLACEMENT_3D('',#48044,#38384,#38385); #35431=AXIS2_PLACEMENT_3D('',#48050,#38389,#38390); #35432=AXIS2_PLACEMENT_3D('',#48056,#38394,#38395); #35433=AXIS2_PLACEMENT_3D('',#48062,#38399,#38400); #35434=AXIS2_PLACEMENT_3D('',#48068,#38404,#38405); #35435=AXIS2_PLACEMENT_3D('',#48074,#38409,#38410); #35436=AXIS2_PLACEMENT_3D('',#48080,#38414,#38415); #35437=AXIS2_PLACEMENT_3D('',#48081,#38416,#38417); #35438=AXIS2_PLACEMENT_3D('',#48082,#38418,#38419); #35439=AXIS2_PLACEMENT_3D('',#48083,#38420,#38421); #35440=AXIS2_PLACEMENT_3D('',#48084,#38422,#38423); #35441=AXIS2_PLACEMENT_3D('',#48085,#38424,#38425); #35442=AXIS2_PLACEMENT_3D('',#48087,#38426,#38427); #35443=AXIS2_PLACEMENT_3D('',#48089,#38428,#38429); #35444=AXIS2_PLACEMENT_3D('',#48090,#38430,#38431); #35445=AXIS2_PLACEMENT_3D('',#48092,#38432,#38433); #35446=AXIS2_PLACEMENT_3D('',#48094,#38435,#38436); #35447=AXIS2_PLACEMENT_3D('',#48096,#38437,#38438); #35448=AXIS2_PLACEMENT_3D('',#48098,#38440,#38441); #35449=AXIS2_PLACEMENT_3D('',#48099,#38442,#38443); #35450=AXIS2_PLACEMENT_3D('',#48108,#38448,#38449); #35451=AXIS2_PLACEMENT_3D('',#48110,#38450,#38451); #35452=AXIS2_PLACEMENT_3D('',#48113,#38453,#38454); #35453=AXIS2_PLACEMENT_3D('',#48114,#38455,#38456); #35454=AXIS2_PLACEMENT_3D('',#48117,#38457,#38458); #35455=AXIS2_PLACEMENT_3D('',#48121,#38460,#38461); #35456=AXIS2_PLACEMENT_3D('',#48123,#38463,#38464); #35457=AXIS2_PLACEMENT_3D('',#48129,#38468,#38469); #35458=AXIS2_PLACEMENT_3D('',#48135,#38473,#38474); #35459=AXIS2_PLACEMENT_3D('',#48141,#38478,#38479); #35460=AXIS2_PLACEMENT_3D('',#48147,#38483,#38484); #35461=AXIS2_PLACEMENT_3D('',#48153,#38488,#38489); #35462=AXIS2_PLACEMENT_3D('',#48159,#38493,#38494); #35463=AXIS2_PLACEMENT_3D('',#48165,#38498,#38499); #35464=AXIS2_PLACEMENT_3D('',#48171,#38503,#38504); #35465=AXIS2_PLACEMENT_3D('',#48177,#38508,#38509); #35466=AXIS2_PLACEMENT_3D('',#48183,#38513,#38514); #35467=AXIS2_PLACEMENT_3D('',#48189,#38518,#38519); #35468=AXIS2_PLACEMENT_3D('',#48195,#38523,#38524); #35469=AXIS2_PLACEMENT_3D('',#48201,#38528,#38529); #35470=AXIS2_PLACEMENT_3D('',#48207,#38533,#38534); #35471=AXIS2_PLACEMENT_3D('',#48210,#38537,#38538); #35472=AXIS2_PLACEMENT_3D('',#48216,#38542,#38543); #35473=AXIS2_PLACEMENT_3D('',#48222,#38547,#38548); #35474=AXIS2_PLACEMENT_3D('',#48228,#38552,#38553); #35475=AXIS2_PLACEMENT_3D('',#48234,#38557,#38558); #35476=AXIS2_PLACEMENT_3D('',#48240,#38562,#38563); #35477=AXIS2_PLACEMENT_3D('',#48246,#38567,#38568); #35478=AXIS2_PLACEMENT_3D('',#48252,#38572,#38573); #35479=AXIS2_PLACEMENT_3D('',#48258,#38577,#38578); #35480=AXIS2_PLACEMENT_3D('',#48264,#38582,#38583); #35481=AXIS2_PLACEMENT_3D('',#48270,#38587,#38588); #35482=AXIS2_PLACEMENT_3D('',#48276,#38592,#38593); #35483=AXIS2_PLACEMENT_3D('',#48282,#38597,#38598); #35484=AXIS2_PLACEMENT_3D('',#48288,#38602,#38603); #35485=AXIS2_PLACEMENT_3D('',#48294,#38607,#38608); #35486=AXIS2_PLACEMENT_3D('',#48300,#38612,#38613); #35487=AXIS2_PLACEMENT_3D('',#48302,#38614,#38615); #35488=AXIS2_PLACEMENT_3D('',#48304,#38616,#38617); #35489=AXIS2_PLACEMENT_3D('',#48306,#38619,#38620); #35490=AXIS2_PLACEMENT_3D('',#48309,#38623,#38624); #35491=AXIS2_PLACEMENT_3D('',#48310,#38625,#38626); #35492=AXIS2_PLACEMENT_3D('',#48311,#38627,#38628); #35493=AXIS2_PLACEMENT_3D('',#48320,#38633,#38634); #35494=AXIS2_PLACEMENT_3D('',#48326,#38638,#38639); #35495=AXIS2_PLACEMENT_3D('',#48332,#38643,#38644); #35496=AXIS2_PLACEMENT_3D('',#48334,#38645,#38646); #35497=AXIS2_PLACEMENT_3D('',#48336,#38647,#38648); #35498=AXIS2_PLACEMENT_3D('',#48338,#38650,#38651); #35499=AXIS2_PLACEMENT_3D('',#48344,#38655,#38656); #35500=AXIS2_PLACEMENT_3D('',#48346,#38657,#38658); #35501=AXIS2_PLACEMENT_3D('',#48348,#38659,#38660); #35502=AXIS2_PLACEMENT_3D('',#48350,#38662,#38663); #35503=AXIS2_PLACEMENT_3D('',#48356,#38667,#38668); #35504=AXIS2_PLACEMENT_3D('',#48362,#38672,#38673); #35505=AXIS2_PLACEMENT_3D('',#48368,#38677,#38678); #35506=AXIS2_PLACEMENT_3D('',#48374,#38682,#38683); #35507=AXIS2_PLACEMENT_3D('',#48380,#38687,#38688); #35508=AXIS2_PLACEMENT_3D('',#48386,#38692,#38693); #35509=AXIS2_PLACEMENT_3D('',#48392,#38697,#38698); #35510=AXIS2_PLACEMENT_3D('',#48398,#38702,#38703); #35511=AXIS2_PLACEMENT_3D('',#48404,#38707,#38708); #35512=AXIS2_PLACEMENT_3D('',#48410,#38712,#38713); #35513=AXIS2_PLACEMENT_3D('',#48416,#38717,#38718); #35514=AXIS2_PLACEMENT_3D('',#48419,#38721,#38722); #35515=AXIS2_PLACEMENT_3D('',#48420,#38723,#38724); #35516=AXIS2_PLACEMENT_3D('',#48421,#38725,#38726); #35517=AXIS2_PLACEMENT_3D('',#48430,#38731,#38732); #35518=AXIS2_PLACEMENT_3D('',#48436,#38736,#38737); #35519=AXIS2_PLACEMENT_3D('',#48442,#38741,#38742); #35520=AXIS2_PLACEMENT_3D('',#48448,#38746,#38747); #35521=AXIS2_PLACEMENT_3D('',#48454,#38751,#38752); #35522=AXIS2_PLACEMENT_3D('',#48460,#38756,#38757); #35523=AXIS2_PLACEMENT_3D('',#48466,#38761,#38762); #35524=AXIS2_PLACEMENT_3D('',#48472,#38766,#38767); #35525=AXIS2_PLACEMENT_3D('',#48478,#38771,#38772); #35526=AXIS2_PLACEMENT_3D('',#48484,#38776,#38777); #35527=AXIS2_PLACEMENT_3D('',#48490,#38781,#38782); #35528=AXIS2_PLACEMENT_3D('',#48496,#38786,#38787); #35529=AXIS2_PLACEMENT_3D('',#48502,#38791,#38792); #35530=AXIS2_PLACEMENT_3D('',#48508,#38796,#38797); #35531=AXIS2_PLACEMENT_3D('',#48514,#38801,#38802); #35532=AXIS2_PLACEMENT_3D('',#48520,#38806,#38807); #35533=AXIS2_PLACEMENT_3D('',#48526,#38811,#38812); #35534=AXIS2_PLACEMENT_3D('',#48528,#38813,#38814); #35535=AXIS2_PLACEMENT_3D('',#48530,#38815,#38816); #35536=AXIS2_PLACEMENT_3D('',#48532,#38818,#38819); #35537=AXIS2_PLACEMENT_3D('',#48538,#38823,#38824); #35538=AXIS2_PLACEMENT_3D('',#48544,#38828,#38829); #35539=AXIS2_PLACEMENT_3D('',#48550,#38833,#38834); #35540=AXIS2_PLACEMENT_3D('',#48556,#38838,#38839); #35541=AXIS2_PLACEMENT_3D('',#48562,#38843,#38844); #35542=AXIS2_PLACEMENT_3D('',#48568,#38848,#38849); #35543=AXIS2_PLACEMENT_3D('',#48574,#38853,#38854); #35544=AXIS2_PLACEMENT_3D('',#48580,#38858,#38859); #35545=AXIS2_PLACEMENT_3D('',#48586,#38863,#38864); #35546=AXIS2_PLACEMENT_3D('',#48592,#38868,#38869); #35547=AXIS2_PLACEMENT_3D('',#48598,#38873,#38874); #35548=AXIS2_PLACEMENT_3D('',#48604,#38878,#38879); #35549=AXIS2_PLACEMENT_3D('',#48610,#38883,#38884); #35550=AXIS2_PLACEMENT_3D('',#48616,#38888,#38889); #35551=AXIS2_PLACEMENT_3D('',#48622,#38893,#38894); #35552=AXIS2_PLACEMENT_3D('',#48628,#38898,#38899); #35553=AXIS2_PLACEMENT_3D('',#48634,#38903,#38904); #35554=AXIS2_PLACEMENT_3D('',#48637,#38907,#38908); #35555=AXIS2_PLACEMENT_3D('',#48638,#38909,#38910); #35556=AXIS2_PLACEMENT_3D('placement',#48639,#38911,#38912); #35557=AXIS2_PLACEMENT_3D('',#48640,#38913,#38914); #35558=AXIS2_PLACEMENT_3D('',#48649,#38919,#38920); #35559=AXIS2_PLACEMENT_3D('',#48655,#38924,#38925); #35560=AXIS2_PLACEMENT_3D('',#48661,#38929,#38930); #35561=AXIS2_PLACEMENT_3D('',#48667,#38934,#38935); #35562=AXIS2_PLACEMENT_3D('',#48673,#38939,#38940); #35563=AXIS2_PLACEMENT_3D('',#48679,#38944,#38945); #35564=AXIS2_PLACEMENT_3D('',#48685,#38949,#38950); #35565=AXIS2_PLACEMENT_3D('',#48691,#38954,#38955); #35566=AXIS2_PLACEMENT_3D('',#48697,#38959,#38960); #35567=AXIS2_PLACEMENT_3D('',#48703,#38964,#38965); #35568=AXIS2_PLACEMENT_3D('',#48709,#38969,#38970); #35569=AXIS2_PLACEMENT_3D('',#48715,#38974,#38975); #35570=AXIS2_PLACEMENT_3D('',#48721,#38979,#38980); #35571=AXIS2_PLACEMENT_3D('',#48727,#38984,#38985); #35572=AXIS2_PLACEMENT_3D('',#48733,#38989,#38990); #35573=AXIS2_PLACEMENT_3D('',#48739,#38994,#38995); #35574=AXIS2_PLACEMENT_3D('',#48745,#38999,#39000); #35575=AXIS2_PLACEMENT_3D('',#48751,#39004,#39005); #35576=AXIS2_PLACEMENT_3D('',#48757,#39009,#39010); #35577=AXIS2_PLACEMENT_3D('',#48763,#39014,#39015); #35578=AXIS2_PLACEMENT_3D('',#48769,#39019,#39020); #35579=AXIS2_PLACEMENT_3D('',#48775,#39024,#39025); #35580=AXIS2_PLACEMENT_3D('',#48781,#39029,#39030); #35581=AXIS2_PLACEMENT_3D('',#48787,#39034,#39035); #35582=AXIS2_PLACEMENT_3D('',#48793,#39039,#39040); #35583=AXIS2_PLACEMENT_3D('',#48799,#39044,#39045); #35584=AXIS2_PLACEMENT_3D('',#48805,#39049,#39050); #35585=AXIS2_PLACEMENT_3D('',#48811,#39054,#39055); #35586=AXIS2_PLACEMENT_3D('',#48817,#39059,#39060); #35587=AXIS2_PLACEMENT_3D('',#48823,#39064,#39065); #35588=AXIS2_PLACEMENT_3D('',#48829,#39069,#39070); #35589=AXIS2_PLACEMENT_3D('',#48831,#39071,#39072); #35590=AXIS2_PLACEMENT_3D('',#48833,#39073,#39074); #35591=AXIS2_PLACEMENT_3D('',#48835,#39076,#39077); #35592=AXIS2_PLACEMENT_3D('',#48841,#39081,#39082); #35593=AXIS2_PLACEMENT_3D('',#48842,#39083,#39084); #35594=AXIS2_PLACEMENT_3D('',#48843,#39085,#39086); #35595=AXIS2_PLACEMENT_3D('',#48844,#39087,#39088); #35596=AXIS2_PLACEMENT_3D('',#48845,#39089,#39090); #35597=AXIS2_PLACEMENT_3D('placement',#48846,#39091,#39092); #35598=AXIS2_PLACEMENT_3D('',#48847,#39093,#39094); #35599=AXIS2_PLACEMENT_3D('',#48849,#39095,#39096); #35600=AXIS2_PLACEMENT_3D('',#48852,#39098,#39099); #35601=AXIS2_PLACEMENT_3D('',#48853,#39100,#39101); #35602=AXIS2_PLACEMENT_3D('',#48862,#39106,#39107); #35603=AXIS2_PLACEMENT_3D('',#48868,#39111,#39112); #35604=AXIS2_PLACEMENT_3D('',#48874,#39116,#39117); #35605=AXIS2_PLACEMENT_3D('',#48880,#39121,#39122); #35606=AXIS2_PLACEMENT_3D('',#48886,#39126,#39127); #35607=AXIS2_PLACEMENT_3D('',#48892,#39131,#39132); #35608=AXIS2_PLACEMENT_3D('',#48898,#39136,#39137); #35609=AXIS2_PLACEMENT_3D('',#48899,#39138,#39139); #35610=AXIS2_PLACEMENT_3D('',#48900,#39140,#39141); #35611=AXIS2_PLACEMENT_3D('',#48901,#39142,#39143); #35612=AXIS2_PLACEMENT_3D('',#48902,#39144,#39145); #35613=AXIS2_PLACEMENT_3D('',#48903,#39146,#39147); #35614=AXIS2_PLACEMENT_3D('',#48905,#39148,#39149); #35615=AXIS2_PLACEMENT_3D('',#48908,#39151,#39152); #35616=AXIS2_PLACEMENT_3D('',#48909,#39153,#39154); #35617=AXIS2_PLACEMENT_3D('',#48918,#39159,#39160); #35618=AXIS2_PLACEMENT_3D('',#48924,#39164,#39165); #35619=AXIS2_PLACEMENT_3D('',#48930,#39169,#39170); #35620=AXIS2_PLACEMENT_3D('',#48936,#39174,#39175); #35621=AXIS2_PLACEMENT_3D('',#48942,#39179,#39180); #35622=AXIS2_PLACEMENT_3D('',#48948,#39184,#39185); #35623=AXIS2_PLACEMENT_3D('',#48954,#39189,#39190); #35624=AXIS2_PLACEMENT_3D('',#48955,#39191,#39192); #35625=AXIS2_PLACEMENT_3D('',#48956,#39193,#39194); #35626=AXIS2_PLACEMENT_3D('',#48957,#39195,#39196); #35627=AXIS2_PLACEMENT_3D('',#48958,#39197,#39198); #35628=AXIS2_PLACEMENT_3D('',#48959,#39199,#39200); #35629=AXIS2_PLACEMENT_3D('',#48961,#39201,#39202); #35630=AXIS2_PLACEMENT_3D('',#48963,#39203,#39204); #35631=AXIS2_PLACEMENT_3D('',#48964,#39205,#39206); #35632=AXIS2_PLACEMENT_3D('',#48966,#39207,#39208); #35633=AXIS2_PLACEMENT_3D('',#48968,#39210,#39211); #35634=AXIS2_PLACEMENT_3D('',#48970,#39212,#39213); #35635=AXIS2_PLACEMENT_3D('',#48972,#39215,#39216); #35636=AXIS2_PLACEMENT_3D('',#48973,#39217,#39218); #35637=AXIS2_PLACEMENT_3D('',#48982,#39223,#39224); #35638=AXIS2_PLACEMENT_3D('',#48984,#39225,#39226); #35639=AXIS2_PLACEMENT_3D('',#48987,#39228,#39229); #35640=AXIS2_PLACEMENT_3D('',#48988,#39230,#39231); #35641=AXIS2_PLACEMENT_3D('',#48991,#39232,#39233); #35642=AXIS2_PLACEMENT_3D('',#48995,#39235,#39236); #35643=AXIS2_PLACEMENT_3D('',#48997,#39238,#39239); #35644=AXIS2_PLACEMENT_3D('',#49003,#39243,#39244); #35645=AXIS2_PLACEMENT_3D('',#49009,#39248,#39249); #35646=AXIS2_PLACEMENT_3D('',#49015,#39253,#39254); #35647=AXIS2_PLACEMENT_3D('',#49021,#39258,#39259); #35648=AXIS2_PLACEMENT_3D('',#49027,#39263,#39264); #35649=AXIS2_PLACEMENT_3D('',#49033,#39268,#39269); #35650=AXIS2_PLACEMENT_3D('',#49039,#39273,#39274); #35651=AXIS2_PLACEMENT_3D('',#49045,#39278,#39279); #35652=AXIS2_PLACEMENT_3D('',#49051,#39283,#39284); #35653=AXIS2_PLACEMENT_3D('',#49057,#39288,#39289); #35654=AXIS2_PLACEMENT_3D('',#49063,#39293,#39294); #35655=AXIS2_PLACEMENT_3D('',#49069,#39298,#39299); #35656=AXIS2_PLACEMENT_3D('',#49075,#39303,#39304); #35657=AXIS2_PLACEMENT_3D('',#49081,#39308,#39309); #35658=AXIS2_PLACEMENT_3D('',#49084,#39312,#39313); #35659=AXIS2_PLACEMENT_3D('',#49090,#39317,#39318); #35660=AXIS2_PLACEMENT_3D('',#49096,#39322,#39323); #35661=AXIS2_PLACEMENT_3D('',#49102,#39327,#39328); #35662=AXIS2_PLACEMENT_3D('',#49108,#39332,#39333); #35663=AXIS2_PLACEMENT_3D('',#49114,#39337,#39338); #35664=AXIS2_PLACEMENT_3D('',#49120,#39342,#39343); #35665=AXIS2_PLACEMENT_3D('',#49126,#39347,#39348); #35666=AXIS2_PLACEMENT_3D('',#49132,#39352,#39353); #35667=AXIS2_PLACEMENT_3D('',#49138,#39357,#39358); #35668=AXIS2_PLACEMENT_3D('',#49144,#39362,#39363); #35669=AXIS2_PLACEMENT_3D('',#49150,#39367,#39368); #35670=AXIS2_PLACEMENT_3D('',#49156,#39372,#39373); #35671=AXIS2_PLACEMENT_3D('',#49162,#39377,#39378); #35672=AXIS2_PLACEMENT_3D('',#49168,#39382,#39383); #35673=AXIS2_PLACEMENT_3D('',#49174,#39387,#39388); #35674=AXIS2_PLACEMENT_3D('',#49176,#39389,#39390); #35675=AXIS2_PLACEMENT_3D('',#49179,#39392,#39393); #35676=AXIS2_PLACEMENT_3D('',#49180,#39394,#39395); #35677=AXIS2_PLACEMENT_3D('',#49183,#39398,#39399); #35678=AXIS2_PLACEMENT_3D('',#49184,#39400,#39401); #35679=AXIS2_PLACEMENT_3D('',#49185,#39402,#39403); #35680=AXIS2_PLACEMENT_3D('',#49194,#39408,#39409); #35681=AXIS2_PLACEMENT_3D('',#49200,#39413,#39414); #35682=AXIS2_PLACEMENT_3D('',#49206,#39418,#39419); #35683=AXIS2_PLACEMENT_3D('',#49208,#39420,#39421); #35684=AXIS2_PLACEMENT_3D('',#49211,#39423,#39424); #35685=AXIS2_PLACEMENT_3D('',#49212,#39425,#39426); #35686=AXIS2_PLACEMENT_3D('',#49218,#39430,#39431); #35687=AXIS2_PLACEMENT_3D('',#49220,#39432,#39433); #35688=AXIS2_PLACEMENT_3D('',#49223,#39435,#39436); #35689=AXIS2_PLACEMENT_3D('',#49224,#39437,#39438); #35690=AXIS2_PLACEMENT_3D('',#49230,#39442,#39443); #35691=AXIS2_PLACEMENT_3D('',#49236,#39447,#39448); #35692=AXIS2_PLACEMENT_3D('',#49242,#39452,#39453); #35693=AXIS2_PLACEMENT_3D('',#49248,#39457,#39458); #35694=AXIS2_PLACEMENT_3D('',#49254,#39462,#39463); #35695=AXIS2_PLACEMENT_3D('',#49260,#39467,#39468); #35696=AXIS2_PLACEMENT_3D('',#49266,#39472,#39473); #35697=AXIS2_PLACEMENT_3D('',#49272,#39477,#39478); #35698=AXIS2_PLACEMENT_3D('',#49278,#39482,#39483); #35699=AXIS2_PLACEMENT_3D('',#49284,#39487,#39488); #35700=AXIS2_PLACEMENT_3D('',#49290,#39492,#39493); #35701=AXIS2_PLACEMENT_3D('',#49293,#39496,#39497); #35702=AXIS2_PLACEMENT_3D('',#49294,#39498,#39499); #35703=AXIS2_PLACEMENT_3D('',#49295,#39500,#39501); #35704=AXIS2_PLACEMENT_3D('',#49304,#39506,#39507); #35705=AXIS2_PLACEMENT_3D('',#49310,#39511,#39512); #35706=AXIS2_PLACEMENT_3D('',#49316,#39516,#39517); #35707=AXIS2_PLACEMENT_3D('',#49322,#39521,#39522); #35708=AXIS2_PLACEMENT_3D('',#49328,#39526,#39527); #35709=AXIS2_PLACEMENT_3D('',#49334,#39531,#39532); #35710=AXIS2_PLACEMENT_3D('',#49340,#39536,#39537); #35711=AXIS2_PLACEMENT_3D('',#49346,#39541,#39542); #35712=AXIS2_PLACEMENT_3D('',#49352,#39546,#39547); #35713=AXIS2_PLACEMENT_3D('',#49358,#39551,#39552); #35714=AXIS2_PLACEMENT_3D('',#49364,#39556,#39557); #35715=AXIS2_PLACEMENT_3D('',#49370,#39561,#39562); #35716=AXIS2_PLACEMENT_3D('',#49376,#39566,#39567); #35717=AXIS2_PLACEMENT_3D('',#49382,#39571,#39572); #35718=AXIS2_PLACEMENT_3D('',#49388,#39576,#39577); #35719=AXIS2_PLACEMENT_3D('',#49394,#39581,#39582); #35720=AXIS2_PLACEMENT_3D('',#49400,#39586,#39587); #35721=AXIS2_PLACEMENT_3D('',#49402,#39588,#39589); #35722=AXIS2_PLACEMENT_3D('',#49405,#39591,#39592); #35723=AXIS2_PLACEMENT_3D('',#49406,#39593,#39594); #35724=AXIS2_PLACEMENT_3D('',#49412,#39598,#39599); #35725=AXIS2_PLACEMENT_3D('',#49418,#39603,#39604); #35726=AXIS2_PLACEMENT_3D('',#49424,#39608,#39609); #35727=AXIS2_PLACEMENT_3D('',#49430,#39613,#39614); #35728=AXIS2_PLACEMENT_3D('',#49436,#39618,#39619); #35729=AXIS2_PLACEMENT_3D('',#49442,#39623,#39624); #35730=AXIS2_PLACEMENT_3D('',#49448,#39628,#39629); #35731=AXIS2_PLACEMENT_3D('',#49454,#39633,#39634); #35732=AXIS2_PLACEMENT_3D('',#49460,#39638,#39639); #35733=AXIS2_PLACEMENT_3D('',#49466,#39643,#39644); #35734=AXIS2_PLACEMENT_3D('',#49472,#39648,#39649); #35735=AXIS2_PLACEMENT_3D('',#49478,#39653,#39654); #35736=AXIS2_PLACEMENT_3D('',#49484,#39658,#39659); #35737=AXIS2_PLACEMENT_3D('',#49490,#39663,#39664); #35738=AXIS2_PLACEMENT_3D('',#49496,#39668,#39669); #35739=AXIS2_PLACEMENT_3D('',#49502,#39673,#39674); #35740=AXIS2_PLACEMENT_3D('',#49508,#39678,#39679); #35741=AXIS2_PLACEMENT_3D('',#49511,#39682,#39683); #35742=AXIS2_PLACEMENT_3D('',#49512,#39684,#39685); #35743=AXIS2_PLACEMENT_3D('',#49513,#39686,#39687); #35744=AXIS2_PLACEMENT_3D('',#49514,#39688,#39689); #35745=AXIS2_PLACEMENT_3D('',#49515,#39690,#39691); #35746=AXIS2_PLACEMENT_3D('placement',#49516,#39692,#39693); #35747=AXIS2_PLACEMENT_3D('placement',#49517,#39694,#39695); #35748=AXIS2_PLACEMENT_3D('',#49518,#39696,#39697); #35749=AXIS2_PLACEMENT_3D('',#49520,#39698,#39699); #35750=AXIS2_PLACEMENT_3D('',#49522,#39700,#39701); #35751=AXIS2_PLACEMENT_3D('',#49523,#39702,#39703); #35752=AXIS2_PLACEMENT_3D('',#49525,#39704,#39705); #35753=AXIS2_PLACEMENT_3D('',#49527,#39706,#39707); #35754=AXIS2_PLACEMENT_3D('',#49529,#39708,#39709); #35755=AXIS2_PLACEMENT_3D('',#49530,#39710,#39711); #35756=AXIS2_PLACEMENT_3D('',#49531,#39712,#39713); #35757=AXIS2_PLACEMENT_3D('',#49534,#39715,#39716); #35758=AXIS2_PLACEMENT_3D('',#49535,#39717,#39718); #35759=AXIS2_PLACEMENT_3D('',#49536,#39719,#39720); #35760=AXIS2_PLACEMENT_3D('',#49538,#39721,#39722); #35761=AXIS2_PLACEMENT_3D('',#49541,#39724,#39725); #35762=AXIS2_PLACEMENT_3D('',#49542,#39726,#39727); #35763=AXIS2_PLACEMENT_3D('',#49544,#39728,#39729); #35764=AXIS2_PLACEMENT_3D('',#49547,#39731,#39732); #35765=AXIS2_PLACEMENT_3D('',#49548,#39733,#39734); #35766=AXIS2_PLACEMENT_3D('',#49557,#39739,#39740); #35767=AXIS2_PLACEMENT_3D('',#49559,#39741,#39742); #35768=AXIS2_PLACEMENT_3D('',#49561,#39743,#39744); #35769=AXIS2_PLACEMENT_3D('',#49563,#39746,#39747); #35770=AXIS2_PLACEMENT_3D('',#49569,#39751,#39752); #35771=AXIS2_PLACEMENT_3D('',#49571,#39753,#39754); #35772=AXIS2_PLACEMENT_3D('',#49573,#39755,#39756); #35773=AXIS2_PLACEMENT_3D('',#49575,#39758,#39759); #35774=AXIS2_PLACEMENT_3D('',#49581,#39763,#39764); #35775=AXIS2_PLACEMENT_3D('',#49583,#39765,#39766); #35776=AXIS2_PLACEMENT_3D('',#49585,#39767,#39768); #35777=AXIS2_PLACEMENT_3D('',#49587,#39770,#39771); #35778=AXIS2_PLACEMENT_3D('',#49593,#39775,#39776); #35779=AXIS2_PLACEMENT_3D('',#49594,#39777,#39778); #35780=AXIS2_PLACEMENT_3D('',#49595,#39779,#39780); #35781=AXIS2_PLACEMENT_3D('',#49596,#39781,#39782); #35782=AXIS2_PLACEMENT_3D('',#49597,#39783,#39784); #35783=AXIS2_PLACEMENT_3D('placement',#49598,#39785,#39786); #35784=AXIS2_PLACEMENT_3D('',#49599,#39787,#39788); #35785=AXIS2_PLACEMENT_3D('',#49602,#39789,#39790); #35786=AXIS2_PLACEMENT_3D('',#49603,#39791,#39792); #35787=AXIS2_PLACEMENT_3D('',#49604,#39793,#39794); #35788=AXIS2_PLACEMENT_3D('placement',#49605,#39795,#39796); #35789=AXIS2_PLACEMENT_3D('placement',#49606,#39797,#39798); #35790=AXIS2_PLACEMENT_3D('',#49607,#39799,#39800); #35791=AXIS2_PLACEMENT_3D('',#49609,#39801,#39802); #35792=AXIS2_PLACEMENT_3D('',#49612,#39804,#39805); #35793=AXIS2_PLACEMENT_3D('',#49613,#39806,#39807); #35794=AXIS2_PLACEMENT_3D('',#49622,#39812,#39813); #35795=AXIS2_PLACEMENT_3D('',#49624,#39814,#39815); #35796=AXIS2_PLACEMENT_3D('',#49626,#39816,#39817); #35797=AXIS2_PLACEMENT_3D('',#49628,#39819,#39820); #35798=AXIS2_PLACEMENT_3D('',#49634,#39824,#39825); #35799=AXIS2_PLACEMENT_3D('',#49640,#39829,#39830); #35800=AXIS2_PLACEMENT_3D('',#49646,#39834,#39835); #35801=AXIS2_PLACEMENT_3D('',#49652,#39839,#39840); #35802=AXIS2_PLACEMENT_3D('',#49658,#39844,#39845); #35803=AXIS2_PLACEMENT_3D('',#49664,#39849,#39850); #35804=AXIS2_PLACEMENT_3D('',#49670,#39854,#39855); #35805=AXIS2_PLACEMENT_3D('',#49671,#39856,#39857); #35806=AXIS2_PLACEMENT_3D('',#49672,#39858,#39859); #35807=AXIS2_PLACEMENT_3D('',#49673,#39860,#39861); #35808=AXIS2_PLACEMENT_3D('',#49674,#39862,#39863); #35809=AXIS2_PLACEMENT_3D('',#49675,#39864,#39865); #35810=AXIS2_PLACEMENT_3D('',#49677,#39866,#39867); #35811=AXIS2_PLACEMENT_3D('',#49680,#39869,#39870); #35812=AXIS2_PLACEMENT_3D('',#49681,#39871,#39872); #35813=AXIS2_PLACEMENT_3D('',#49690,#39877,#39878); #35814=AXIS2_PLACEMENT_3D('',#49692,#39879,#39880); #35815=AXIS2_PLACEMENT_3D('',#49694,#39881,#39882); #35816=AXIS2_PLACEMENT_3D('',#49696,#39884,#39885); #35817=AXIS2_PLACEMENT_3D('',#49702,#39889,#39890); #35818=AXIS2_PLACEMENT_3D('',#49708,#39894,#39895); #35819=AXIS2_PLACEMENT_3D('',#49714,#39899,#39900); #35820=AXIS2_PLACEMENT_3D('',#49720,#39904,#39905); #35821=AXIS2_PLACEMENT_3D('',#49726,#39909,#39910); #35822=AXIS2_PLACEMENT_3D('',#49732,#39914,#39915); #35823=AXIS2_PLACEMENT_3D('',#49738,#39919,#39920); #35824=AXIS2_PLACEMENT_3D('',#49739,#39921,#39922); #35825=AXIS2_PLACEMENT_3D('',#49740,#39923,#39924); #35826=AXIS2_PLACEMENT_3D('',#49741,#39925,#39926); #35827=AXIS2_PLACEMENT_3D('',#49742,#39927,#39928); #35828=AXIS2_PLACEMENT_3D('',#49743,#39929,#39930); #35829=AXIS2_PLACEMENT_3D('',#49745,#39931,#39932); #35830=AXIS2_PLACEMENT_3D('',#49748,#39934,#39935); #35831=AXIS2_PLACEMENT_3D('',#49749,#39936,#39937); #35832=AXIS2_PLACEMENT_3D('',#49751,#39938,#39939); #35833=AXIS2_PLACEMENT_3D('',#49754,#39941,#39942); #35834=AXIS2_PLACEMENT_3D('',#49755,#39943,#39944); #35835=AXIS2_PLACEMENT_3D('',#49757,#39945,#39946); #35836=AXIS2_PLACEMENT_3D('',#49760,#39948,#39949); #35837=AXIS2_PLACEMENT_3D('',#49761,#39950,#39951); #35838=AXIS2_PLACEMENT_3D('',#49764,#39952,#39953); #35839=AXIS2_PLACEMENT_3D('',#49768,#39955,#39956); #35840=AXIS2_PLACEMENT_3D('',#49770,#39958,#39959); #35841=AXIS2_PLACEMENT_3D('',#49776,#39963,#39964); #35842=AXIS2_PLACEMENT_3D('',#49782,#39968,#39969); #35843=AXIS2_PLACEMENT_3D('',#49788,#39973,#39974); #35844=AXIS2_PLACEMENT_3D('',#49794,#39978,#39979); #35845=AXIS2_PLACEMENT_3D('',#49800,#39983,#39984); #35846=AXIS2_PLACEMENT_3D('',#49806,#39988,#39989); #35847=AXIS2_PLACEMENT_3D('',#49812,#39993,#39994); #35848=AXIS2_PLACEMENT_3D('',#49814,#39995,#39996); #35849=AXIS2_PLACEMENT_3D('',#49816,#39997,#39998); #35850=AXIS2_PLACEMENT_3D('',#49818,#40000,#40001); #35851=AXIS2_PLACEMENT_3D('',#49821,#40004,#40005); #35852=AXIS2_PLACEMENT_3D('',#49822,#40006,#40007); #35853=AXIS2_PLACEMENT_3D('',#49823,#40008,#40009); #35854=AXIS2_PLACEMENT_3D('',#49825,#40010,#40011); #35855=AXIS2_PLACEMENT_3D('',#49828,#40013,#40014); #35856=AXIS2_PLACEMENT_3D('',#49829,#40015,#40016); #35857=AXIS2_PLACEMENT_3D('',#49831,#40017,#40018); #35858=AXIS2_PLACEMENT_3D('',#49834,#40020,#40021); #35859=AXIS2_PLACEMENT_3D('',#49835,#40022,#40023); #35860=AXIS2_PLACEMENT_3D('',#49837,#40024,#40025); #35861=AXIS2_PLACEMENT_3D('',#49840,#40027,#40028); #35862=AXIS2_PLACEMENT_3D('',#49841,#40029,#40030); #35863=AXIS2_PLACEMENT_3D('',#49844,#40031,#40032); #35864=AXIS2_PLACEMENT_3D('',#49848,#40034,#40035); #35865=AXIS2_PLACEMENT_3D('',#49850,#40037,#40038); #35866=AXIS2_PLACEMENT_3D('',#49856,#40042,#40043); #35867=AXIS2_PLACEMENT_3D('',#49862,#40047,#40048); #35868=AXIS2_PLACEMENT_3D('',#49868,#40052,#40053); #35869=AXIS2_PLACEMENT_3D('',#49874,#40057,#40058); #35870=AXIS2_PLACEMENT_3D('',#49880,#40062,#40063); #35871=AXIS2_PLACEMENT_3D('',#49886,#40067,#40068); #35872=AXIS2_PLACEMENT_3D('',#49892,#40072,#40073); #35873=AXIS2_PLACEMENT_3D('',#49894,#40074,#40075); #35874=AXIS2_PLACEMENT_3D('',#49896,#40076,#40077); #35875=AXIS2_PLACEMENT_3D('',#49898,#40079,#40080); #35876=AXIS2_PLACEMENT_3D('',#49901,#40083,#40084); #35877=AXIS2_PLACEMENT_3D('',#49902,#40085,#40086); #35878=AXIS2_PLACEMENT_3D('',#49903,#40087,#40088); #35879=AXIS2_PLACEMENT_3D('',#49905,#40089,#40090); #35880=AXIS2_PLACEMENT_3D('',#49908,#40092,#40093); #35881=AXIS2_PLACEMENT_3D('',#49909,#40094,#40095); #35882=AXIS2_PLACEMENT_3D('',#49918,#40100,#40101); #35883=AXIS2_PLACEMENT_3D('',#49920,#40102,#40103); #35884=AXIS2_PLACEMENT_3D('',#49923,#40105,#40106); #35885=AXIS2_PLACEMENT_3D('',#49924,#40107,#40108); #35886=AXIS2_PLACEMENT_3D('',#49930,#40112,#40113); #35887=AXIS2_PLACEMENT_3D('',#49936,#40117,#40118); #35888=AXIS2_PLACEMENT_3D('',#49942,#40122,#40123); #35889=AXIS2_PLACEMENT_3D('',#49948,#40127,#40128); #35890=AXIS2_PLACEMENT_3D('',#49954,#40132,#40133); #35891=AXIS2_PLACEMENT_3D('',#49960,#40137,#40138); #35892=AXIS2_PLACEMENT_3D('',#49966,#40142,#40143); #35893=AXIS2_PLACEMENT_3D('',#49967,#40144,#40145); #35894=AXIS2_PLACEMENT_3D('',#49968,#40146,#40147); #35895=AXIS2_PLACEMENT_3D('',#49969,#40148,#40149); #35896=AXIS2_PLACEMENT_3D('',#49970,#40150,#40151); #35897=AXIS2_PLACEMENT_3D('',#49971,#40152,#40153); #35898=AXIS2_PLACEMENT_3D('',#49973,#40154,#40155); #35899=AXIS2_PLACEMENT_3D('',#49976,#40157,#40158); #35900=AXIS2_PLACEMENT_3D('',#49977,#40159,#40160); #35901=AXIS2_PLACEMENT_3D('',#49986,#40165,#40166); #35902=AXIS2_PLACEMENT_3D('',#49988,#40167,#40168); #35903=AXIS2_PLACEMENT_3D('',#49991,#40170,#40171); #35904=AXIS2_PLACEMENT_3D('',#49992,#40172,#40173); #35905=AXIS2_PLACEMENT_3D('',#49998,#40177,#40178); #35906=AXIS2_PLACEMENT_3D('',#50004,#40182,#40183); #35907=AXIS2_PLACEMENT_3D('',#50010,#40187,#40188); #35908=AXIS2_PLACEMENT_3D('',#50016,#40192,#40193); #35909=AXIS2_PLACEMENT_3D('',#50022,#40197,#40198); #35910=AXIS2_PLACEMENT_3D('',#50028,#40202,#40203); #35911=AXIS2_PLACEMENT_3D('',#50034,#40207,#40208); #35912=AXIS2_PLACEMENT_3D('',#50035,#40209,#40210); #35913=AXIS2_PLACEMENT_3D('',#50036,#40211,#40212); #35914=AXIS2_PLACEMENT_3D('',#50037,#40213,#40214); #35915=AXIS2_PLACEMENT_3D('',#50038,#40215,#40216); #35916=AXIS2_PLACEMENT_3D('',#50039,#40217,#40218); #35917=AXIS2_PLACEMENT_3D('',#50041,#40219,#40220); #35918=AXIS2_PLACEMENT_3D('',#50044,#40222,#40223); #35919=AXIS2_PLACEMENT_3D('',#50045,#40224,#40225); #35920=AXIS2_PLACEMENT_3D('',#50047,#40226,#40227); #35921=AXIS2_PLACEMENT_3D('',#50050,#40229,#40230); #35922=AXIS2_PLACEMENT_3D('',#50051,#40231,#40232); #35923=AXIS2_PLACEMENT_3D('',#50053,#40233,#40234); #35924=AXIS2_PLACEMENT_3D('',#50056,#40236,#40237); #35925=AXIS2_PLACEMENT_3D('',#50057,#40238,#40239); #35926=AXIS2_PLACEMENT_3D('',#50060,#40240,#40241); #35927=AXIS2_PLACEMENT_3D('',#50064,#40243,#40244); #35928=AXIS2_PLACEMENT_3D('',#50066,#40246,#40247); #35929=AXIS2_PLACEMENT_3D('',#50072,#40251,#40252); #35930=AXIS2_PLACEMENT_3D('',#50078,#40256,#40257); #35931=AXIS2_PLACEMENT_3D('',#50084,#40261,#40262); #35932=AXIS2_PLACEMENT_3D('',#50090,#40266,#40267); #35933=AXIS2_PLACEMENT_3D('',#50096,#40271,#40272); #35934=AXIS2_PLACEMENT_3D('',#50102,#40276,#40277); #35935=AXIS2_PLACEMENT_3D('',#50108,#40281,#40282); #35936=AXIS2_PLACEMENT_3D('',#50110,#40283,#40284); #35937=AXIS2_PLACEMENT_3D('',#50113,#40286,#40287); #35938=AXIS2_PLACEMENT_3D('',#50114,#40288,#40289); #35939=AXIS2_PLACEMENT_3D('',#50117,#40292,#40293); #35940=AXIS2_PLACEMENT_3D('',#50118,#40294,#40295); #35941=AXIS2_PLACEMENT_3D('',#50119,#40296,#40297); #35942=AXIS2_PLACEMENT_3D('',#50121,#40298,#40299); #35943=AXIS2_PLACEMENT_3D('',#50124,#40301,#40302); #35944=AXIS2_PLACEMENT_3D('',#50125,#40303,#40304); #35945=AXIS2_PLACEMENT_3D('',#50127,#40305,#40306); #35946=AXIS2_PLACEMENT_3D('',#50130,#40308,#40309); #35947=AXIS2_PLACEMENT_3D('',#50131,#40310,#40311); #35948=AXIS2_PLACEMENT_3D('',#50133,#40312,#40313); #35949=AXIS2_PLACEMENT_3D('',#50136,#40315,#40316); #35950=AXIS2_PLACEMENT_3D('',#50137,#40317,#40318); #35951=AXIS2_PLACEMENT_3D('',#50140,#40319,#40320); #35952=AXIS2_PLACEMENT_3D('',#50144,#40322,#40323); #35953=AXIS2_PLACEMENT_3D('',#50146,#40325,#40326); #35954=AXIS2_PLACEMENT_3D('',#50152,#40330,#40331); #35955=AXIS2_PLACEMENT_3D('',#50158,#40335,#40336); #35956=AXIS2_PLACEMENT_3D('',#50164,#40340,#40341); #35957=AXIS2_PLACEMENT_3D('',#50170,#40345,#40346); #35958=AXIS2_PLACEMENT_3D('',#50176,#40350,#40351); #35959=AXIS2_PLACEMENT_3D('',#50182,#40355,#40356); #35960=AXIS2_PLACEMENT_3D('',#50188,#40360,#40361); #35961=AXIS2_PLACEMENT_3D('',#50190,#40362,#40363); #35962=AXIS2_PLACEMENT_3D('',#50193,#40365,#40366); #35963=AXIS2_PLACEMENT_3D('',#50194,#40367,#40368); #35964=AXIS2_PLACEMENT_3D('',#50197,#40371,#40372); #35965=AXIS2_PLACEMENT_3D('',#50198,#40373,#40374); #35966=AXIS2_PLACEMENT_3D('',#50199,#40375,#40376); #35967=AXIS2_PLACEMENT_3D('',#50208,#40381,#40382); #35968=AXIS2_PLACEMENT_3D('',#50214,#40386,#40387); #35969=AXIS2_PLACEMENT_3D('',#50220,#40391,#40392); #35970=AXIS2_PLACEMENT_3D('',#50223,#40395,#40396); #35971=AXIS2_PLACEMENT_3D('',#50232,#40401,#40402); #35972=AXIS2_PLACEMENT_3D('',#50238,#40406,#40407); #35973=AXIS2_PLACEMENT_3D('',#50244,#40411,#40412); #35974=AXIS2_PLACEMENT_3D('',#50247,#40415,#40416); #35975=AXIS2_PLACEMENT_3D('',#50256,#40421,#40422); #35976=AXIS2_PLACEMENT_3D('',#50262,#40426,#40427); #35977=AXIS2_PLACEMENT_3D('',#50268,#40431,#40432); #35978=AXIS2_PLACEMENT_3D('',#50271,#40435,#40436); #35979=AXIS2_PLACEMENT_3D('',#50273,#40437,#40438); #35980=AXIS2_PLACEMENT_3D('',#50276,#40440,#40441); #35981=AXIS2_PLACEMENT_3D('',#50277,#40442,#40443); #35982=AXIS2_PLACEMENT_3D('',#50279,#40444,#40445); #35983=AXIS2_PLACEMENT_3D('',#50282,#40447,#40448); #35984=AXIS2_PLACEMENT_3D('',#50283,#40449,#40450); #35985=AXIS2_PLACEMENT_3D('',#50285,#40451,#40452); #35986=AXIS2_PLACEMENT_3D('',#50288,#40454,#40455); #35987=AXIS2_PLACEMENT_3D('',#50289,#40456,#40457); #35988=AXIS2_PLACEMENT_3D('',#50291,#40458,#40459); #35989=AXIS2_PLACEMENT_3D('',#50294,#40461,#40462); #35990=AXIS2_PLACEMENT_3D('',#50295,#40463,#40464); #35991=AXIS2_PLACEMENT_3D('',#50297,#40465,#40466); #35992=AXIS2_PLACEMENT_3D('',#50300,#40468,#40469); #35993=AXIS2_PLACEMENT_3D('',#50301,#40470,#40471); #35994=AXIS2_PLACEMENT_3D('',#50303,#40472,#40473); #35995=AXIS2_PLACEMENT_3D('',#50306,#40475,#40476); #35996=AXIS2_PLACEMENT_3D('',#50307,#40477,#40478); #35997=AXIS2_PLACEMENT_3D('',#50316,#40483,#40484); #35998=AXIS2_PLACEMENT_3D('',#50322,#40488,#40489); #35999=AXIS2_PLACEMENT_3D('',#50328,#40493,#40494); #36000=AXIS2_PLACEMENT_3D('',#50331,#40497,#40498); #36001=AXIS2_PLACEMENT_3D('',#50340,#40503,#40504); #36002=AXIS2_PLACEMENT_3D('',#50346,#40508,#40509); #36003=AXIS2_PLACEMENT_3D('',#50352,#40513,#40514); #36004=AXIS2_PLACEMENT_3D('',#50355,#40517,#40518); #36005=AXIS2_PLACEMENT_3D('',#50364,#40523,#40524); #36006=AXIS2_PLACEMENT_3D('',#50370,#40528,#40529); #36007=AXIS2_PLACEMENT_3D('',#50376,#40533,#40534); #36008=AXIS2_PLACEMENT_3D('',#50379,#40537,#40538); #36009=AXIS2_PLACEMENT_3D('',#50388,#40543,#40544); #36010=AXIS2_PLACEMENT_3D('',#50394,#40548,#40549); #36011=AXIS2_PLACEMENT_3D('',#50400,#40553,#40554); #36012=AXIS2_PLACEMENT_3D('',#50403,#40557,#40558); #36013=AXIS2_PLACEMENT_3D('',#50412,#40563,#40564); #36014=AXIS2_PLACEMENT_3D('',#50418,#40568,#40569); #36015=AXIS2_PLACEMENT_3D('',#50424,#40573,#40574); #36016=AXIS2_PLACEMENT_3D('',#50427,#40577,#40578); #36017=AXIS2_PLACEMENT_3D('',#50436,#40583,#40584); #36018=AXIS2_PLACEMENT_3D('',#50442,#40588,#40589); #36019=AXIS2_PLACEMENT_3D('',#50448,#40593,#40594); #36020=AXIS2_PLACEMENT_3D('',#50451,#40597,#40598); #36021=AXIS2_PLACEMENT_3D('',#50453,#40599,#40600); #36022=AXIS2_PLACEMENT_3D('',#50456,#40602,#40603); #36023=AXIS2_PLACEMENT_3D('',#50457,#40604,#40605); #36024=AXIS2_PLACEMENT_3D('',#50466,#40610,#40611); #36025=AXIS2_PLACEMENT_3D('',#50472,#40615,#40616); #36026=AXIS2_PLACEMENT_3D('',#50478,#40620,#40621); #36027=AXIS2_PLACEMENT_3D('',#50481,#40624,#40625); #36028=AXIS2_PLACEMENT_3D('',#50490,#40630,#40631); #36029=AXIS2_PLACEMENT_3D('',#50496,#40635,#40636); #36030=AXIS2_PLACEMENT_3D('',#50502,#40640,#40641); #36031=AXIS2_PLACEMENT_3D('',#50505,#40644,#40645); #36032=AXIS2_PLACEMENT_3D('',#50514,#40650,#40651); #36033=AXIS2_PLACEMENT_3D('',#50520,#40655,#40656); #36034=AXIS2_PLACEMENT_3D('',#50526,#40660,#40661); #36035=AXIS2_PLACEMENT_3D('',#50529,#40664,#40665); #36036=AXIS2_PLACEMENT_3D('',#50538,#40670,#40671); #36037=AXIS2_PLACEMENT_3D('',#50544,#40675,#40676); #36038=AXIS2_PLACEMENT_3D('',#50550,#40680,#40681); #36039=AXIS2_PLACEMENT_3D('',#50553,#40684,#40685); #36040=AXIS2_PLACEMENT_3D('',#50562,#40690,#40691); #36041=AXIS2_PLACEMENT_3D('',#50568,#40695,#40696); #36042=AXIS2_PLACEMENT_3D('',#50574,#40700,#40701); #36043=AXIS2_PLACEMENT_3D('',#50577,#40704,#40705); #36044=AXIS2_PLACEMENT_3D('',#50579,#40706,#40707); #36045=AXIS2_PLACEMENT_3D('',#50582,#40709,#40710); #36046=AXIS2_PLACEMENT_3D('',#50583,#40711,#40712); #36047=AXIS2_PLACEMENT_3D('',#50585,#40713,#40714); #36048=AXIS2_PLACEMENT_3D('',#50588,#40716,#40717); #36049=AXIS2_PLACEMENT_3D('',#50589,#40718,#40719); #36050=AXIS2_PLACEMENT_3D('',#50598,#40724,#40725); #36051=AXIS2_PLACEMENT_3D('',#50604,#40729,#40730); #36052=AXIS2_PLACEMENT_3D('',#50610,#40734,#40735); #36053=AXIS2_PLACEMENT_3D('',#50613,#40738,#40739); #36054=AXIS2_PLACEMENT_3D('',#50615,#40740,#40741); #36055=AXIS2_PLACEMENT_3D('',#50618,#40743,#40744); #36056=AXIS2_PLACEMENT_3D('',#50619,#40745,#40746); #36057=AXIS2_PLACEMENT_3D('',#50621,#40747,#40748); #36058=AXIS2_PLACEMENT_3D('',#50624,#40750,#40751); #36059=AXIS2_PLACEMENT_3D('',#50625,#40752,#40753); #36060=AXIS2_PLACEMENT_3D('',#50627,#40754,#40755); #36061=AXIS2_PLACEMENT_3D('',#50630,#40757,#40758); #36062=AXIS2_PLACEMENT_3D('',#50631,#40759,#40760); #36063=AXIS2_PLACEMENT_3D('',#50640,#40765,#40766); #36064=AXIS2_PLACEMENT_3D('',#50646,#40770,#40771); #36065=AXIS2_PLACEMENT_3D('',#50652,#40775,#40776); #36066=AXIS2_PLACEMENT_3D('',#50655,#40779,#40780); #36067=AXIS2_PLACEMENT_3D('',#50657,#40781,#40782); #36068=AXIS2_PLACEMENT_3D('',#50660,#40784,#40785); #36069=AXIS2_PLACEMENT_3D('',#50661,#40786,#40787); #36070=AXIS2_PLACEMENT_3D('',#50663,#40788,#40789); #36071=AXIS2_PLACEMENT_3D('',#50666,#40791,#40792); #36072=AXIS2_PLACEMENT_3D('',#50667,#40793,#40794); #36073=AXIS2_PLACEMENT_3D('',#50669,#40795,#40796); #36074=AXIS2_PLACEMENT_3D('',#50672,#40798,#40799); #36075=AXIS2_PLACEMENT_3D('',#50673,#40800,#40801); #36076=AXIS2_PLACEMENT_3D('',#50682,#40806,#40807); #36077=AXIS2_PLACEMENT_3D('',#50688,#40811,#40812); #36078=AXIS2_PLACEMENT_3D('',#50694,#40816,#40817); #36079=AXIS2_PLACEMENT_3D('',#50697,#40820,#40821); #36080=AXIS2_PLACEMENT_3D('',#50700,#40822,#40823); #36081=AXIS2_PLACEMENT_3D('',#50704,#40825,#40826); #36082=AXIS2_PLACEMENT_3D('',#50706,#40828,#40829); #36083=AXIS2_PLACEMENT_3D('',#50708,#40830,#40831); #36084=AXIS2_PLACEMENT_3D('',#50710,#40832,#40833); #36085=AXIS2_PLACEMENT_3D('',#50712,#40835,#40836); #36086=AXIS2_PLACEMENT_3D('',#50718,#40840,#40841); #36087=AXIS2_PLACEMENT_3D('',#50720,#40842,#40843); #36088=AXIS2_PLACEMENT_3D('',#50722,#40844,#40845); #36089=AXIS2_PLACEMENT_3D('',#50724,#40847,#40848); #36090=AXIS2_PLACEMENT_3D('',#50726,#40849,#40850); #36091=AXIS2_PLACEMENT_3D('',#50728,#40851,#40852); #36092=AXIS2_PLACEMENT_3D('',#50730,#40854,#40855); #36093=AXIS2_PLACEMENT_3D('',#50732,#40856,#40857); #36094=AXIS2_PLACEMENT_3D('',#50734,#40858,#40859); #36095=AXIS2_PLACEMENT_3D('',#50736,#40861,#40862); #36096=AXIS2_PLACEMENT_3D('',#50742,#40866,#40867); #36097=AXIS2_PLACEMENT_3D('',#50743,#40868,#40869); #36098=AXIS2_PLACEMENT_3D('',#50744,#40870,#40871); #36099=AXIS2_PLACEMENT_3D('',#50745,#40872,#40873); #36100=AXIS2_PLACEMENT_3D('',#50754,#40878,#40879); #36101=AXIS2_PLACEMENT_3D('',#50760,#40883,#40884); #36102=AXIS2_PLACEMENT_3D('',#50766,#40888,#40889); #36103=AXIS2_PLACEMENT_3D('',#50769,#40892,#40893); #36104=AXIS2_PLACEMENT_3D('',#50771,#40894,#40895); #36105=AXIS2_PLACEMENT_3D('',#50774,#40897,#40898); #36106=AXIS2_PLACEMENT_3D('',#50775,#40899,#40900); #36107=AXIS2_PLACEMENT_3D('',#50784,#40905,#40906); #36108=AXIS2_PLACEMENT_3D('',#50790,#40910,#40911); #36109=AXIS2_PLACEMENT_3D('',#50796,#40915,#40916); #36110=AXIS2_PLACEMENT_3D('',#50799,#40919,#40920); #36111=AXIS2_PLACEMENT_3D('',#50808,#40925,#40926); #36112=AXIS2_PLACEMENT_3D('',#50814,#40930,#40931); #36113=AXIS2_PLACEMENT_3D('',#50820,#40935,#40936); #36114=AXIS2_PLACEMENT_3D('',#50823,#40939,#40940); #36115=AXIS2_PLACEMENT_3D('',#50832,#40945,#40946); #36116=AXIS2_PLACEMENT_3D('',#50838,#40950,#40951); #36117=AXIS2_PLACEMENT_3D('',#50844,#40955,#40956); #36118=AXIS2_PLACEMENT_3D('',#50847,#40959,#40960); #36119=AXIS2_PLACEMENT_3D('',#50849,#40961,#40962); #36120=AXIS2_PLACEMENT_3D('',#50852,#40964,#40965); #36121=AXIS2_PLACEMENT_3D('',#50853,#40966,#40967); #36122=AXIS2_PLACEMENT_3D('',#50862,#40972,#40973); #36123=AXIS2_PLACEMENT_3D('',#50868,#40977,#40978); #36124=AXIS2_PLACEMENT_3D('',#50874,#40982,#40983); #36125=AXIS2_PLACEMENT_3D('',#50880,#40987,#40988); #36126=AXIS2_PLACEMENT_3D('',#50886,#40992,#40993); #36127=AXIS2_PLACEMENT_3D('',#50892,#40997,#40998); #36128=AXIS2_PLACEMENT_3D('',#50898,#41002,#41003); #36129=AXIS2_PLACEMENT_3D('',#50904,#41007,#41008); #36130=AXIS2_PLACEMENT_3D('',#50906,#41009,#41010); #36131=AXIS2_PLACEMENT_3D('',#50908,#41011,#41012); #36132=AXIS2_PLACEMENT_3D('',#50910,#41014,#41015); #36133=AXIS2_PLACEMENT_3D('',#50916,#41019,#41020); #36134=AXIS2_PLACEMENT_3D('',#50918,#41021,#41022); #36135=AXIS2_PLACEMENT_3D('',#50920,#41023,#41024); #36136=AXIS2_PLACEMENT_3D('',#50922,#41026,#41027); #36137=AXIS2_PLACEMENT_3D('',#50928,#41031,#41032); #36138=AXIS2_PLACEMENT_3D('',#50934,#41036,#41037); #36139=AXIS2_PLACEMENT_3D('',#50940,#41041,#41042); #36140=AXIS2_PLACEMENT_3D('',#50946,#41046,#41047); #36141=AXIS2_PLACEMENT_3D('',#50952,#41051,#41052); #36142=AXIS2_PLACEMENT_3D('',#50958,#41056,#41057); #36143=AXIS2_PLACEMENT_3D('',#50964,#41061,#41062); #36144=AXIS2_PLACEMENT_3D('',#50970,#41066,#41067); #36145=AXIS2_PLACEMENT_3D('',#50976,#41071,#41072); #36146=AXIS2_PLACEMENT_3D('',#50982,#41076,#41077); #36147=AXIS2_PLACEMENT_3D('',#50988,#41081,#41082); #36148=AXIS2_PLACEMENT_3D('',#50994,#41086,#41087); #36149=AXIS2_PLACEMENT_3D('',#51000,#41091,#41092); #36150=AXIS2_PLACEMENT_3D('',#51002,#41093,#41094); #36151=AXIS2_PLACEMENT_3D('',#51004,#41095,#41096); #36152=AXIS2_PLACEMENT_3D('',#51006,#41098,#41099); #36153=AXIS2_PLACEMENT_3D('',#51012,#41103,#41104); #36154=AXIS2_PLACEMENT_3D('',#51014,#41105,#41106); #36155=AXIS2_PLACEMENT_3D('',#51016,#41107,#41108); #36156=AXIS2_PLACEMENT_3D('',#51018,#41110,#41111); #36157=AXIS2_PLACEMENT_3D('',#51024,#41115,#41116); #36158=AXIS2_PLACEMENT_3D('',#51030,#41120,#41121); #36159=AXIS2_PLACEMENT_3D('',#51036,#41125,#41126); #36160=AXIS2_PLACEMENT_3D('',#51042,#41130,#41131); #36161=AXIS2_PLACEMENT_3D('',#51045,#41134,#41135); #36162=AXIS2_PLACEMENT_3D('',#51046,#41136,#41137); #36163=AXIS2_PLACEMENT_3D('',#51047,#41138,#41139); #36164=AXIS2_PLACEMENT_3D('',#51049,#41140,#41141); #36165=AXIS2_PLACEMENT_3D('',#51052,#41143,#41144); #36166=AXIS2_PLACEMENT_3D('',#51053,#41145,#41146); #36167=AXIS2_PLACEMENT_3D('',#51055,#41147,#41148); #36168=AXIS2_PLACEMENT_3D('',#51058,#41150,#41151); #36169=AXIS2_PLACEMENT_3D('',#51059,#41152,#41153); #36170=AXIS2_PLACEMENT_3D('',#51061,#41154,#41155); #36171=AXIS2_PLACEMENT_3D('',#51064,#41157,#41158); #36172=AXIS2_PLACEMENT_3D('',#51065,#41159,#41160); #36173=AXIS2_PLACEMENT_3D('',#51074,#41165,#41166); #36174=AXIS2_PLACEMENT_3D('',#51076,#41167,#41168); #36175=AXIS2_PLACEMENT_3D('',#51078,#41169,#41170); #36176=AXIS2_PLACEMENT_3D('',#51080,#41172,#41173); #36177=AXIS2_PLACEMENT_3D('',#51086,#41177,#41178); #36178=AXIS2_PLACEMENT_3D('',#51088,#41179,#41180); #36179=AXIS2_PLACEMENT_3D('',#51090,#41181,#41182); #36180=AXIS2_PLACEMENT_3D('',#51092,#41184,#41185); #36181=AXIS2_PLACEMENT_3D('',#51098,#41189,#41190); #36182=AXIS2_PLACEMENT_3D('',#51100,#41191,#41192); #36183=AXIS2_PLACEMENT_3D('',#51102,#41193,#41194); #36184=AXIS2_PLACEMENT_3D('',#51104,#41196,#41197); #36185=AXIS2_PLACEMENT_3D('',#51110,#41201,#41202); #36186=AXIS2_PLACEMENT_3D('',#51111,#41203,#41204); #36187=AXIS2_PLACEMENT_3D('',#51112,#41205,#41206); #36188=AXIS2_PLACEMENT_3D('',#51113,#41207,#41208); #36189=AXIS2_PLACEMENT_3D('',#51114,#41209,#41210); #36190=AXIS2_PLACEMENT_3D('',#51115,#41211,#41212); #36191=AXIS2_PLACEMENT_3D('placement',#51116,#41213,#41214); #36192=AXIS2_PLACEMENT_3D('',#51117,#41215,#41216); #36193=AXIS2_PLACEMENT_3D('',#51119,#41217,#41218); #36194=AXIS2_PLACEMENT_3D('',#51122,#41220,#41221); #36195=AXIS2_PLACEMENT_3D('',#51123,#41222,#41223); #36196=AXIS2_PLACEMENT_3D('',#51125,#41224,#41225); #36197=AXIS2_PLACEMENT_3D('',#51128,#41227,#41228); #36198=AXIS2_PLACEMENT_3D('',#51129,#41229,#41230); #36199=AXIS2_PLACEMENT_3D('',#51138,#41235,#41236); #36200=AXIS2_PLACEMENT_3D('',#51144,#41240,#41241); #36201=AXIS2_PLACEMENT_3D('',#51150,#41245,#41246); #36202=AXIS2_PLACEMENT_3D('',#51156,#41250,#41251); #36203=AXIS2_PLACEMENT_3D('',#51162,#41255,#41256); #36204=AXIS2_PLACEMENT_3D('',#51168,#41260,#41261); #36205=AXIS2_PLACEMENT_3D('',#51174,#41265,#41266); #36206=AXIS2_PLACEMENT_3D('',#51180,#41270,#41271); #36207=AXIS2_PLACEMENT_3D('',#51186,#41275,#41276); #36208=AXIS2_PLACEMENT_3D('',#51192,#41280,#41281); #36209=AXIS2_PLACEMENT_3D('',#51198,#41285,#41286); #36210=AXIS2_PLACEMENT_3D('',#51201,#41289,#41290); #36211=AXIS2_PLACEMENT_3D('',#51202,#41291,#41292); #36212=AXIS2_PLACEMENT_3D('',#51203,#41293,#41294); #36213=AXIS2_PLACEMENT_3D('',#51205,#41295,#41296); #36214=AXIS2_PLACEMENT_3D('',#51208,#41298,#41299); #36215=AXIS2_PLACEMENT_3D('',#51209,#41300,#41301); #36216=AXIS2_PLACEMENT_3D('',#51211,#41302,#41303); #36217=AXIS2_PLACEMENT_3D('',#51214,#41305,#41306); #36218=AXIS2_PLACEMENT_3D('',#51215,#41307,#41308); #36219=AXIS2_PLACEMENT_3D('',#51224,#41313,#41314); #36220=AXIS2_PLACEMENT_3D('',#51230,#41318,#41319); #36221=AXIS2_PLACEMENT_3D('',#51236,#41323,#41324); #36222=AXIS2_PLACEMENT_3D('',#51242,#41328,#41329); #36223=AXIS2_PLACEMENT_3D('',#51248,#41333,#41334); #36224=AXIS2_PLACEMENT_3D('',#51254,#41338,#41339); #36225=AXIS2_PLACEMENT_3D('',#51260,#41343,#41344); #36226=AXIS2_PLACEMENT_3D('',#51266,#41348,#41349); #36227=AXIS2_PLACEMENT_3D('',#51272,#41353,#41354); #36228=AXIS2_PLACEMENT_3D('',#51278,#41358,#41359); #36229=AXIS2_PLACEMENT_3D('',#51284,#41363,#41364); #36230=AXIS2_PLACEMENT_3D('',#51287,#41367,#41368); #36231=AXIS2_PLACEMENT_3D('',#51288,#41369,#41370); #36232=AXIS2_PLACEMENT_3D('',#51289,#41371,#41372); #36233=AXIS2_PLACEMENT_3D('',#51291,#41373,#41374); #36234=AXIS2_PLACEMENT_3D('',#51294,#41376,#41377); #36235=AXIS2_PLACEMENT_3D('',#51295,#41378,#41379); #36236=AXIS2_PLACEMENT_3D('',#51297,#41380,#41381); #36237=AXIS2_PLACEMENT_3D('',#51300,#41383,#41384); #36238=AXIS2_PLACEMENT_3D('',#51301,#41385,#41386); #36239=AXIS2_PLACEMENT_3D('',#51310,#41391,#41392); #36240=AXIS2_PLACEMENT_3D('',#51316,#41396,#41397); #36241=AXIS2_PLACEMENT_3D('',#51322,#41401,#41402); #36242=AXIS2_PLACEMENT_3D('',#51328,#41406,#41407); #36243=AXIS2_PLACEMENT_3D('',#51334,#41411,#41412); #36244=AXIS2_PLACEMENT_3D('',#51340,#41416,#41417); #36245=AXIS2_PLACEMENT_3D('',#51346,#41421,#41422); #36246=AXIS2_PLACEMENT_3D('',#51352,#41426,#41427); #36247=AXIS2_PLACEMENT_3D('',#51358,#41431,#41432); #36248=AXIS2_PLACEMENT_3D('',#51364,#41436,#41437); #36249=AXIS2_PLACEMENT_3D('',#51370,#41441,#41442); #36250=AXIS2_PLACEMENT_3D('',#51373,#41445,#41446); #36251=AXIS2_PLACEMENT_3D('',#51374,#41447,#41448); #36252=AXIS2_PLACEMENT_3D('',#51375,#41449,#41450); #36253=AXIS2_PLACEMENT_3D('',#51377,#41451,#41452); #36254=AXIS2_PLACEMENT_3D('',#51380,#41454,#41455); #36255=AXIS2_PLACEMENT_3D('',#51381,#41456,#41457); #36256=AXIS2_PLACEMENT_3D('',#51383,#41458,#41459); #36257=AXIS2_PLACEMENT_3D('',#51386,#41461,#41462); #36258=AXIS2_PLACEMENT_3D('',#51387,#41463,#41464); #36259=AXIS2_PLACEMENT_3D('',#51396,#41469,#41470); #36260=AXIS2_PLACEMENT_3D('',#51402,#41474,#41475); #36261=AXIS2_PLACEMENT_3D('',#51408,#41479,#41480); #36262=AXIS2_PLACEMENT_3D('',#51414,#41484,#41485); #36263=AXIS2_PLACEMENT_3D('',#51420,#41489,#41490); #36264=AXIS2_PLACEMENT_3D('',#51426,#41494,#41495); #36265=AXIS2_PLACEMENT_3D('',#51432,#41499,#41500); #36266=AXIS2_PLACEMENT_3D('',#51438,#41504,#41505); #36267=AXIS2_PLACEMENT_3D('',#51444,#41509,#41510); #36268=AXIS2_PLACEMENT_3D('',#51450,#41514,#41515); #36269=AXIS2_PLACEMENT_3D('',#51456,#41519,#41520); #36270=AXIS2_PLACEMENT_3D('',#51459,#41523,#41524); #36271=AXIS2_PLACEMENT_3D('',#51460,#41525,#41526); #36272=AXIS2_PLACEMENT_3D('',#51461,#41527,#41528); #36273=AXIS2_PLACEMENT_3D('',#51463,#41529,#41530); #36274=AXIS2_PLACEMENT_3D('',#51466,#41532,#41533); #36275=AXIS2_PLACEMENT_3D('',#51467,#41534,#41535); #36276=AXIS2_PLACEMENT_3D('',#51469,#41536,#41537); #36277=AXIS2_PLACEMENT_3D('',#51472,#41539,#41540); #36278=AXIS2_PLACEMENT_3D('',#51473,#41541,#41542); #36279=AXIS2_PLACEMENT_3D('',#51482,#41547,#41548); #36280=AXIS2_PLACEMENT_3D('',#51488,#41552,#41553); #36281=AXIS2_PLACEMENT_3D('',#51494,#41557,#41558); #36282=AXIS2_PLACEMENT_3D('',#51500,#41562,#41563); #36283=AXIS2_PLACEMENT_3D('',#51506,#41567,#41568); #36284=AXIS2_PLACEMENT_3D('',#51512,#41572,#41573); #36285=AXIS2_PLACEMENT_3D('',#51518,#41577,#41578); #36286=AXIS2_PLACEMENT_3D('',#51524,#41582,#41583); #36287=AXIS2_PLACEMENT_3D('',#51530,#41587,#41588); #36288=AXIS2_PLACEMENT_3D('',#51536,#41592,#41593); #36289=AXIS2_PLACEMENT_3D('',#51542,#41597,#41598); #36290=AXIS2_PLACEMENT_3D('',#51545,#41601,#41602); #36291=AXIS2_PLACEMENT_3D('',#51546,#41603,#41604); #36292=AXIS2_PLACEMENT_3D('',#51547,#41605,#41606); #36293=AXIS2_PLACEMENT_3D('',#51549,#41607,#41608); #36294=AXIS2_PLACEMENT_3D('',#51552,#41610,#41611); #36295=AXIS2_PLACEMENT_3D('',#51553,#41612,#41613); #36296=AXIS2_PLACEMENT_3D('',#51555,#41614,#41615); #36297=AXIS2_PLACEMENT_3D('',#51558,#41617,#41618); #36298=AXIS2_PLACEMENT_3D('',#51559,#41619,#41620); #36299=AXIS2_PLACEMENT_3D('',#51568,#41625,#41626); #36300=AXIS2_PLACEMENT_3D('',#51574,#41630,#41631); #36301=AXIS2_PLACEMENT_3D('',#51580,#41635,#41636); #36302=AXIS2_PLACEMENT_3D('',#51586,#41640,#41641); #36303=AXIS2_PLACEMENT_3D('',#51592,#41645,#41646); #36304=AXIS2_PLACEMENT_3D('',#51598,#41650,#41651); #36305=AXIS2_PLACEMENT_3D('',#51604,#41655,#41656); #36306=AXIS2_PLACEMENT_3D('',#51610,#41660,#41661); #36307=AXIS2_PLACEMENT_3D('',#51616,#41665,#41666); #36308=AXIS2_PLACEMENT_3D('',#51622,#41670,#41671); #36309=AXIS2_PLACEMENT_3D('',#51628,#41675,#41676); #36310=AXIS2_PLACEMENT_3D('',#51631,#41679,#41680); #36311=AXIS2_PLACEMENT_3D('',#51632,#41681,#41682); #36312=AXIS2_PLACEMENT_3D('placement',#51633,#41683,#41684); #36313=AXIS2_PLACEMENT_3D('',#51634,#41685,#41686); #36314=AXIS2_PLACEMENT_3D('',#51643,#41691,#41692); #36315=AXIS2_PLACEMENT_3D('',#51645,#41693,#41694); #36316=AXIS2_PLACEMENT_3D('',#51647,#41695,#41696); #36317=AXIS2_PLACEMENT_3D('',#51649,#41698,#41699); #36318=AXIS2_PLACEMENT_3D('',#51655,#41703,#41704); #36319=AXIS2_PLACEMENT_3D('',#51657,#41705,#41706); #36320=AXIS2_PLACEMENT_3D('',#51659,#41707,#41708); #36321=AXIS2_PLACEMENT_3D('',#51661,#41710,#41711); #36322=AXIS2_PLACEMENT_3D('',#51667,#41715,#41716); #36323=AXIS2_PLACEMENT_3D('',#51669,#41717,#41718); #36324=AXIS2_PLACEMENT_3D('',#51671,#41719,#41720); #36325=AXIS2_PLACEMENT_3D('',#51673,#41722,#41723); #36326=AXIS2_PLACEMENT_3D('',#51679,#41727,#41728); #36327=AXIS2_PLACEMENT_3D('',#51680,#41729,#41730); #36328=AXIS2_PLACEMENT_3D('',#51681,#41731,#41732); #36329=AXIS2_PLACEMENT_3D('',#51682,#41733,#41734); #36330=AXIS2_PLACEMENT_3D('',#51691,#41739,#41740); #36331=AXIS2_PLACEMENT_3D('',#51693,#41741,#41742); #36332=AXIS2_PLACEMENT_3D('',#51695,#41743,#41744); #36333=AXIS2_PLACEMENT_3D('',#51697,#41746,#41747); #36334=AXIS2_PLACEMENT_3D('',#51703,#41751,#41752); #36335=AXIS2_PLACEMENT_3D('',#51705,#41753,#41754); #36336=AXIS2_PLACEMENT_3D('',#51707,#41755,#41756); #36337=AXIS2_PLACEMENT_3D('',#51709,#41758,#41759); #36338=AXIS2_PLACEMENT_3D('',#51715,#41763,#41764); #36339=AXIS2_PLACEMENT_3D('',#51717,#41765,#41766); #36340=AXIS2_PLACEMENT_3D('',#51719,#41767,#41768); #36341=AXIS2_PLACEMENT_3D('',#51721,#41770,#41771); #36342=AXIS2_PLACEMENT_3D('',#51727,#41775,#41776); #36343=AXIS2_PLACEMENT_3D('',#51728,#41777,#41778); #36344=AXIS2_PLACEMENT_3D('',#51729,#41779,#41780); #36345=AXIS2_PLACEMENT_3D('',#51730,#41781,#41782); #36346=AXIS2_PLACEMENT_3D('',#51739,#41787,#41788); #36347=AXIS2_PLACEMENT_3D('',#51741,#41789,#41790); #36348=AXIS2_PLACEMENT_3D('',#51743,#41791,#41792); #36349=AXIS2_PLACEMENT_3D('',#51745,#41794,#41795); #36350=AXIS2_PLACEMENT_3D('',#51751,#41799,#41800); #36351=AXIS2_PLACEMENT_3D('',#51753,#41801,#41802); #36352=AXIS2_PLACEMENT_3D('',#51755,#41803,#41804); #36353=AXIS2_PLACEMENT_3D('',#51757,#41806,#41807); #36354=AXIS2_PLACEMENT_3D('',#51763,#41811,#41812); #36355=AXIS2_PLACEMENT_3D('',#51765,#41813,#41814); #36356=AXIS2_PLACEMENT_3D('',#51767,#41815,#41816); #36357=AXIS2_PLACEMENT_3D('',#51769,#41818,#41819); #36358=AXIS2_PLACEMENT_3D('',#51775,#41823,#41824); #36359=AXIS2_PLACEMENT_3D('',#51776,#41825,#41826); #36360=AXIS2_PLACEMENT_3D('',#51777,#41827,#41828); #36361=AXIS2_PLACEMENT_3D('',#51778,#41829,#41830); #36362=AXIS2_PLACEMENT_3D('',#51787,#41835,#41836); #36363=AXIS2_PLACEMENT_3D('',#51789,#41837,#41838); #36364=AXIS2_PLACEMENT_3D('',#51791,#41839,#41840); #36365=AXIS2_PLACEMENT_3D('',#51793,#41842,#41843); #36366=AXIS2_PLACEMENT_3D('',#51799,#41847,#41848); #36367=AXIS2_PLACEMENT_3D('',#51801,#41849,#41850); #36368=AXIS2_PLACEMENT_3D('',#51803,#41851,#41852); #36369=AXIS2_PLACEMENT_3D('',#51805,#41854,#41855); #36370=AXIS2_PLACEMENT_3D('',#51811,#41859,#41860); #36371=AXIS2_PLACEMENT_3D('',#51813,#41861,#41862); #36372=AXIS2_PLACEMENT_3D('',#51815,#41863,#41864); #36373=AXIS2_PLACEMENT_3D('',#51817,#41866,#41867); #36374=AXIS2_PLACEMENT_3D('',#51823,#41871,#41872); #36375=AXIS2_PLACEMENT_3D('',#51824,#41873,#41874); #36376=AXIS2_PLACEMENT_3D('',#51825,#41875,#41876); #36377=AXIS2_PLACEMENT_3D('',#51826,#41877,#41878); #36378=AXIS2_PLACEMENT_3D('',#51835,#41883,#41884); #36379=AXIS2_PLACEMENT_3D('',#51837,#41885,#41886); #36380=AXIS2_PLACEMENT_3D('',#51839,#41887,#41888); #36381=AXIS2_PLACEMENT_3D('',#51841,#41890,#41891); #36382=AXIS2_PLACEMENT_3D('',#51847,#41895,#41896); #36383=AXIS2_PLACEMENT_3D('',#51849,#41897,#41898); #36384=AXIS2_PLACEMENT_3D('',#51851,#41899,#41900); #36385=AXIS2_PLACEMENT_3D('',#51853,#41902,#41903); #36386=AXIS2_PLACEMENT_3D('',#51859,#41907,#41908); #36387=AXIS2_PLACEMENT_3D('',#51861,#41909,#41910); #36388=AXIS2_PLACEMENT_3D('',#51863,#41911,#41912); #36389=AXIS2_PLACEMENT_3D('',#51865,#41914,#41915); #36390=AXIS2_PLACEMENT_3D('',#51871,#41919,#41920); #36391=AXIS2_PLACEMENT_3D('',#51872,#41921,#41922); #36392=AXIS2_PLACEMENT_3D('',#51873,#41923,#41924); #36393=AXIS2_PLACEMENT_3D('',#51874,#41925,#41926); #36394=AXIS2_PLACEMENT_3D('',#51883,#41931,#41932); #36395=AXIS2_PLACEMENT_3D('',#51885,#41933,#41934); #36396=AXIS2_PLACEMENT_3D('',#51887,#41935,#41936); #36397=AXIS2_PLACEMENT_3D('',#51889,#41938,#41939); #36398=AXIS2_PLACEMENT_3D('',#51895,#41943,#41944); #36399=AXIS2_PLACEMENT_3D('',#51897,#41945,#41946); #36400=AXIS2_PLACEMENT_3D('',#51899,#41947,#41948); #36401=AXIS2_PLACEMENT_3D('',#51901,#41950,#41951); #36402=AXIS2_PLACEMENT_3D('',#51907,#41955,#41956); #36403=AXIS2_PLACEMENT_3D('',#51909,#41957,#41958); #36404=AXIS2_PLACEMENT_3D('',#51911,#41959,#41960); #36405=AXIS2_PLACEMENT_3D('',#51913,#41962,#41963); #36406=AXIS2_PLACEMENT_3D('',#51919,#41967,#41968); #36407=AXIS2_PLACEMENT_3D('',#51920,#41969,#41970); #36408=AXIS2_PLACEMENT_3D('',#51921,#41971,#41972); #36409=AXIS2_PLACEMENT_3D('',#51922,#41973,#41974); #36410=AXIS2_PLACEMENT_3D('',#51931,#41979,#41980); #36411=AXIS2_PLACEMENT_3D('',#51933,#41981,#41982); #36412=AXIS2_PLACEMENT_3D('',#51935,#41983,#41984); #36413=AXIS2_PLACEMENT_3D('',#51937,#41986,#41987); #36414=AXIS2_PLACEMENT_3D('',#51943,#41991,#41992); #36415=AXIS2_PLACEMENT_3D('',#51945,#41993,#41994); #36416=AXIS2_PLACEMENT_3D('',#51947,#41995,#41996); #36417=AXIS2_PLACEMENT_3D('',#51949,#41998,#41999); #36418=AXIS2_PLACEMENT_3D('',#51955,#42003,#42004); #36419=AXIS2_PLACEMENT_3D('',#51957,#42005,#42006); #36420=AXIS2_PLACEMENT_3D('',#51959,#42007,#42008); #36421=AXIS2_PLACEMENT_3D('',#51961,#42010,#42011); #36422=AXIS2_PLACEMENT_3D('',#51967,#42015,#42016); #36423=AXIS2_PLACEMENT_3D('',#51968,#42017,#42018); #36424=AXIS2_PLACEMENT_3D('',#51969,#42019,#42020); #36425=AXIS2_PLACEMENT_3D('',#51970,#42021,#42022); #36426=AXIS2_PLACEMENT_3D('',#51979,#42027,#42028); #36427=AXIS2_PLACEMENT_3D('',#51981,#42029,#42030); #36428=AXIS2_PLACEMENT_3D('',#51983,#42031,#42032); #36429=AXIS2_PLACEMENT_3D('',#51985,#42034,#42035); #36430=AXIS2_PLACEMENT_3D('',#51991,#42039,#42040); #36431=AXIS2_PLACEMENT_3D('',#51993,#42041,#42042); #36432=AXIS2_PLACEMENT_3D('',#51995,#42043,#42044); #36433=AXIS2_PLACEMENT_3D('',#51997,#42046,#42047); #36434=AXIS2_PLACEMENT_3D('',#52003,#42051,#42052); #36435=AXIS2_PLACEMENT_3D('',#52005,#42053,#42054); #36436=AXIS2_PLACEMENT_3D('',#52007,#42055,#42056); #36437=AXIS2_PLACEMENT_3D('',#52009,#42058,#42059); #36438=AXIS2_PLACEMENT_3D('',#52015,#42063,#42064); #36439=AXIS2_PLACEMENT_3D('',#52016,#42065,#42066); #36440=AXIS2_PLACEMENT_3D('',#52017,#42067,#42068); #36441=AXIS2_PLACEMENT_3D('',#52018,#42069,#42070); #36442=AXIS2_PLACEMENT_3D('',#52027,#42075,#42076); #36443=AXIS2_PLACEMENT_3D('',#52029,#42077,#42078); #36444=AXIS2_PLACEMENT_3D('',#52031,#42079,#42080); #36445=AXIS2_PLACEMENT_3D('',#52033,#42082,#42083); #36446=AXIS2_PLACEMENT_3D('',#52039,#42087,#42088); #36447=AXIS2_PLACEMENT_3D('',#52041,#42089,#42090); #36448=AXIS2_PLACEMENT_3D('',#52043,#42091,#42092); #36449=AXIS2_PLACEMENT_3D('',#52045,#42094,#42095); #36450=AXIS2_PLACEMENT_3D('',#52051,#42099,#42100); #36451=AXIS2_PLACEMENT_3D('',#52053,#42101,#42102); #36452=AXIS2_PLACEMENT_3D('',#52055,#42103,#42104); #36453=AXIS2_PLACEMENT_3D('',#52057,#42106,#42107); #36454=AXIS2_PLACEMENT_3D('',#52063,#42111,#42112); #36455=AXIS2_PLACEMENT_3D('',#52064,#42113,#42114); #36456=AXIS2_PLACEMENT_3D('',#52065,#42115,#42116); #36457=AXIS2_PLACEMENT_3D('',#52066,#42117,#42118); #36458=AXIS2_PLACEMENT_3D('',#52075,#42123,#42124); #36459=AXIS2_PLACEMENT_3D('',#52077,#42125,#42126); #36460=AXIS2_PLACEMENT_3D('',#52079,#42127,#42128); #36461=AXIS2_PLACEMENT_3D('',#52081,#42130,#42131); #36462=AXIS2_PLACEMENT_3D('',#52087,#42135,#42136); #36463=AXIS2_PLACEMENT_3D('',#52089,#42137,#42138); #36464=AXIS2_PLACEMENT_3D('',#52091,#42139,#42140); #36465=AXIS2_PLACEMENT_3D('',#52093,#42142,#42143); #36466=AXIS2_PLACEMENT_3D('',#52099,#42147,#42148); #36467=AXIS2_PLACEMENT_3D('',#52101,#42149,#42150); #36468=AXIS2_PLACEMENT_3D('',#52103,#42151,#42152); #36469=AXIS2_PLACEMENT_3D('',#52105,#42154,#42155); #36470=AXIS2_PLACEMENT_3D('',#52111,#42159,#42160); #36471=AXIS2_PLACEMENT_3D('',#52112,#42161,#42162); #36472=AXIS2_PLACEMENT_3D('',#52113,#42163,#42164); #36473=AXIS2_PLACEMENT_3D('',#52114,#42165,#42166); #36474=AXIS2_PLACEMENT_3D('',#52123,#42171,#42172); #36475=AXIS2_PLACEMENT_3D('',#52125,#42173,#42174); #36476=AXIS2_PLACEMENT_3D('',#52127,#42175,#42176); #36477=AXIS2_PLACEMENT_3D('',#52129,#42178,#42179); #36478=AXIS2_PLACEMENT_3D('',#52135,#42183,#42184); #36479=AXIS2_PLACEMENT_3D('',#52137,#42185,#42186); #36480=AXIS2_PLACEMENT_3D('',#52139,#42187,#42188); #36481=AXIS2_PLACEMENT_3D('',#52141,#42190,#42191); #36482=AXIS2_PLACEMENT_3D('',#52147,#42195,#42196); #36483=AXIS2_PLACEMENT_3D('',#52149,#42197,#42198); #36484=AXIS2_PLACEMENT_3D('',#52151,#42199,#42200); #36485=AXIS2_PLACEMENT_3D('',#52153,#42202,#42203); #36486=AXIS2_PLACEMENT_3D('',#52159,#42207,#42208); #36487=AXIS2_PLACEMENT_3D('',#52160,#42209,#42210); #36488=AXIS2_PLACEMENT_3D('',#52161,#42211,#42212); #36489=AXIS2_PLACEMENT_3D('',#52162,#42213,#42214); #36490=AXIS2_PLACEMENT_3D('',#52171,#42219,#42220); #36491=AXIS2_PLACEMENT_3D('',#52173,#42221,#42222); #36492=AXIS2_PLACEMENT_3D('',#52175,#42223,#42224); #36493=AXIS2_PLACEMENT_3D('',#52177,#42226,#42227); #36494=AXIS2_PLACEMENT_3D('',#52183,#42231,#42232); #36495=AXIS2_PLACEMENT_3D('',#52185,#42233,#42234); #36496=AXIS2_PLACEMENT_3D('',#52187,#42235,#42236); #36497=AXIS2_PLACEMENT_3D('',#52189,#42238,#42239); #36498=AXIS2_PLACEMENT_3D('',#52195,#42243,#42244); #36499=AXIS2_PLACEMENT_3D('',#52197,#42245,#42246); #36500=AXIS2_PLACEMENT_3D('',#52199,#42247,#42248); #36501=AXIS2_PLACEMENT_3D('',#52201,#42250,#42251); #36502=AXIS2_PLACEMENT_3D('',#52207,#42255,#42256); #36503=AXIS2_PLACEMENT_3D('',#52208,#42257,#42258); #36504=AXIS2_PLACEMENT_3D('',#52209,#42259,#42260); #36505=AXIS2_PLACEMENT_3D('',#52210,#42261,#42262); #36506=AXIS2_PLACEMENT_3D('',#52219,#42267,#42268); #36507=AXIS2_PLACEMENT_3D('',#52221,#42269,#42270); #36508=AXIS2_PLACEMENT_3D('',#52223,#42271,#42272); #36509=AXIS2_PLACEMENT_3D('',#52225,#42274,#42275); #36510=AXIS2_PLACEMENT_3D('',#52231,#42279,#42280); #36511=AXIS2_PLACEMENT_3D('',#52233,#42281,#42282); #36512=AXIS2_PLACEMENT_3D('',#52235,#42283,#42284); #36513=AXIS2_PLACEMENT_3D('',#52237,#42286,#42287); #36514=AXIS2_PLACEMENT_3D('',#52243,#42291,#42292); #36515=AXIS2_PLACEMENT_3D('',#52245,#42293,#42294); #36516=AXIS2_PLACEMENT_3D('',#52247,#42295,#42296); #36517=AXIS2_PLACEMENT_3D('',#52249,#42298,#42299); #36518=AXIS2_PLACEMENT_3D('',#52255,#42303,#42304); #36519=AXIS2_PLACEMENT_3D('',#52256,#42305,#42306); #36520=AXIS2_PLACEMENT_3D('',#52257,#42307,#42308); #36521=AXIS2_PLACEMENT_3D('',#52258,#42309,#42310); #36522=AXIS2_PLACEMENT_3D('',#52267,#42315,#42316); #36523=AXIS2_PLACEMENT_3D('',#52269,#42317,#42318); #36524=AXIS2_PLACEMENT_3D('',#52271,#42319,#42320); #36525=AXIS2_PLACEMENT_3D('',#52273,#42322,#42323); #36526=AXIS2_PLACEMENT_3D('',#52279,#42327,#42328); #36527=AXIS2_PLACEMENT_3D('',#52281,#42329,#42330); #36528=AXIS2_PLACEMENT_3D('',#52283,#42331,#42332); #36529=AXIS2_PLACEMENT_3D('',#52285,#42334,#42335); #36530=AXIS2_PLACEMENT_3D('',#52291,#42339,#42340); #36531=AXIS2_PLACEMENT_3D('',#52293,#42341,#42342); #36532=AXIS2_PLACEMENT_3D('',#52295,#42343,#42344); #36533=AXIS2_PLACEMENT_3D('',#52297,#42346,#42347); #36534=AXIS2_PLACEMENT_3D('',#52303,#42351,#42352); #36535=AXIS2_PLACEMENT_3D('',#52304,#42353,#42354); #36536=AXIS2_PLACEMENT_3D('',#52305,#42355,#42356); #36537=AXIS2_PLACEMENT_3D('',#52306,#42357,#42358); #36538=AXIS2_PLACEMENT_3D('',#52315,#42363,#42364); #36539=AXIS2_PLACEMENT_3D('',#52317,#42365,#42366); #36540=AXIS2_PLACEMENT_3D('',#52319,#42367,#42368); #36541=AXIS2_PLACEMENT_3D('',#52321,#42370,#42371); #36542=AXIS2_PLACEMENT_3D('',#52327,#42375,#42376); #36543=AXIS2_PLACEMENT_3D('',#52329,#42377,#42378); #36544=AXIS2_PLACEMENT_3D('',#52331,#42379,#42380); #36545=AXIS2_PLACEMENT_3D('',#52333,#42382,#42383); #36546=AXIS2_PLACEMENT_3D('',#52339,#42387,#42388); #36547=AXIS2_PLACEMENT_3D('',#52341,#42389,#42390); #36548=AXIS2_PLACEMENT_3D('',#52343,#42391,#42392); #36549=AXIS2_PLACEMENT_3D('',#52345,#42394,#42395); #36550=AXIS2_PLACEMENT_3D('',#52351,#42399,#42400); #36551=AXIS2_PLACEMENT_3D('',#52352,#42401,#42402); #36552=AXIS2_PLACEMENT_3D('',#52353,#42403,#42404); #36553=AXIS2_PLACEMENT_3D('',#52354,#42405,#42406); #36554=AXIS2_PLACEMENT_3D('',#52363,#42411,#42412); #36555=AXIS2_PLACEMENT_3D('',#52365,#42413,#42414); #36556=AXIS2_PLACEMENT_3D('',#52367,#42415,#42416); #36557=AXIS2_PLACEMENT_3D('',#52369,#42418,#42419); #36558=AXIS2_PLACEMENT_3D('',#52375,#42423,#42424); #36559=AXIS2_PLACEMENT_3D('',#52377,#42425,#42426); #36560=AXIS2_PLACEMENT_3D('',#52379,#42427,#42428); #36561=AXIS2_PLACEMENT_3D('',#52381,#42430,#42431); #36562=AXIS2_PLACEMENT_3D('',#52387,#42435,#42436); #36563=AXIS2_PLACEMENT_3D('',#52389,#42437,#42438); #36564=AXIS2_PLACEMENT_3D('',#52391,#42439,#42440); #36565=AXIS2_PLACEMENT_3D('',#52393,#42442,#42443); #36566=AXIS2_PLACEMENT_3D('',#52399,#42447,#42448); #36567=AXIS2_PLACEMENT_3D('',#52400,#42449,#42450); #36568=AXIS2_PLACEMENT_3D('',#52401,#42451,#42452); #36569=AXIS2_PLACEMENT_3D('',#52402,#42453,#42454); #36570=AXIS2_PLACEMENT_3D('',#52411,#42459,#42460); #36571=AXIS2_PLACEMENT_3D('',#52413,#42461,#42462); #36572=AXIS2_PLACEMENT_3D('',#52415,#42463,#42464); #36573=AXIS2_PLACEMENT_3D('',#52417,#42466,#42467); #36574=AXIS2_PLACEMENT_3D('',#52423,#42471,#42472); #36575=AXIS2_PLACEMENT_3D('',#52425,#42473,#42474); #36576=AXIS2_PLACEMENT_3D('',#52427,#42475,#42476); #36577=AXIS2_PLACEMENT_3D('',#52429,#42478,#42479); #36578=AXIS2_PLACEMENT_3D('',#52435,#42483,#42484); #36579=AXIS2_PLACEMENT_3D('',#52437,#42485,#42486); #36580=AXIS2_PLACEMENT_3D('',#52439,#42487,#42488); #36581=AXIS2_PLACEMENT_3D('',#52441,#42490,#42491); #36582=AXIS2_PLACEMENT_3D('',#52447,#42495,#42496); #36583=AXIS2_PLACEMENT_3D('',#52448,#42497,#42498); #36584=AXIS2_PLACEMENT_3D('',#52449,#42499,#42500); #36585=AXIS2_PLACEMENT_3D('',#52450,#42501,#42502); #36586=AXIS2_PLACEMENT_3D('',#52459,#42507,#42508); #36587=AXIS2_PLACEMENT_3D('',#52461,#42509,#42510); #36588=AXIS2_PLACEMENT_3D('',#52463,#42511,#42512); #36589=AXIS2_PLACEMENT_3D('',#52465,#42514,#42515); #36590=AXIS2_PLACEMENT_3D('',#52471,#42519,#42520); #36591=AXIS2_PLACEMENT_3D('',#52473,#42521,#42522); #36592=AXIS2_PLACEMENT_3D('',#52475,#42523,#42524); #36593=AXIS2_PLACEMENT_3D('',#52477,#42526,#42527); #36594=AXIS2_PLACEMENT_3D('',#52483,#42531,#42532); #36595=AXIS2_PLACEMENT_3D('',#52485,#42533,#42534); #36596=AXIS2_PLACEMENT_3D('',#52487,#42535,#42536); #36597=AXIS2_PLACEMENT_3D('',#52489,#42538,#42539); #36598=AXIS2_PLACEMENT_3D('',#52495,#42543,#42544); #36599=AXIS2_PLACEMENT_3D('',#52496,#42545,#42546); #36600=AXIS2_PLACEMENT_3D('',#52497,#42547,#42548); #36601=AXIS2_PLACEMENT_3D('',#52498,#42549,#42550); #36602=AXIS2_PLACEMENT_3D('',#52507,#42555,#42556); #36603=AXIS2_PLACEMENT_3D('',#52513,#42560,#42561); #36604=AXIS2_PLACEMENT_3D('',#52519,#42565,#42566); #36605=AXIS2_PLACEMENT_3D('',#52521,#42567,#42568); #36606=AXIS2_PLACEMENT_3D('',#52523,#42569,#42570); #36607=AXIS2_PLACEMENT_3D('',#52525,#42572,#42573); #36608=AXIS2_PLACEMENT_3D('',#52531,#42577,#42578); #36609=AXIS2_PLACEMENT_3D('',#52533,#42579,#42580); #36610=AXIS2_PLACEMENT_3D('',#52535,#42581,#42582); #36611=AXIS2_PLACEMENT_3D('',#52537,#42584,#42585); #36612=AXIS2_PLACEMENT_3D('',#52543,#42589,#42590); #36613=AXIS2_PLACEMENT_3D('',#52549,#42594,#42595); #36614=AXIS2_PLACEMENT_3D('',#52555,#42599,#42600); #36615=AXIS2_PLACEMENT_3D('',#52561,#42604,#42605); #36616=AXIS2_PLACEMENT_3D('',#52563,#42606,#42607); #36617=AXIS2_PLACEMENT_3D('',#52565,#42608,#42609); #36618=AXIS2_PLACEMENT_3D('',#52567,#42611,#42612); #36619=AXIS2_PLACEMENT_3D('',#52573,#42616,#42617); #36620=AXIS2_PLACEMENT_3D('',#52579,#42621,#42622); #36621=AXIS2_PLACEMENT_3D('',#52585,#42626,#42627); #36622=AXIS2_PLACEMENT_3D('',#52591,#42631,#42632); #36623=AXIS2_PLACEMENT_3D('',#52597,#42636,#42637); #36624=AXIS2_PLACEMENT_3D('',#52599,#42638,#42639); #36625=AXIS2_PLACEMENT_3D('',#52601,#42640,#42641); #36626=AXIS2_PLACEMENT_3D('',#52603,#42643,#42644); #36627=AXIS2_PLACEMENT_3D('',#52606,#42647,#42648); #36628=AXIS2_PLACEMENT_3D('',#52607,#42649,#42650); #36629=AXIS2_PLACEMENT_3D('',#52608,#42651,#42652); #36630=AXIS2_PLACEMENT_3D('',#52617,#42657,#42658); #36631=AXIS2_PLACEMENT_3D('',#52619,#42659,#42660); #36632=AXIS2_PLACEMENT_3D('',#52622,#42662,#42663); #36633=AXIS2_PLACEMENT_3D('',#52623,#42664,#42665); #36634=AXIS2_PLACEMENT_3D('',#52629,#42669,#42670); #36635=AXIS2_PLACEMENT_3D('',#52631,#42671,#42672); #36636=AXIS2_PLACEMENT_3D('',#52634,#42674,#42675); #36637=AXIS2_PLACEMENT_3D('',#52635,#42676,#42677); #36638=AXIS2_PLACEMENT_3D('',#52641,#42681,#42682); #36639=AXIS2_PLACEMENT_3D('',#52643,#42683,#42684); #36640=AXIS2_PLACEMENT_3D('',#52646,#42686,#42687); #36641=AXIS2_PLACEMENT_3D('',#52647,#42688,#42689); #36642=AXIS2_PLACEMENT_3D('',#52653,#42693,#42694); #36643=AXIS2_PLACEMENT_3D('',#52654,#42695,#42696); #36644=AXIS2_PLACEMENT_3D('',#52655,#42697,#42698); #36645=AXIS2_PLACEMENT_3D('',#52656,#42699,#42700); #36646=AXIS2_PLACEMENT_3D('',#52665,#42705,#42706); #36647=AXIS2_PLACEMENT_3D('',#52667,#42707,#42708); #36648=AXIS2_PLACEMENT_3D('',#52670,#42710,#42711); #36649=AXIS2_PLACEMENT_3D('',#52671,#42712,#42713); #36650=AXIS2_PLACEMENT_3D('',#52677,#42717,#42718); #36651=AXIS2_PLACEMENT_3D('',#52679,#42719,#42720); #36652=AXIS2_PLACEMENT_3D('',#52682,#42722,#42723); #36653=AXIS2_PLACEMENT_3D('',#52683,#42724,#42725); #36654=AXIS2_PLACEMENT_3D('',#52689,#42729,#42730); #36655=AXIS2_PLACEMENT_3D('',#52691,#42731,#42732); #36656=AXIS2_PLACEMENT_3D('',#52694,#42734,#42735); #36657=AXIS2_PLACEMENT_3D('',#52695,#42736,#42737); #36658=AXIS2_PLACEMENT_3D('',#52701,#42741,#42742); #36659=AXIS2_PLACEMENT_3D('',#52702,#42743,#42744); #36660=AXIS2_PLACEMENT_3D('',#52703,#42745,#42746); #36661=AXIS2_PLACEMENT_3D('',#52704,#42747,#42748); #36662=AXIS2_PLACEMENT_3D('',#52713,#42753,#42754); #36663=AXIS2_PLACEMENT_3D('',#52715,#42755,#42756); #36664=AXIS2_PLACEMENT_3D('',#52718,#42758,#42759); #36665=AXIS2_PLACEMENT_3D('',#52719,#42760,#42761); #36666=AXIS2_PLACEMENT_3D('',#52725,#42765,#42766); #36667=AXIS2_PLACEMENT_3D('',#52727,#42767,#42768); #36668=AXIS2_PLACEMENT_3D('',#52730,#42770,#42771); #36669=AXIS2_PLACEMENT_3D('',#52731,#42772,#42773); #36670=AXIS2_PLACEMENT_3D('',#52737,#42777,#42778); #36671=AXIS2_PLACEMENT_3D('',#52739,#42779,#42780); #36672=AXIS2_PLACEMENT_3D('',#52742,#42782,#42783); #36673=AXIS2_PLACEMENT_3D('',#52743,#42784,#42785); #36674=AXIS2_PLACEMENT_3D('',#52749,#42789,#42790); #36675=AXIS2_PLACEMENT_3D('',#52750,#42791,#42792); #36676=AXIS2_PLACEMENT_3D('',#52751,#42793,#42794); #36677=AXIS2_PLACEMENT_3D('',#52752,#42795,#42796); #36678=AXIS2_PLACEMENT_3D('',#52761,#42801,#42802); #36679=AXIS2_PLACEMENT_3D('',#52763,#42803,#42804); #36680=AXIS2_PLACEMENT_3D('',#52766,#42806,#42807); #36681=AXIS2_PLACEMENT_3D('',#52767,#42808,#42809); #36682=AXIS2_PLACEMENT_3D('',#52773,#42813,#42814); #36683=AXIS2_PLACEMENT_3D('',#52775,#42815,#42816); #36684=AXIS2_PLACEMENT_3D('',#52778,#42818,#42819); #36685=AXIS2_PLACEMENT_3D('',#52779,#42820,#42821); #36686=AXIS2_PLACEMENT_3D('',#52785,#42825,#42826); #36687=AXIS2_PLACEMENT_3D('',#52787,#42827,#42828); #36688=AXIS2_PLACEMENT_3D('',#52790,#42830,#42831); #36689=AXIS2_PLACEMENT_3D('',#52791,#42832,#42833); #36690=AXIS2_PLACEMENT_3D('',#52797,#42837,#42838); #36691=AXIS2_PLACEMENT_3D('',#52798,#42839,#42840); #36692=AXIS2_PLACEMENT_3D('',#52799,#42841,#42842); #36693=AXIS2_PLACEMENT_3D('',#52800,#42843,#42844); #36694=AXIS2_PLACEMENT_3D('',#52809,#42849,#42850); #36695=AXIS2_PLACEMENT_3D('',#52811,#42851,#42852); #36696=AXIS2_PLACEMENT_3D('',#52814,#42854,#42855); #36697=AXIS2_PLACEMENT_3D('',#52815,#42856,#42857); #36698=AXIS2_PLACEMENT_3D('',#52821,#42861,#42862); #36699=AXIS2_PLACEMENT_3D('',#52823,#42863,#42864); #36700=AXIS2_PLACEMENT_3D('',#52826,#42866,#42867); #36701=AXIS2_PLACEMENT_3D('',#52827,#42868,#42869); #36702=AXIS2_PLACEMENT_3D('',#52833,#42873,#42874); #36703=AXIS2_PLACEMENT_3D('',#52835,#42875,#42876); #36704=AXIS2_PLACEMENT_3D('',#52838,#42878,#42879); #36705=AXIS2_PLACEMENT_3D('',#52839,#42880,#42881); #36706=AXIS2_PLACEMENT_3D('',#52845,#42885,#42886); #36707=AXIS2_PLACEMENT_3D('',#52846,#42887,#42888); #36708=AXIS2_PLACEMENT_3D('',#52847,#42889,#42890); #36709=AXIS2_PLACEMENT_3D('',#52848,#42891,#42892); #36710=AXIS2_PLACEMENT_3D('',#52857,#42897,#42898); #36711=AXIS2_PLACEMENT_3D('',#52859,#42899,#42900); #36712=AXIS2_PLACEMENT_3D('',#52862,#42902,#42903); #36713=AXIS2_PLACEMENT_3D('',#52863,#42904,#42905); #36714=AXIS2_PLACEMENT_3D('',#52869,#42909,#42910); #36715=AXIS2_PLACEMENT_3D('',#52871,#42911,#42912); #36716=AXIS2_PLACEMENT_3D('',#52874,#42914,#42915); #36717=AXIS2_PLACEMENT_3D('',#52875,#42916,#42917); #36718=AXIS2_PLACEMENT_3D('',#52881,#42921,#42922); #36719=AXIS2_PLACEMENT_3D('',#52883,#42923,#42924); #36720=AXIS2_PLACEMENT_3D('',#52886,#42926,#42927); #36721=AXIS2_PLACEMENT_3D('',#52887,#42928,#42929); #36722=AXIS2_PLACEMENT_3D('',#52893,#42933,#42934); #36723=AXIS2_PLACEMENT_3D('',#52894,#42935,#42936); #36724=AXIS2_PLACEMENT_3D('',#52895,#42937,#42938); #36725=AXIS2_PLACEMENT_3D('',#52896,#42939,#42940); #36726=AXIS2_PLACEMENT_3D('',#52905,#42945,#42946); #36727=AXIS2_PLACEMENT_3D('',#52907,#42947,#42948); #36728=AXIS2_PLACEMENT_3D('',#52910,#42950,#42951); #36729=AXIS2_PLACEMENT_3D('',#52911,#42952,#42953); #36730=AXIS2_PLACEMENT_3D('',#52917,#42957,#42958); #36731=AXIS2_PLACEMENT_3D('',#52919,#42959,#42960); #36732=AXIS2_PLACEMENT_3D('',#52922,#42962,#42963); #36733=AXIS2_PLACEMENT_3D('',#52923,#42964,#42965); #36734=AXIS2_PLACEMENT_3D('',#52929,#42969,#42970); #36735=AXIS2_PLACEMENT_3D('',#52931,#42971,#42972); #36736=AXIS2_PLACEMENT_3D('',#52934,#42974,#42975); #36737=AXIS2_PLACEMENT_3D('',#52935,#42976,#42977); #36738=AXIS2_PLACEMENT_3D('',#52941,#42981,#42982); #36739=AXIS2_PLACEMENT_3D('',#52942,#42983,#42984); #36740=AXIS2_PLACEMENT_3D('',#52943,#42985,#42986); #36741=AXIS2_PLACEMENT_3D('',#52944,#42987,#42988); #36742=AXIS2_PLACEMENT_3D('',#52953,#42993,#42994); #36743=AXIS2_PLACEMENT_3D('',#52955,#42995,#42996); #36744=AXIS2_PLACEMENT_3D('',#52958,#42998,#42999); #36745=AXIS2_PLACEMENT_3D('',#52959,#43000,#43001); #36746=AXIS2_PLACEMENT_3D('',#52965,#43005,#43006); #36747=AXIS2_PLACEMENT_3D('',#52967,#43007,#43008); #36748=AXIS2_PLACEMENT_3D('',#52970,#43010,#43011); #36749=AXIS2_PLACEMENT_3D('',#52971,#43012,#43013); #36750=AXIS2_PLACEMENT_3D('',#52977,#43017,#43018); #36751=AXIS2_PLACEMENT_3D('',#52979,#43019,#43020); #36752=AXIS2_PLACEMENT_3D('',#52982,#43022,#43023); #36753=AXIS2_PLACEMENT_3D('',#52983,#43024,#43025); #36754=AXIS2_PLACEMENT_3D('',#52989,#43029,#43030); #36755=AXIS2_PLACEMENT_3D('',#52990,#43031,#43032); #36756=AXIS2_PLACEMENT_3D('',#52991,#43033,#43034); #36757=AXIS2_PLACEMENT_3D('',#52992,#43035,#43036); #36758=AXIS2_PLACEMENT_3D('',#53001,#43041,#43042); #36759=AXIS2_PLACEMENT_3D('',#53003,#43043,#43044); #36760=AXIS2_PLACEMENT_3D('',#53006,#43046,#43047); #36761=AXIS2_PLACEMENT_3D('',#53007,#43048,#43049); #36762=AXIS2_PLACEMENT_3D('',#53013,#43053,#43054); #36763=AXIS2_PLACEMENT_3D('',#53015,#43055,#43056); #36764=AXIS2_PLACEMENT_3D('',#53018,#43058,#43059); #36765=AXIS2_PLACEMENT_3D('',#53019,#43060,#43061); #36766=AXIS2_PLACEMENT_3D('',#53025,#43065,#43066); #36767=AXIS2_PLACEMENT_3D('',#53027,#43067,#43068); #36768=AXIS2_PLACEMENT_3D('',#53030,#43070,#43071); #36769=AXIS2_PLACEMENT_3D('',#53031,#43072,#43073); #36770=AXIS2_PLACEMENT_3D('',#53037,#43077,#43078); #36771=AXIS2_PLACEMENT_3D('',#53038,#43079,#43080); #36772=AXIS2_PLACEMENT_3D('',#53039,#43081,#43082); #36773=AXIS2_PLACEMENT_3D('',#53040,#43083,#43084); #36774=AXIS2_PLACEMENT_3D('',#53049,#43089,#43090); #36775=AXIS2_PLACEMENT_3D('',#53051,#43091,#43092); #36776=AXIS2_PLACEMENT_3D('',#53054,#43094,#43095); #36777=AXIS2_PLACEMENT_3D('',#53055,#43096,#43097); #36778=AXIS2_PLACEMENT_3D('',#53061,#43101,#43102); #36779=AXIS2_PLACEMENT_3D('',#53063,#43103,#43104); #36780=AXIS2_PLACEMENT_3D('',#53066,#43106,#43107); #36781=AXIS2_PLACEMENT_3D('',#53067,#43108,#43109); #36782=AXIS2_PLACEMENT_3D('',#53073,#43113,#43114); #36783=AXIS2_PLACEMENT_3D('',#53075,#43115,#43116); #36784=AXIS2_PLACEMENT_3D('',#53078,#43118,#43119); #36785=AXIS2_PLACEMENT_3D('',#53079,#43120,#43121); #36786=AXIS2_PLACEMENT_3D('',#53085,#43125,#43126); #36787=AXIS2_PLACEMENT_3D('',#53086,#43127,#43128); #36788=AXIS2_PLACEMENT_3D('',#53087,#43129,#43130); #36789=AXIS2_PLACEMENT_3D('',#53088,#43131,#43132); #36790=AXIS2_PLACEMENT_3D('',#53097,#43137,#43138); #36791=AXIS2_PLACEMENT_3D('',#53099,#43139,#43140); #36792=AXIS2_PLACEMENT_3D('',#53102,#43142,#43143); #36793=AXIS2_PLACEMENT_3D('',#53103,#43144,#43145); #36794=AXIS2_PLACEMENT_3D('',#53109,#43149,#43150); #36795=AXIS2_PLACEMENT_3D('',#53111,#43151,#43152); #36796=AXIS2_PLACEMENT_3D('',#53114,#43154,#43155); #36797=AXIS2_PLACEMENT_3D('',#53115,#43156,#43157); #36798=AXIS2_PLACEMENT_3D('',#53121,#43161,#43162); #36799=AXIS2_PLACEMENT_3D('',#53123,#43163,#43164); #36800=AXIS2_PLACEMENT_3D('',#53126,#43166,#43167); #36801=AXIS2_PLACEMENT_3D('',#53127,#43168,#43169); #36802=AXIS2_PLACEMENT_3D('',#53133,#43173,#43174); #36803=AXIS2_PLACEMENT_3D('',#53134,#43175,#43176); #36804=AXIS2_PLACEMENT_3D('',#53135,#43177,#43178); #36805=AXIS2_PLACEMENT_3D('',#53136,#43179,#43180); #36806=AXIS2_PLACEMENT_3D('',#53145,#43185,#43186); #36807=AXIS2_PLACEMENT_3D('',#53147,#43187,#43188); #36808=AXIS2_PLACEMENT_3D('',#53150,#43190,#43191); #36809=AXIS2_PLACEMENT_3D('',#53151,#43192,#43193); #36810=AXIS2_PLACEMENT_3D('',#53157,#43197,#43198); #36811=AXIS2_PLACEMENT_3D('',#53159,#43199,#43200); #36812=AXIS2_PLACEMENT_3D('',#53162,#43202,#43203); #36813=AXIS2_PLACEMENT_3D('',#53163,#43204,#43205); #36814=AXIS2_PLACEMENT_3D('',#53169,#43209,#43210); #36815=AXIS2_PLACEMENT_3D('',#53171,#43211,#43212); #36816=AXIS2_PLACEMENT_3D('',#53174,#43214,#43215); #36817=AXIS2_PLACEMENT_3D('',#53175,#43216,#43217); #36818=AXIS2_PLACEMENT_3D('',#53181,#43221,#43222); #36819=AXIS2_PLACEMENT_3D('',#53182,#43223,#43224); #36820=AXIS2_PLACEMENT_3D('',#53183,#43225,#43226); #36821=AXIS2_PLACEMENT_3D('',#53184,#43227,#43228); #36822=AXIS2_PLACEMENT_3D('',#53193,#43233,#43234); #36823=AXIS2_PLACEMENT_3D('',#53195,#43235,#43236); #36824=AXIS2_PLACEMENT_3D('',#53198,#43238,#43239); #36825=AXIS2_PLACEMENT_3D('',#53199,#43240,#43241); #36826=AXIS2_PLACEMENT_3D('',#53205,#43245,#43246); #36827=AXIS2_PLACEMENT_3D('',#53207,#43247,#43248); #36828=AXIS2_PLACEMENT_3D('',#53210,#43250,#43251); #36829=AXIS2_PLACEMENT_3D('',#53211,#43252,#43253); #36830=AXIS2_PLACEMENT_3D('',#53217,#43257,#43258); #36831=AXIS2_PLACEMENT_3D('',#53219,#43259,#43260); #36832=AXIS2_PLACEMENT_3D('',#53222,#43262,#43263); #36833=AXIS2_PLACEMENT_3D('',#53223,#43264,#43265); #36834=AXIS2_PLACEMENT_3D('',#53229,#43269,#43270); #36835=AXIS2_PLACEMENT_3D('',#53230,#43271,#43272); #36836=AXIS2_PLACEMENT_3D('',#53231,#43273,#43274); #36837=AXIS2_PLACEMENT_3D('',#53232,#43275,#43276); #36838=AXIS2_PLACEMENT_3D('',#53241,#43281,#43282); #36839=AXIS2_PLACEMENT_3D('',#53243,#43283,#43284); #36840=AXIS2_PLACEMENT_3D('',#53246,#43286,#43287); #36841=AXIS2_PLACEMENT_3D('',#53247,#43288,#43289); #36842=AXIS2_PLACEMENT_3D('',#53253,#43293,#43294); #36843=AXIS2_PLACEMENT_3D('',#53255,#43295,#43296); #36844=AXIS2_PLACEMENT_3D('',#53258,#43298,#43299); #36845=AXIS2_PLACEMENT_3D('',#53259,#43300,#43301); #36846=AXIS2_PLACEMENT_3D('',#53265,#43305,#43306); #36847=AXIS2_PLACEMENT_3D('',#53267,#43307,#43308); #36848=AXIS2_PLACEMENT_3D('',#53270,#43310,#43311); #36849=AXIS2_PLACEMENT_3D('',#53271,#43312,#43313); #36850=AXIS2_PLACEMENT_3D('',#53277,#43317,#43318); #36851=AXIS2_PLACEMENT_3D('',#53278,#43319,#43320); #36852=AXIS2_PLACEMENT_3D('',#53279,#43321,#43322); #36853=AXIS2_PLACEMENT_3D('',#53280,#43323,#43324); #36854=AXIS2_PLACEMENT_3D('',#53289,#43329,#43330); #36855=AXIS2_PLACEMENT_3D('',#53291,#43331,#43332); #36856=AXIS2_PLACEMENT_3D('',#53294,#43334,#43335); #36857=AXIS2_PLACEMENT_3D('',#53295,#43336,#43337); #36858=AXIS2_PLACEMENT_3D('',#53301,#43341,#43342); #36859=AXIS2_PLACEMENT_3D('',#53303,#43343,#43344); #36860=AXIS2_PLACEMENT_3D('',#53306,#43346,#43347); #36861=AXIS2_PLACEMENT_3D('',#53307,#43348,#43349); #36862=AXIS2_PLACEMENT_3D('',#53313,#43353,#43354); #36863=AXIS2_PLACEMENT_3D('',#53315,#43355,#43356); #36864=AXIS2_PLACEMENT_3D('',#53318,#43358,#43359); #36865=AXIS2_PLACEMENT_3D('',#53319,#43360,#43361); #36866=AXIS2_PLACEMENT_3D('',#53325,#43365,#43366); #36867=AXIS2_PLACEMENT_3D('',#53326,#43367,#43368); #36868=AXIS2_PLACEMENT_3D('',#53327,#43369,#43370); #36869=AXIS2_PLACEMENT_3D('',#53328,#43371,#43372); #36870=AXIS2_PLACEMENT_3D('',#53337,#43377,#43378); #36871=AXIS2_PLACEMENT_3D('',#53339,#43379,#43380); #36872=AXIS2_PLACEMENT_3D('',#53342,#43382,#43383); #36873=AXIS2_PLACEMENT_3D('',#53343,#43384,#43385); #36874=AXIS2_PLACEMENT_3D('',#53349,#43389,#43390); #36875=AXIS2_PLACEMENT_3D('',#53351,#43391,#43392); #36876=AXIS2_PLACEMENT_3D('',#53354,#43394,#43395); #36877=AXIS2_PLACEMENT_3D('',#53355,#43396,#43397); #36878=AXIS2_PLACEMENT_3D('',#53361,#43401,#43402); #36879=AXIS2_PLACEMENT_3D('',#53363,#43403,#43404); #36880=AXIS2_PLACEMENT_3D('',#53366,#43406,#43407); #36881=AXIS2_PLACEMENT_3D('',#53367,#43408,#43409); #36882=AXIS2_PLACEMENT_3D('',#53373,#43413,#43414); #36883=AXIS2_PLACEMENT_3D('',#53374,#43415,#43416); #36884=AXIS2_PLACEMENT_3D('',#53375,#43417,#43418); #36885=AXIS2_PLACEMENT_3D('',#53376,#43419,#43420); #36886=AXIS2_PLACEMENT_3D('',#53385,#43425,#43426); #36887=AXIS2_PLACEMENT_3D('',#53387,#43427,#43428); #36888=AXIS2_PLACEMENT_3D('',#53390,#43430,#43431); #36889=AXIS2_PLACEMENT_3D('',#53391,#43432,#43433); #36890=AXIS2_PLACEMENT_3D('',#53397,#43437,#43438); #36891=AXIS2_PLACEMENT_3D('',#53399,#43439,#43440); #36892=AXIS2_PLACEMENT_3D('',#53402,#43442,#43443); #36893=AXIS2_PLACEMENT_3D('',#53403,#43444,#43445); #36894=AXIS2_PLACEMENT_3D('',#53409,#43449,#43450); #36895=AXIS2_PLACEMENT_3D('',#53411,#43451,#43452); #36896=AXIS2_PLACEMENT_3D('',#53414,#43454,#43455); #36897=AXIS2_PLACEMENT_3D('',#53415,#43456,#43457); #36898=AXIS2_PLACEMENT_3D('',#53421,#43461,#43462); #36899=AXIS2_PLACEMENT_3D('',#53422,#43463,#43464); #36900=AXIS2_PLACEMENT_3D('',#53423,#43465,#43466); #36901=AXIS2_PLACEMENT_3D('',#53424,#43467,#43468); #36902=AXIS2_PLACEMENT_3D('',#53433,#43473,#43474); #36903=AXIS2_PLACEMENT_3D('',#53435,#43475,#43476); #36904=AXIS2_PLACEMENT_3D('',#53438,#43478,#43479); #36905=AXIS2_PLACEMENT_3D('',#53439,#43480,#43481); #36906=AXIS2_PLACEMENT_3D('',#53445,#43485,#43486); #36907=AXIS2_PLACEMENT_3D('',#53447,#43487,#43488); #36908=AXIS2_PLACEMENT_3D('',#53450,#43490,#43491); #36909=AXIS2_PLACEMENT_3D('',#53451,#43492,#43493); #36910=AXIS2_PLACEMENT_3D('',#53457,#43497,#43498); #36911=AXIS2_PLACEMENT_3D('',#53459,#43499,#43500); #36912=AXIS2_PLACEMENT_3D('',#53462,#43502,#43503); #36913=AXIS2_PLACEMENT_3D('',#53463,#43504,#43505); #36914=AXIS2_PLACEMENT_3D('',#53469,#43509,#43510); #36915=AXIS2_PLACEMENT_3D('',#53470,#43511,#43512); #36916=AXIS2_PLACEMENT_3D('',#53471,#43513,#43514); #36917=AXIS2_PLACEMENT_3D('',#53472,#43515,#43516); #36918=AXIS2_PLACEMENT_3D('',#53481,#43521,#43522); #36919=AXIS2_PLACEMENT_3D('',#53487,#43526,#43527); #36920=AXIS2_PLACEMENT_3D('',#53493,#43531,#43532); #36921=AXIS2_PLACEMENT_3D('',#53495,#43533,#43534); #36922=AXIS2_PLACEMENT_3D('',#53498,#43536,#43537); #36923=AXIS2_PLACEMENT_3D('',#53499,#43538,#43539); #36924=AXIS2_PLACEMENT_3D('',#53505,#43543,#43544); #36925=AXIS2_PLACEMENT_3D('',#53507,#43545,#43546); #36926=AXIS2_PLACEMENT_3D('',#53510,#43548,#43549); #36927=AXIS2_PLACEMENT_3D('',#53511,#43550,#43551); #36928=AXIS2_PLACEMENT_3D('',#53517,#43555,#43556); #36929=AXIS2_PLACEMENT_3D('',#53523,#43560,#43561); #36930=AXIS2_PLACEMENT_3D('',#53529,#43565,#43566); #36931=AXIS2_PLACEMENT_3D('',#53535,#43570,#43571); #36932=AXIS2_PLACEMENT_3D('',#53537,#43572,#43573); #36933=AXIS2_PLACEMENT_3D('',#53540,#43575,#43576); #36934=AXIS2_PLACEMENT_3D('',#53541,#43577,#43578); #36935=AXIS2_PLACEMENT_3D('',#53547,#43582,#43583); #36936=AXIS2_PLACEMENT_3D('',#53553,#43587,#43588); #36937=AXIS2_PLACEMENT_3D('',#53559,#43592,#43593); #36938=AXIS2_PLACEMENT_3D('',#53565,#43597,#43598); #36939=AXIS2_PLACEMENT_3D('',#53571,#43602,#43603); #36940=AXIS2_PLACEMENT_3D('',#53573,#43604,#43605); #36941=AXIS2_PLACEMENT_3D('',#53576,#43607,#43608); #36942=AXIS2_PLACEMENT_3D('',#53577,#43609,#43610); #36943=AXIS2_PLACEMENT_3D('',#53580,#43613,#43614); #36944=AXIS2_PLACEMENT_3D('',#53581,#43615,#43616); #36945=AXIS2_PLACEMENT_3D('',#53582,#43617,#43618); #36946=AXIS2_PLACEMENT_3D('',#53583,#43619,#43620); #36947=AXIS2_PLACEMENT_3D('',#53584,#43621,#43622); #36948=AXIS2_PLACEMENT_3D('',#53585,#43623,#43624); #36949=AXIS2_PLACEMENT_3D('',#53586,#43625,#43626); #36950=AXIS2_PLACEMENT_3D('placement',#53587,#43627,#43628); #36951=AXIS2_PLACEMENT_3D('placement',#53588,#43629,#43630); #36952=AXIS2_PLACEMENT_3D('',#53589,#43631,#43632); #36953=AXIS2_PLACEMENT_3D('',#53592,#43633,#43634); #36954=AXIS2_PLACEMENT_3D('',#53596,#43636,#43637); #36955=AXIS2_PLACEMENT_3D('',#53598,#43639,#43640); #36956=AXIS2_PLACEMENT_3D('',#53604,#43644,#43645); #36957=AXIS2_PLACEMENT_3D('',#53606,#43646,#43647); #36958=AXIS2_PLACEMENT_3D('',#53608,#43648,#43649); #36959=AXIS2_PLACEMENT_3D('',#53610,#43651,#43652); #36960=AXIS2_PLACEMENT_3D('',#53616,#43656,#43657); #36961=AXIS2_PLACEMENT_3D('',#53618,#43658,#43659); #36962=AXIS2_PLACEMENT_3D('',#53620,#43660,#43661); #36963=AXIS2_PLACEMENT_3D('',#53622,#43663,#43664); #36964=AXIS2_PLACEMENT_3D('',#53628,#43668,#43669); #36965=AXIS2_PLACEMENT_3D('',#53630,#43670,#43671); #36966=AXIS2_PLACEMENT_3D('',#53632,#43672,#43673); #36967=AXIS2_PLACEMENT_3D('',#53634,#43675,#43676); #36968=AXIS2_PLACEMENT_3D('',#53637,#43679,#43680); #36969=AXIS2_PLACEMENT_3D('',#53646,#43685,#43686); #36970=AXIS2_PLACEMENT_3D('',#53652,#43690,#43691); #36971=AXIS2_PLACEMENT_3D('',#53658,#43695,#43696); #36972=AXIS2_PLACEMENT_3D('',#53661,#43699,#43700); #36973=AXIS2_PLACEMENT_3D('',#53670,#43705,#43706); #36974=AXIS2_PLACEMENT_3D('',#53672,#43707,#43708); #36975=AXIS2_PLACEMENT_3D('',#53674,#43709,#43710); #36976=AXIS2_PLACEMENT_3D('',#53676,#43712,#43713); #36977=AXIS2_PLACEMENT_3D('',#53682,#43717,#43718); #36978=AXIS2_PLACEMENT_3D('',#53684,#43719,#43720); #36979=AXIS2_PLACEMENT_3D('',#53686,#43721,#43722); #36980=AXIS2_PLACEMENT_3D('',#53688,#43724,#43725); #36981=AXIS2_PLACEMENT_3D('',#53694,#43729,#43730); #36982=AXIS2_PLACEMENT_3D('',#53696,#43731,#43732); #36983=AXIS2_PLACEMENT_3D('',#53698,#43733,#43734); #36984=AXIS2_PLACEMENT_3D('',#53700,#43736,#43737); #36985=AXIS2_PLACEMENT_3D('',#53706,#43741,#43742); #36986=AXIS2_PLACEMENT_3D('',#53707,#43743,#43744); #36987=AXIS2_PLACEMENT_3D('',#53708,#43745,#43746); #36988=AXIS2_PLACEMENT_3D('',#53709,#43747,#43748); #36989=AXIS2_PLACEMENT_3D('',#53718,#43753,#43754); #36990=AXIS2_PLACEMENT_3D('',#53724,#43758,#43759); #36991=AXIS2_PLACEMENT_3D('',#53730,#43763,#43764); #36992=AXIS2_PLACEMENT_3D('',#53733,#43767,#43768); #36993=AXIS2_PLACEMENT_3D('',#53735,#43769,#43770); #36994=AXIS2_PLACEMENT_3D('',#53738,#43772,#43773); #36995=AXIS2_PLACEMENT_3D('',#53739,#43774,#43775); #36996=AXIS2_PLACEMENT_3D('',#53741,#43776,#43777); #36997=AXIS2_PLACEMENT_3D('',#53744,#43779,#43780); #36998=AXIS2_PLACEMENT_3D('',#53745,#43781,#43782); #36999=AXIS2_PLACEMENT_3D('',#53754,#43787,#43788); #37000=AXIS2_PLACEMENT_3D('',#53760,#43792,#43793); #37001=AXIS2_PLACEMENT_3D('',#53766,#43797,#43798); #37002=AXIS2_PLACEMENT_3D('',#53769,#43801,#43802); #37003=AXIS2_PLACEMENT_3D('',#53778,#43807,#43808); #37004=AXIS2_PLACEMENT_3D('',#53784,#43812,#43813); #37005=AXIS2_PLACEMENT_3D('',#53790,#43817,#43818); #37006=AXIS2_PLACEMENT_3D('',#53793,#43821,#43822); #37007=AXIS2_PLACEMENT_3D('',#53795,#43823,#43824); #37008=AXIS2_PLACEMENT_3D('',#53798,#43826,#43827); #37009=AXIS2_PLACEMENT_3D('',#53799,#43828,#43829); #37010=AXIS2_PLACEMENT_3D('',#53802,#43830,#43831); #37011=AXIS2_PLACEMENT_3D('',#53806,#43833,#43834); #37012=AXIS2_PLACEMENT_3D('',#53808,#43836,#43837); #37013=AXIS2_PLACEMENT_3D('',#53814,#43841,#43842); #37014=AXIS2_PLACEMENT_3D('',#53816,#43843,#43844); #37015=AXIS2_PLACEMENT_3D('',#53818,#43845,#43846); #37016=AXIS2_PLACEMENT_3D('',#53820,#43848,#43849); #37017=AXIS2_PLACEMENT_3D('',#53826,#43853,#43854); #37018=AXIS2_PLACEMENT_3D('',#53828,#43855,#43856); #37019=AXIS2_PLACEMENT_3D('',#53830,#43857,#43858); #37020=AXIS2_PLACEMENT_3D('',#53832,#43860,#43861); #37021=AXIS2_PLACEMENT_3D('',#53838,#43865,#43866); #37022=AXIS2_PLACEMENT_3D('',#53840,#43867,#43868); #37023=AXIS2_PLACEMENT_3D('',#53842,#43869,#43870); #37024=AXIS2_PLACEMENT_3D('',#53844,#43872,#43873); #37025=AXIS2_PLACEMENT_3D('',#53847,#43876,#43877); #37026=AXIS2_PLACEMENT_3D('',#53849,#43878,#43879); #37027=AXIS2_PLACEMENT_3D('',#53852,#43881,#43882); #37028=AXIS2_PLACEMENT_3D('',#53853,#43883,#43884); #37029=AXIS2_PLACEMENT_3D('',#53855,#43885,#43886); #37030=AXIS2_PLACEMENT_3D('',#53858,#43888,#43889); #37031=AXIS2_PLACEMENT_3D('',#53859,#43890,#43891); #37032=AXIS2_PLACEMENT_3D('',#53861,#43892,#43893); #37033=AXIS2_PLACEMENT_3D('',#53864,#43895,#43896); #37034=AXIS2_PLACEMENT_3D('',#53865,#43897,#43898); #37035=AXIS2_PLACEMENT_3D('',#53867,#43899,#43900); #37036=AXIS2_PLACEMENT_3D('',#53870,#43902,#43903); #37037=AXIS2_PLACEMENT_3D('',#53871,#43904,#43905); #37038=AXIS2_PLACEMENT_3D('',#53873,#43906,#43907); #37039=AXIS2_PLACEMENT_3D('',#53876,#43909,#43910); #37040=AXIS2_PLACEMENT_3D('',#53877,#43911,#43912); #37041=AXIS2_PLACEMENT_3D('',#53879,#43913,#43914); #37042=AXIS2_PLACEMENT_3D('',#53882,#43916,#43917); #37043=AXIS2_PLACEMENT_3D('',#53883,#43918,#43919); #37044=AXIS2_PLACEMENT_3D('',#53892,#43924,#43925); #37045=AXIS2_PLACEMENT_3D('',#53898,#43929,#43930); #37046=AXIS2_PLACEMENT_3D('',#53904,#43934,#43935); #37047=AXIS2_PLACEMENT_3D('',#53907,#43938,#43939); #37048=AXIS2_PLACEMENT_3D('',#53909,#43940,#43941); #37049=AXIS2_PLACEMENT_3D('',#53912,#43943,#43944); #37050=AXIS2_PLACEMENT_3D('',#53913,#43945,#43946); #37051=AXIS2_PLACEMENT_3D('',#53915,#43947,#43948); #37052=AXIS2_PLACEMENT_3D('',#53918,#43950,#43951); #37053=AXIS2_PLACEMENT_3D('',#53919,#43952,#43953); #37054=AXIS2_PLACEMENT_3D('',#53928,#43958,#43959); #37055=AXIS2_PLACEMENT_3D('',#53934,#43963,#43964); #37056=AXIS2_PLACEMENT_3D('',#53940,#43968,#43969); #37057=AXIS2_PLACEMENT_3D('',#53943,#43972,#43973); #37058=AXIS2_PLACEMENT_3D('',#53952,#43978,#43979); #37059=AXIS2_PLACEMENT_3D('',#53958,#43983,#43984); #37060=AXIS2_PLACEMENT_3D('',#53964,#43988,#43989); #37061=AXIS2_PLACEMENT_3D('',#53967,#43992,#43993); #37062=AXIS2_PLACEMENT_3D('',#53976,#43998,#43999); #37063=AXIS2_PLACEMENT_3D('',#53978,#44000,#44001); #37064=AXIS2_PLACEMENT_3D('',#53980,#44002,#44003); #37065=AXIS2_PLACEMENT_3D('',#53982,#44005,#44006); #37066=AXIS2_PLACEMENT_3D('',#53988,#44010,#44011); #37067=AXIS2_PLACEMENT_3D('',#53990,#44012,#44013); #37068=AXIS2_PLACEMENT_3D('',#53992,#44014,#44015); #37069=AXIS2_PLACEMENT_3D('',#53994,#44017,#44018); #37070=AXIS2_PLACEMENT_3D('',#54000,#44022,#44023); #37071=AXIS2_PLACEMENT_3D('',#54002,#44024,#44025); #37072=AXIS2_PLACEMENT_3D('',#54004,#44026,#44027); #37073=AXIS2_PLACEMENT_3D('',#54006,#44029,#44030); #37074=AXIS2_PLACEMENT_3D('',#54012,#44034,#44035); #37075=AXIS2_PLACEMENT_3D('',#54013,#44036,#44037); #37076=AXIS2_PLACEMENT_3D('',#54014,#44038,#44039); #37077=AXIS2_PLACEMENT_3D('',#54015,#44040,#44041); #37078=AXIS2_PLACEMENT_3D('',#54024,#44046,#44047); #37079=AXIS2_PLACEMENT_3D('',#54026,#44048,#44049); #37080=AXIS2_PLACEMENT_3D('',#54028,#44050,#44051); #37081=AXIS2_PLACEMENT_3D('',#54030,#44053,#44054); #37082=AXIS2_PLACEMENT_3D('',#54036,#44058,#44059); #37083=AXIS2_PLACEMENT_3D('',#54038,#44060,#44061); #37084=AXIS2_PLACEMENT_3D('',#54040,#44062,#44063); #37085=AXIS2_PLACEMENT_3D('',#54042,#44065,#44066); #37086=AXIS2_PLACEMENT_3D('',#54048,#44070,#44071); #37087=AXIS2_PLACEMENT_3D('',#54050,#44072,#44073); #37088=AXIS2_PLACEMENT_3D('',#54052,#44074,#44075); #37089=AXIS2_PLACEMENT_3D('',#54054,#44077,#44078); #37090=AXIS2_PLACEMENT_3D('',#54060,#44082,#44083); #37091=AXIS2_PLACEMENT_3D('',#54061,#44084,#44085); #37092=AXIS2_PLACEMENT_3D('',#54062,#44086,#44087); #37093=AXIS2_PLACEMENT_3D('',#54063,#44088,#44089); #37094=AXIS2_PLACEMENT_3D('',#54072,#44094,#44095); #37095=AXIS2_PLACEMENT_3D('',#54074,#44096,#44097); #37096=AXIS2_PLACEMENT_3D('',#54076,#44098,#44099); #37097=AXIS2_PLACEMENT_3D('',#54078,#44101,#44102); #37098=AXIS2_PLACEMENT_3D('',#54084,#44106,#44107); #37099=AXIS2_PLACEMENT_3D('',#54086,#44108,#44109); #37100=AXIS2_PLACEMENT_3D('',#54088,#44110,#44111); #37101=AXIS2_PLACEMENT_3D('',#54090,#44113,#44114); #37102=AXIS2_PLACEMENT_3D('',#54096,#44118,#44119); #37103=AXIS2_PLACEMENT_3D('',#54098,#44120,#44121); #37104=AXIS2_PLACEMENT_3D('',#54100,#44122,#44123); #37105=AXIS2_PLACEMENT_3D('',#54102,#44125,#44126); #37106=AXIS2_PLACEMENT_3D('',#54108,#44130,#44131); #37107=AXIS2_PLACEMENT_3D('',#54109,#44132,#44133); #37108=AXIS2_PLACEMENT_3D('',#54110,#44134,#44135); #37109=AXIS2_PLACEMENT_3D('',#54111,#44136,#44137); #37110=AXIS2_PLACEMENT_3D('',#54120,#44142,#44143); #37111=AXIS2_PLACEMENT_3D('',#54122,#44144,#44145); #37112=AXIS2_PLACEMENT_3D('',#54124,#44146,#44147); #37113=AXIS2_PLACEMENT_3D('',#54126,#44149,#44150); #37114=AXIS2_PLACEMENT_3D('',#54132,#44154,#44155); #37115=AXIS2_PLACEMENT_3D('',#54134,#44156,#44157); #37116=AXIS2_PLACEMENT_3D('',#54136,#44158,#44159); #37117=AXIS2_PLACEMENT_3D('',#54138,#44161,#44162); #37118=AXIS2_PLACEMENT_3D('',#54144,#44166,#44167); #37119=AXIS2_PLACEMENT_3D('',#54146,#44168,#44169); #37120=AXIS2_PLACEMENT_3D('',#54148,#44170,#44171); #37121=AXIS2_PLACEMENT_3D('',#54150,#44173,#44174); #37122=AXIS2_PLACEMENT_3D('',#54156,#44178,#44179); #37123=AXIS2_PLACEMENT_3D('',#54157,#44180,#44181); #37124=AXIS2_PLACEMENT_3D('',#54158,#44182,#44183); #37125=AXIS2_PLACEMENT_3D('',#54159,#44184,#44185); #37126=AXIS2_PLACEMENT_3D('',#54168,#44190,#44191); #37127=AXIS2_PLACEMENT_3D('',#54170,#44192,#44193); #37128=AXIS2_PLACEMENT_3D('',#54172,#44194,#44195); #37129=AXIS2_PLACEMENT_3D('',#54174,#44197,#44198); #37130=AXIS2_PLACEMENT_3D('',#54180,#44202,#44203); #37131=AXIS2_PLACEMENT_3D('',#54182,#44204,#44205); #37132=AXIS2_PLACEMENT_3D('',#54184,#44206,#44207); #37133=AXIS2_PLACEMENT_3D('',#54186,#44209,#44210); #37134=AXIS2_PLACEMENT_3D('',#54192,#44214,#44215); #37135=AXIS2_PLACEMENT_3D('',#54194,#44216,#44217); #37136=AXIS2_PLACEMENT_3D('',#54196,#44218,#44219); #37137=AXIS2_PLACEMENT_3D('',#54198,#44221,#44222); #37138=AXIS2_PLACEMENT_3D('',#54204,#44226,#44227); #37139=AXIS2_PLACEMENT_3D('',#54205,#44228,#44229); #37140=AXIS2_PLACEMENT_3D('',#54206,#44230,#44231); #37141=AXIS2_PLACEMENT_3D('',#54207,#44232,#44233); #37142=AXIS2_PLACEMENT_3D('',#54210,#44234,#44235); #37143=AXIS2_PLACEMENT_3D('',#54214,#44237,#44238); #37144=AXIS2_PLACEMENT_3D('',#54216,#44240,#44241); #37145=AXIS2_PLACEMENT_3D('',#54222,#44245,#44246); #37146=AXIS2_PLACEMENT_3D('',#54224,#44247,#44248); #37147=AXIS2_PLACEMENT_3D('',#54226,#44249,#44250); #37148=AXIS2_PLACEMENT_3D('',#54228,#44252,#44253); #37149=AXIS2_PLACEMENT_3D('',#54234,#44257,#44258); #37150=AXIS2_PLACEMENT_3D('',#54236,#44259,#44260); #37151=AXIS2_PLACEMENT_3D('',#54238,#44261,#44262); #37152=AXIS2_PLACEMENT_3D('',#54240,#44264,#44265); #37153=AXIS2_PLACEMENT_3D('',#54246,#44269,#44270); #37154=AXIS2_PLACEMENT_3D('',#54248,#44271,#44272); #37155=AXIS2_PLACEMENT_3D('',#54250,#44273,#44274); #37156=AXIS2_PLACEMENT_3D('',#54252,#44276,#44277); #37157=AXIS2_PLACEMENT_3D('',#54255,#44280,#44281); #37158=AXIS2_PLACEMENT_3D('',#54258,#44282,#44283); #37159=AXIS2_PLACEMENT_3D('',#54262,#44285,#44286); #37160=AXIS2_PLACEMENT_3D('',#54264,#44288,#44289); #37161=AXIS2_PLACEMENT_3D('',#54270,#44293,#44294); #37162=AXIS2_PLACEMENT_3D('',#54272,#44295,#44296); #37163=AXIS2_PLACEMENT_3D('',#54274,#44297,#44298); #37164=AXIS2_PLACEMENT_3D('',#54276,#44300,#44301); #37165=AXIS2_PLACEMENT_3D('',#54282,#44305,#44306); #37166=AXIS2_PLACEMENT_3D('',#54284,#44307,#44308); #37167=AXIS2_PLACEMENT_3D('',#54286,#44309,#44310); #37168=AXIS2_PLACEMENT_3D('',#54288,#44312,#44313); #37169=AXIS2_PLACEMENT_3D('',#54294,#44317,#44318); #37170=AXIS2_PLACEMENT_3D('',#54296,#44319,#44320); #37171=AXIS2_PLACEMENT_3D('',#54298,#44321,#44322); #37172=AXIS2_PLACEMENT_3D('',#54300,#44324,#44325); #37173=AXIS2_PLACEMENT_3D('',#54303,#44328,#44329); #37174=AXIS2_PLACEMENT_3D('',#54306,#44330,#44331); #37175=AXIS2_PLACEMENT_3D('',#54310,#44333,#44334); #37176=AXIS2_PLACEMENT_3D('',#54312,#44336,#44337); #37177=AXIS2_PLACEMENT_3D('',#54318,#44341,#44342); #37178=AXIS2_PLACEMENT_3D('',#54320,#44343,#44344); #37179=AXIS2_PLACEMENT_3D('',#54322,#44345,#44346); #37180=AXIS2_PLACEMENT_3D('',#54324,#44348,#44349); #37181=AXIS2_PLACEMENT_3D('',#54330,#44353,#44354); #37182=AXIS2_PLACEMENT_3D('',#54332,#44355,#44356); #37183=AXIS2_PLACEMENT_3D('',#54334,#44357,#44358); #37184=AXIS2_PLACEMENT_3D('',#54336,#44360,#44361); #37185=AXIS2_PLACEMENT_3D('',#54342,#44365,#44366); #37186=AXIS2_PLACEMENT_3D('',#54344,#44367,#44368); #37187=AXIS2_PLACEMENT_3D('',#54346,#44369,#44370); #37188=AXIS2_PLACEMENT_3D('',#54348,#44372,#44373); #37189=AXIS2_PLACEMENT_3D('',#54351,#44376,#44377); #37190=AXIS2_PLACEMENT_3D('',#54354,#44378,#44379); #37191=AXIS2_PLACEMENT_3D('',#54358,#44381,#44382); #37192=AXIS2_PLACEMENT_3D('',#54360,#44384,#44385); #37193=AXIS2_PLACEMENT_3D('',#54366,#44389,#44390); #37194=AXIS2_PLACEMENT_3D('',#54368,#44391,#44392); #37195=AXIS2_PLACEMENT_3D('',#54370,#44393,#44394); #37196=AXIS2_PLACEMENT_3D('',#54372,#44396,#44397); #37197=AXIS2_PLACEMENT_3D('',#54378,#44401,#44402); #37198=AXIS2_PLACEMENT_3D('',#54380,#44403,#44404); #37199=AXIS2_PLACEMENT_3D('',#54382,#44405,#44406); #37200=AXIS2_PLACEMENT_3D('',#54384,#44408,#44409); #37201=AXIS2_PLACEMENT_3D('',#54390,#44413,#44414); #37202=AXIS2_PLACEMENT_3D('',#54392,#44415,#44416); #37203=AXIS2_PLACEMENT_3D('',#54394,#44417,#44418); #37204=AXIS2_PLACEMENT_3D('',#54396,#44420,#44421); #37205=AXIS2_PLACEMENT_3D('',#54399,#44424,#44425); #37206=AXIS2_PLACEMENT_3D('',#54408,#44430,#44431); #37207=AXIS2_PLACEMENT_3D('',#54410,#44432,#44433); #37208=AXIS2_PLACEMENT_3D('',#54412,#44434,#44435); #37209=AXIS2_PLACEMENT_3D('',#54414,#44437,#44438); #37210=AXIS2_PLACEMENT_3D('',#54420,#44442,#44443); #37211=AXIS2_PLACEMENT_3D('',#54422,#44444,#44445); #37212=AXIS2_PLACEMENT_3D('',#54424,#44446,#44447); #37213=AXIS2_PLACEMENT_3D('',#54426,#44449,#44450); #37214=AXIS2_PLACEMENT_3D('',#54432,#44454,#44455); #37215=AXIS2_PLACEMENT_3D('',#54434,#44456,#44457); #37216=AXIS2_PLACEMENT_3D('',#54436,#44458,#44459); #37217=AXIS2_PLACEMENT_3D('',#54438,#44461,#44462); #37218=AXIS2_PLACEMENT_3D('',#54444,#44466,#44467); #37219=AXIS2_PLACEMENT_3D('',#54445,#44468,#44469); #37220=AXIS2_PLACEMENT_3D('',#54446,#44470,#44471); #37221=AXIS2_PLACEMENT_3D('',#54447,#44472,#44473); #37222=AXIS2_PLACEMENT_3D('',#54456,#44478,#44479); #37223=AXIS2_PLACEMENT_3D('',#54458,#44480,#44481); #37224=AXIS2_PLACEMENT_3D('',#54460,#44482,#44483); #37225=AXIS2_PLACEMENT_3D('',#54462,#44485,#44486); #37226=AXIS2_PLACEMENT_3D('',#54468,#44490,#44491); #37227=AXIS2_PLACEMENT_3D('',#54470,#44492,#44493); #37228=AXIS2_PLACEMENT_3D('',#54472,#44494,#44495); #37229=AXIS2_PLACEMENT_3D('',#54474,#44497,#44498); #37230=AXIS2_PLACEMENT_3D('',#54480,#44502,#44503); #37231=AXIS2_PLACEMENT_3D('',#54482,#44504,#44505); #37232=AXIS2_PLACEMENT_3D('',#54484,#44506,#44507); #37233=AXIS2_PLACEMENT_3D('',#54486,#44509,#44510); #37234=AXIS2_PLACEMENT_3D('',#54492,#44514,#44515); #37235=AXIS2_PLACEMENT_3D('',#54493,#44516,#44517); #37236=AXIS2_PLACEMENT_3D('',#54494,#44518,#44519); #37237=AXIS2_PLACEMENT_3D('',#54495,#44520,#44521); #37238=AXIS2_PLACEMENT_3D('',#54504,#44526,#44527); #37239=AXIS2_PLACEMENT_3D('',#54506,#44528,#44529); #37240=AXIS2_PLACEMENT_3D('',#54508,#44530,#44531); #37241=AXIS2_PLACEMENT_3D('',#54510,#44533,#44534); #37242=AXIS2_PLACEMENT_3D('',#54516,#44538,#44539); #37243=AXIS2_PLACEMENT_3D('',#54518,#44540,#44541); #37244=AXIS2_PLACEMENT_3D('',#54520,#44542,#44543); #37245=AXIS2_PLACEMENT_3D('',#54522,#44545,#44546); #37246=AXIS2_PLACEMENT_3D('',#54528,#44550,#44551); #37247=AXIS2_PLACEMENT_3D('',#54530,#44552,#44553); #37248=AXIS2_PLACEMENT_3D('',#54532,#44554,#44555); #37249=AXIS2_PLACEMENT_3D('',#54534,#44557,#44558); #37250=AXIS2_PLACEMENT_3D('',#54540,#44562,#44563); #37251=AXIS2_PLACEMENT_3D('',#54541,#44564,#44565); #37252=AXIS2_PLACEMENT_3D('',#54542,#44566,#44567); #37253=AXIS2_PLACEMENT_3D('',#54543,#44568,#44569); #37254=AXIS2_PLACEMENT_3D('',#54552,#44574,#44575); #37255=AXIS2_PLACEMENT_3D('',#54558,#44579,#44580); #37256=AXIS2_PLACEMENT_3D('',#54564,#44584,#44585); #37257=AXIS2_PLACEMENT_3D('',#54567,#44588,#44589); #37258=AXIS2_PLACEMENT_3D('',#54576,#44594,#44595); #37259=AXIS2_PLACEMENT_3D('',#54578,#44596,#44597); #37260=AXIS2_PLACEMENT_3D('',#54580,#44598,#44599); #37261=AXIS2_PLACEMENT_3D('',#54582,#44601,#44602); #37262=AXIS2_PLACEMENT_3D('',#54588,#44606,#44607); #37263=AXIS2_PLACEMENT_3D('',#54590,#44608,#44609); #37264=AXIS2_PLACEMENT_3D('',#54592,#44610,#44611); #37265=AXIS2_PLACEMENT_3D('',#54594,#44613,#44614); #37266=AXIS2_PLACEMENT_3D('',#54600,#44618,#44619); #37267=AXIS2_PLACEMENT_3D('',#54602,#44620,#44621); #37268=AXIS2_PLACEMENT_3D('',#54604,#44622,#44623); #37269=AXIS2_PLACEMENT_3D('',#54606,#44625,#44626); #37270=AXIS2_PLACEMENT_3D('',#54612,#44630,#44631); #37271=AXIS2_PLACEMENT_3D('',#54613,#44632,#44633); #37272=AXIS2_PLACEMENT_3D('',#54614,#44634,#44635); #37273=AXIS2_PLACEMENT_3D('',#54615,#44636,#44637); #37274=AXIS2_PLACEMENT_3D('',#54624,#44642,#44643); #37275=AXIS2_PLACEMENT_3D('',#54626,#44644,#44645); #37276=AXIS2_PLACEMENT_3D('',#54628,#44646,#44647); #37277=AXIS2_PLACEMENT_3D('',#54630,#44649,#44650); #37278=AXIS2_PLACEMENT_3D('',#54636,#44654,#44655); #37279=AXIS2_PLACEMENT_3D('',#54638,#44656,#44657); #37280=AXIS2_PLACEMENT_3D('',#54640,#44658,#44659); #37281=AXIS2_PLACEMENT_3D('',#54642,#44661,#44662); #37282=AXIS2_PLACEMENT_3D('',#54648,#44666,#44667); #37283=AXIS2_PLACEMENT_3D('',#54650,#44668,#44669); #37284=AXIS2_PLACEMENT_3D('',#54652,#44670,#44671); #37285=AXIS2_PLACEMENT_3D('',#54654,#44673,#44674); #37286=AXIS2_PLACEMENT_3D('',#54660,#44678,#44679); #37287=AXIS2_PLACEMENT_3D('',#54661,#44680,#44681); #37288=AXIS2_PLACEMENT_3D('',#54662,#44682,#44683); #37289=AXIS2_PLACEMENT_3D('',#54663,#44684,#44685); #37290=AXIS2_PLACEMENT_3D('',#54672,#44690,#44691); #37291=AXIS2_PLACEMENT_3D('',#54674,#44692,#44693); #37292=AXIS2_PLACEMENT_3D('',#54676,#44694,#44695); #37293=AXIS2_PLACEMENT_3D('',#54678,#44697,#44698); #37294=AXIS2_PLACEMENT_3D('',#54684,#44702,#44703); #37295=AXIS2_PLACEMENT_3D('',#54686,#44704,#44705); #37296=AXIS2_PLACEMENT_3D('',#54688,#44706,#44707); #37297=AXIS2_PLACEMENT_3D('',#54690,#44709,#44710); #37298=AXIS2_PLACEMENT_3D('',#54696,#44714,#44715); #37299=AXIS2_PLACEMENT_3D('',#54698,#44716,#44717); #37300=AXIS2_PLACEMENT_3D('',#54700,#44718,#44719); #37301=AXIS2_PLACEMENT_3D('',#54702,#44721,#44722); #37302=AXIS2_PLACEMENT_3D('',#54708,#44726,#44727); #37303=AXIS2_PLACEMENT_3D('',#54709,#44728,#44729); #37304=AXIS2_PLACEMENT_3D('',#54710,#44730,#44731); #37305=AXIS2_PLACEMENT_3D('',#54711,#44732,#44733); #37306=AXIS2_PLACEMENT_3D('',#54720,#44738,#44739); #37307=AXIS2_PLACEMENT_3D('',#54726,#44743,#44744); #37308=AXIS2_PLACEMENT_3D('',#54732,#44748,#44749); #37309=AXIS2_PLACEMENT_3D('',#54735,#44752,#44753); #37310=AXIS2_PLACEMENT_3D('',#54744,#44758,#44759); #37311=AXIS2_PLACEMENT_3D('',#54750,#44763,#44764); #37312=AXIS2_PLACEMENT_3D('',#54756,#44768,#44769); #37313=AXIS2_PLACEMENT_3D('',#54759,#44772,#44773); #37314=AXIS2_PLACEMENT_3D('',#54768,#44778,#44779); #37315=AXIS2_PLACEMENT_3D('',#54774,#44783,#44784); #37316=AXIS2_PLACEMENT_3D('',#54780,#44788,#44789); #37317=AXIS2_PLACEMENT_3D('',#54783,#44792,#44793); #37318=AXIS2_PLACEMENT_3D('',#54792,#44798,#44799); #37319=AXIS2_PLACEMENT_3D('',#54798,#44803,#44804); #37320=AXIS2_PLACEMENT_3D('',#54804,#44808,#44809); #37321=AXIS2_PLACEMENT_3D('',#54807,#44812,#44813); #37322=AXIS2_PLACEMENT_3D('',#54810,#44814,#44815); #37323=AXIS2_PLACEMENT_3D('',#54814,#44817,#44818); #37324=AXIS2_PLACEMENT_3D('',#54816,#44820,#44821); #37325=AXIS2_PLACEMENT_3D('',#54822,#44825,#44826); #37326=AXIS2_PLACEMENT_3D('',#54824,#44827,#44828); #37327=AXIS2_PLACEMENT_3D('',#54826,#44829,#44830); #37328=AXIS2_PLACEMENT_3D('',#54828,#44832,#44833); #37329=AXIS2_PLACEMENT_3D('',#54834,#44837,#44838); #37330=AXIS2_PLACEMENT_3D('',#54836,#44839,#44840); #37331=AXIS2_PLACEMENT_3D('',#54838,#44841,#44842); #37332=AXIS2_PLACEMENT_3D('',#54840,#44844,#44845); #37333=AXIS2_PLACEMENT_3D('',#54846,#44849,#44850); #37334=AXIS2_PLACEMENT_3D('',#54848,#44851,#44852); #37335=AXIS2_PLACEMENT_3D('',#54850,#44853,#44854); #37336=AXIS2_PLACEMENT_3D('',#54852,#44856,#44857); #37337=AXIS2_PLACEMENT_3D('',#54855,#44860,#44861); #37338=AXIS2_PLACEMENT_3D('',#54857,#44862,#44863); #37339=AXIS2_PLACEMENT_3D('',#54860,#44865,#44866); #37340=AXIS2_PLACEMENT_3D('',#54861,#44867,#44868); #37341=AXIS2_PLACEMENT_3D('',#54870,#44873,#44874); #37342=AXIS2_PLACEMENT_3D('',#54872,#44875,#44876); #37343=AXIS2_PLACEMENT_3D('',#54874,#44877,#44878); #37344=AXIS2_PLACEMENT_3D('',#54876,#44880,#44881); #37345=AXIS2_PLACEMENT_3D('',#54882,#44885,#44886); #37346=AXIS2_PLACEMENT_3D('',#54884,#44887,#44888); #37347=AXIS2_PLACEMENT_3D('',#54886,#44889,#44890); #37348=AXIS2_PLACEMENT_3D('',#54888,#44892,#44893); #37349=AXIS2_PLACEMENT_3D('',#54894,#44897,#44898); #37350=AXIS2_PLACEMENT_3D('',#54896,#44899,#44900); #37351=AXIS2_PLACEMENT_3D('',#54898,#44901,#44902); #37352=AXIS2_PLACEMENT_3D('',#54900,#44904,#44905); #37353=AXIS2_PLACEMENT_3D('',#54906,#44909,#44910); #37354=AXIS2_PLACEMENT_3D('',#54907,#44911,#44912); #37355=AXIS2_PLACEMENT_3D('',#54908,#44913,#44914); #37356=AXIS2_PLACEMENT_3D('',#54909,#44915,#44916); #37357=AXIS2_PLACEMENT_3D('',#54918,#44921,#44922); #37358=AXIS2_PLACEMENT_3D('',#54924,#44926,#44927); #37359=AXIS2_PLACEMENT_3D('',#54930,#44931,#44932); #37360=AXIS2_PLACEMENT_3D('',#54933,#44935,#44936); #37361=AXIS2_PLACEMENT_3D('',#54942,#44941,#44942); #37362=AXIS2_PLACEMENT_3D('',#54948,#44946,#44947); #37363=AXIS2_PLACEMENT_3D('',#54954,#44951,#44952); #37364=AXIS2_PLACEMENT_3D('',#54957,#44955,#44956); #37365=AXIS2_PLACEMENT_3D('',#54959,#44957,#44958); #37366=AXIS2_PLACEMENT_3D('',#54962,#44960,#44961); #37367=AXIS2_PLACEMENT_3D('',#54963,#44962,#44963); #37368=AXIS2_PLACEMENT_3D('',#54972,#44968,#44969); #37369=AXIS2_PLACEMENT_3D('',#54978,#44973,#44974); #37370=AXIS2_PLACEMENT_3D('',#54984,#44978,#44979); #37371=AXIS2_PLACEMENT_3D('',#54987,#44982,#44983); #37372=AXIS2_PLACEMENT_3D('',#54989,#44984,#44985); #37373=AXIS2_PLACEMENT_3D('',#54992,#44987,#44988); #37374=AXIS2_PLACEMENT_3D('',#54993,#44989,#44990); #37375=AXIS2_PLACEMENT_3D('',#55002,#44995,#44996); #37376=AXIS2_PLACEMENT_3D('',#55008,#45000,#45001); #37377=AXIS2_PLACEMENT_3D('',#55014,#45005,#45006); #37378=AXIS2_PLACEMENT_3D('',#55017,#45009,#45010); #37379=AXIS2_PLACEMENT_3D('',#55026,#45015,#45016); #37380=AXIS2_PLACEMENT_3D('',#55028,#45017,#45018); #37381=AXIS2_PLACEMENT_3D('',#55030,#45019,#45020); #37382=AXIS2_PLACEMENT_3D('',#55032,#45022,#45023); #37383=AXIS2_PLACEMENT_3D('',#55038,#45027,#45028); #37384=AXIS2_PLACEMENT_3D('',#55040,#45029,#45030); #37385=AXIS2_PLACEMENT_3D('',#55042,#45031,#45032); #37386=AXIS2_PLACEMENT_3D('',#55044,#45034,#45035); #37387=AXIS2_PLACEMENT_3D('',#55050,#45039,#45040); #37388=AXIS2_PLACEMENT_3D('',#55052,#45041,#45042); #37389=AXIS2_PLACEMENT_3D('',#55054,#45043,#45044); #37390=AXIS2_PLACEMENT_3D('',#55056,#45046,#45047); #37391=AXIS2_PLACEMENT_3D('',#55062,#45051,#45052); #37392=AXIS2_PLACEMENT_3D('',#55063,#45053,#45054); #37393=AXIS2_PLACEMENT_3D('',#55064,#45055,#45056); #37394=AXIS2_PLACEMENT_3D('',#55065,#45057,#45058); #37395=AXIS2_PLACEMENT_3D('',#55066,#45059,#45060); #37396=AXIS2_PLACEMENT_3D('placement',#55067,#45061,#45062); #37397=AXIS2_PLACEMENT_3D('placement',#55068,#45063,#45064); #37398=AXIS2_PLACEMENT_3D('',#55069,#45065,#45066); #37399=AXIS2_PLACEMENT_3D('',#55089,#45067,#45068); #37400=AXIS2_PLACEMENT_3D('',#55109,#45069,#45070); #37401=AXIS2_PLACEMENT_3D('',#55110,#45071,#45072); #37402=AXIS2_PLACEMENT_3D('',#55126,#45073,#45074); #37403=AXIS2_PLACEMENT_3D('',#55130,#45076,#45077); #37404=AXIS2_PLACEMENT_3D('',#55133,#45079,#45080); #37405=AXIS2_PLACEMENT_3D('',#55134,#45081,#45082); #37406=AXIS2_PLACEMENT_3D('',#55137,#45083,#45084); #37407=AXIS2_PLACEMENT_3D('',#55139,#45085,#45086); #37408=AXIS2_PLACEMENT_3D('',#55140,#45087,#45088); #37409=AXIS2_PLACEMENT_3D('',#55141,#45089,#45090); #37410=AXIS2_PLACEMENT_3D('',#55144,#45091,#45092); #37411=AXIS2_PLACEMENT_3D('',#55145,#45093,#45094); #37412=AXIS2_PLACEMENT_3D('',#55146,#45095,#45096); #37413=AXIS2_PLACEMENT_3D('',#55147,#45097,#45098); #37414=AXIS2_PLACEMENT_3D('',#55150,#45099,#45100); #37415=AXIS2_PLACEMENT_3D('',#55151,#45101,#45102); #37416=AXIS2_PLACEMENT_3D('',#55152,#45103,#45104); #37417=AXIS2_PLACEMENT_3D('',#55153,#45105,#45106); #37418=AXIS2_PLACEMENT_3D('',#55156,#45107,#45108); #37419=AXIS2_PLACEMENT_3D('',#55158,#45109,#45110); #37420=AXIS2_PLACEMENT_3D('',#55159,#45111,#45112); #37421=AXIS2_PLACEMENT_3D('',#55160,#45113,#45114); #37422=AXIS2_PLACEMENT_3D('',#55162,#45115,#45116); #37423=AXIS2_PLACEMENT_3D('',#55163,#45117,#45118); #37424=AXIS2_PLACEMENT_3D('',#55164,#45119,#45120); #37425=AXIS2_PLACEMENT_3D('',#55165,#45121,#45122); #37426=AXIS2_PLACEMENT_3D('',#55168,#45125,#45126); #37427=AXIS2_PLACEMENT_3D('',#55171,#45127,#45128); #37428=AXIS2_PLACEMENT_3D('',#55172,#45129,#45130); #37429=AXIS2_PLACEMENT_3D('',#55173,#45131,#45132); #37430=AXIS2_PLACEMENT_3D('',#55174,#45133,#45134); #37431=AXIS2_PLACEMENT_3D('',#55176,#45135,#45136); #37432=AXIS2_PLACEMENT_3D('',#55179,#45138,#45139); #37433=AXIS2_PLACEMENT_3D('',#55181,#45141,#45142); #37434=AXIS2_PLACEMENT_3D('',#55182,#45143,#45144); #37435=AXIS2_PLACEMENT_3D('',#55183,#45145,#45146); #37436=AXIS2_PLACEMENT_3D('',#55184,#45147,#45148); #37437=AXIS2_PLACEMENT_3D('',#55185,#45149,#45150); #37438=AXIS2_PLACEMENT_3D('',#55186,#45151,#45152); #37439=AXIS2_PLACEMENT_3D('',#55187,#45153,#45154); #37440=AXIS2_PLACEMENT_3D('',#55189,#45155,#45156); #37441=AXIS2_PLACEMENT_3D('',#55190,#45157,#45158); #37442=AXIS2_PLACEMENT_3D('',#55191,#45159,#45160); #37443=AXIS2_PLACEMENT_3D('',#55193,#45161,#45162); #37444=AXIS2_PLACEMENT_3D('',#55199,#45166,#45167); #37445=AXIS2_PLACEMENT_3D('',#55200,#45168,#45169); #37446=AXIS2_PLACEMENT_3D('',#55202,#45171,#45172); #37447=AXIS2_PLACEMENT_3D('',#55204,#45173,#45174); #37448=AXIS2_PLACEMENT_3D('',#55205,#45175,#45176); #37449=AXIS2_PLACEMENT_3D('',#55206,#45177,#45178); #37450=AXIS2_PLACEMENT_3D('',#55207,#45179,#45180); #37451=AXIS2_PLACEMENT_3D('',#55208,#45181,#45182); #37452=AXIS2_PLACEMENT_3D('',#55210,#45183,#45184); #37453=AXIS2_PLACEMENT_3D('',#55214,#45186,#45187); #37454=AXIS2_PLACEMENT_3D('',#55216,#45188,#45189); #37455=AXIS2_PLACEMENT_3D('',#55219,#45191,#45192); #37456=AXIS2_PLACEMENT_3D('',#55220,#45193,#45194); #37457=AXIS2_PLACEMENT_3D('',#55223,#45195,#45196); #37458=AXIS2_PLACEMENT_3D('',#55225,#45197,#45198); #37459=AXIS2_PLACEMENT_3D('',#55226,#45199,#45200); #37460=AXIS2_PLACEMENT_3D('',#55227,#45201,#45202); #37461=AXIS2_PLACEMENT_3D('',#55228,#45203,#45204); #37462=AXIS2_PLACEMENT_3D('',#55229,#45205,#45206); #37463=AXIS2_PLACEMENT_3D('',#55230,#45207,#45208); #37464=AXIS2_PLACEMENT_3D('',#55233,#45209,#45210); #37465=AXIS2_PLACEMENT_3D('',#55235,#45211,#45212); #37466=AXIS2_PLACEMENT_3D('',#55236,#45213,#45214); #37467=AXIS2_PLACEMENT_3D('',#55237,#45215,#45216); #37468=AXIS2_PLACEMENT_3D('',#55238,#45217,#45218); #37469=AXIS2_PLACEMENT_3D('',#55239,#45219,#45220); #37470=AXIS2_PLACEMENT_3D('',#55240,#45221,#45222); #37471=AXIS2_PLACEMENT_3D('',#55243,#45223,#45224); #37472=AXIS2_PLACEMENT_3D('',#55244,#45225,#45226); #37473=AXIS2_PLACEMENT_3D('',#55245,#45227,#45228); #37474=AXIS2_PLACEMENT_3D('',#55246,#45229,#45230); #37475=AXIS2_PLACEMENT_3D('',#55249,#45233,#45234); #37476=AXIS2_PLACEMENT_3D('',#55252,#45235,#45236); #37477=AXIS2_PLACEMENT_3D('',#55253,#45237,#45238); #37478=AXIS2_PLACEMENT_3D('',#55254,#45239,#45240); #37479=AXIS2_PLACEMENT_3D('',#55255,#45241,#45242); #37480=AXIS2_PLACEMENT_3D('',#55257,#45243,#45244); #37481=AXIS2_PLACEMENT_3D('',#55260,#45246,#45247); #37482=AXIS2_PLACEMENT_3D('',#55262,#45249,#45250); #37483=AXIS2_PLACEMENT_3D('',#55263,#45251,#45252); #37484=AXIS2_PLACEMENT_3D('',#55264,#45253,#45254); #37485=AXIS2_PLACEMENT_3D('',#55265,#45255,#45256); #37486=AXIS2_PLACEMENT_3D('',#55266,#45257,#45258); #37487=AXIS2_PLACEMENT_3D('',#55268,#45259,#45260); #37488=AXIS2_PLACEMENT_3D('',#55269,#45261,#45262); #37489=AXIS2_PLACEMENT_3D('',#55270,#45263,#45264); #37490=AXIS2_PLACEMENT_3D('',#55272,#45265,#45266); #37491=AXIS2_PLACEMENT_3D('',#55273,#45267,#45268); #37492=AXIS2_PLACEMENT_3D('',#55274,#45269,#45270); #37493=AXIS2_PLACEMENT_3D('',#55276,#45271,#45272); #37494=AXIS2_PLACEMENT_3D('',#55282,#45276,#45277); #37495=AXIS2_PLACEMENT_3D('',#55283,#45278,#45279); #37496=AXIS2_PLACEMENT_3D('',#55284,#45280,#45281); #37497=AXIS2_PLACEMENT_3D('',#55285,#45282,#45283); #37498=AXIS2_PLACEMENT_3D('',#55286,#45284,#45285); #37499=AXIS2_PLACEMENT_3D('',#55287,#45286,#45287); #37500=AXIS2_PLACEMENT_3D('',#55288,#45288,#45289); #37501=AXIS2_PLACEMENT_3D('',#55290,#45290,#45291); #37502=AXIS2_PLACEMENT_3D('',#55292,#45293,#45294); #37503=AXIS2_PLACEMENT_3D('',#55295,#45296,#45297); #37504=AXIS2_PLACEMENT_3D('',#55296,#45298,#45299); #37505=AXIS2_PLACEMENT_3D('',#55297,#45300,#45301); #37506=AXIS2_PLACEMENT_3D('',#55298,#45302,#45303); #37507=AXIS2_PLACEMENT_3D('',#55299,#45304,#45305); #37508=AXIS2_PLACEMENT_3D('',#55300,#45306,#45307); #37509=AXIS2_PLACEMENT_3D('',#55302,#45308,#45309); #37510=AXIS2_PLACEMENT_3D('',#55304,#45311,#45312); #37511=AXIS2_PLACEMENT_3D('',#55306,#45313,#45314); #37512=AXIS2_PLACEMENT_3D('',#55308,#45316,#45317); #37513=AXIS2_PLACEMENT_3D('',#55310,#45319,#45320); #37514=AXIS2_PLACEMENT_3D('',#55312,#45321,#45322); #37515=AXIS2_PLACEMENT_3D('',#55315,#45324,#45325); #37516=AXIS2_PLACEMENT_3D('',#55316,#45326,#45327); #37517=AXIS2_PLACEMENT_3D('',#55318,#45328,#45329); #37518=AXIS2_PLACEMENT_3D('',#55321,#45331,#45332); #37519=AXIS2_PLACEMENT_3D('',#55322,#45333,#45334); #37520=AXIS2_PLACEMENT_3D('',#55324,#45335,#45336); #37521=AXIS2_PLACEMENT_3D('',#55327,#45338,#45339); #37522=AXIS2_PLACEMENT_3D('',#55328,#45340,#45341); #37523=AXIS2_PLACEMENT_3D('',#55330,#45342,#45343); #37524=AXIS2_PLACEMENT_3D('',#55333,#45345,#45346); #37525=AXIS2_PLACEMENT_3D('',#55334,#45347,#45348); #37526=AXIS2_PLACEMENT_3D('',#55336,#45349,#45350); #37527=AXIS2_PLACEMENT_3D('',#55339,#45352,#45353); #37528=AXIS2_PLACEMENT_3D('',#55340,#45354,#45355); #37529=AXIS2_PLACEMENT_3D('',#55342,#45356,#45357); #37530=AXIS2_PLACEMENT_3D('',#55345,#45359,#45360); #37531=AXIS2_PLACEMENT_3D('',#55346,#45361,#45362); #37532=AXIS2_PLACEMENT_3D('',#55355,#45367,#45368); #37533=AXIS2_PLACEMENT_3D('',#55357,#45369,#45370); #37534=AXIS2_PLACEMENT_3D('',#55359,#45371,#45372); #37535=AXIS2_PLACEMENT_3D('',#55361,#45374,#45375); #37536=AXIS2_PLACEMENT_3D('',#55367,#45379,#45380); #37537=AXIS2_PLACEMENT_3D('',#55369,#45381,#45382); #37538=AXIS2_PLACEMENT_3D('',#55371,#45383,#45384); #37539=AXIS2_PLACEMENT_3D('',#55373,#45386,#45387); #37540=AXIS2_PLACEMENT_3D('',#55379,#45391,#45392); #37541=AXIS2_PLACEMENT_3D('',#55381,#45393,#45394); #37542=AXIS2_PLACEMENT_3D('',#55383,#45395,#45396); #37543=AXIS2_PLACEMENT_3D('',#55385,#45398,#45399); #37544=AXIS2_PLACEMENT_3D('',#55391,#45403,#45404); #37545=AXIS2_PLACEMENT_3D('',#55393,#45405,#45406); #37546=AXIS2_PLACEMENT_3D('',#55395,#45407,#45408); #37547=AXIS2_PLACEMENT_3D('',#55397,#45410,#45411); #37548=AXIS2_PLACEMENT_3D('',#55403,#45415,#45416); #37549=AXIS2_PLACEMENT_3D('',#55405,#45417,#45418); #37550=AXIS2_PLACEMENT_3D('',#55407,#45419,#45420); #37551=AXIS2_PLACEMENT_3D('',#55409,#45422,#45423); #37552=AXIS2_PLACEMENT_3D('',#55415,#45427,#45428); #37553=AXIS2_PLACEMENT_3D('',#55416,#45429,#45430); #37554=AXIS2_PLACEMENT_3D('',#55417,#45431,#45432); #37555=AXIS2_PLACEMENT_3D('',#55418,#45433,#45434); #37556=AXIS2_PLACEMENT_3D('',#55419,#45435,#45436); #37557=AXIS2_PLACEMENT_3D('placement',#55420,#45437,#45438); #37558=AXIS2_PLACEMENT_3D('',#55421,#45439,#45440); #37559=AXIS2_PLACEMENT_3D('',#55432,#45445,#45446); #37560=AXIS2_PLACEMENT_3D('',#55436,#45448,#45449); #37561=AXIS2_PLACEMENT_3D('',#55440,#45451,#45452); #37562=AXIS2_PLACEMENT_3D('',#55444,#45454,#45455); #37563=AXIS2_PLACEMENT_3D('',#55448,#45457,#45458); #37564=AXIS2_PLACEMENT_3D('',#55452,#45460,#45461); #37565=AXIS2_PLACEMENT_3D('',#55456,#45463,#45464); #37566=AXIS2_PLACEMENT_3D('',#55460,#45466,#45467); #37567=AXIS2_PLACEMENT_3D('',#55464,#45469,#45470); #37568=AXIS2_PLACEMENT_3D('',#55468,#45472,#45473); #37569=AXIS2_PLACEMENT_3D('',#55472,#45475,#45476); #37570=AXIS2_PLACEMENT_3D('',#55476,#45478,#45479); #37571=AXIS2_PLACEMENT_3D('',#55480,#45481,#45482); #37572=AXIS2_PLACEMENT_3D('',#55484,#45484,#45485); #37573=AXIS2_PLACEMENT_3D('',#55488,#45487,#45488); #37574=AXIS2_PLACEMENT_3D('',#55492,#45490,#45491); #37575=AXIS2_PLACEMENT_3D('',#55496,#45493,#45494); #37576=AXIS2_PLACEMENT_3D('',#55500,#45496,#45497); #37577=AXIS2_PLACEMENT_3D('',#55504,#45499,#45500); #37578=AXIS2_PLACEMENT_3D('',#55508,#45502,#45503); #37579=AXIS2_PLACEMENT_3D('',#55512,#45505,#45506); #37580=AXIS2_PLACEMENT_3D('',#55516,#45508,#45509); #37581=AXIS2_PLACEMENT_3D('',#55520,#45511,#45512); #37582=AXIS2_PLACEMENT_3D('',#55524,#45514,#45515); #37583=AXIS2_PLACEMENT_3D('',#55528,#45517,#45518); #37584=AXIS2_PLACEMENT_3D('',#55532,#45520,#45521); #37585=AXIS2_PLACEMENT_3D('',#55536,#45523,#45524); #37586=AXIS2_PLACEMENT_3D('',#55540,#45526,#45527); #37587=AXIS2_PLACEMENT_3D('',#55544,#45529,#45530); #37588=AXIS2_PLACEMENT_3D('',#55548,#45532,#45533); #37589=AXIS2_PLACEMENT_3D('',#55552,#45535,#45536); #37590=AXIS2_PLACEMENT_3D('',#55556,#45538,#45539); #37591=AXIS2_PLACEMENT_3D('',#55560,#45541,#45542); #37592=AXIS2_PLACEMENT_3D('',#55564,#45544,#45545); #37593=AXIS2_PLACEMENT_3D('',#55568,#45547,#45548); #37594=AXIS2_PLACEMENT_3D('',#55572,#45550,#45551); #37595=AXIS2_PLACEMENT_3D('',#55576,#45553,#45554); #37596=AXIS2_PLACEMENT_3D('',#55580,#45556,#45557); #37597=AXIS2_PLACEMENT_3D('',#55584,#45559,#45560); #37598=AXIS2_PLACEMENT_3D('',#55588,#45562,#45563); #37599=AXIS2_PLACEMENT_3D('',#55592,#45565,#45566); #37600=AXIS2_PLACEMENT_3D('',#55596,#45568,#45569); #37601=AXIS2_PLACEMENT_3D('',#55600,#45571,#45572); #37602=AXIS2_PLACEMENT_3D('',#55604,#45574,#45575); #37603=AXIS2_PLACEMENT_3D('',#55608,#45577,#45578); #37604=AXIS2_PLACEMENT_3D('',#55612,#45580,#45581); #37605=AXIS2_PLACEMENT_3D('',#55616,#45583,#45584); #37606=AXIS2_PLACEMENT_3D('',#55620,#45586,#45587); #37607=AXIS2_PLACEMENT_3D('',#55624,#45589,#45590); #37608=AXIS2_PLACEMENT_3D('',#55628,#45592,#45593); #37609=AXIS2_PLACEMENT_3D('',#55632,#45595,#45596); #37610=AXIS2_PLACEMENT_3D('',#55636,#45598,#45599); #37611=AXIS2_PLACEMENT_3D('',#55640,#45601,#45602); #37612=AXIS2_PLACEMENT_3D('',#55644,#45604,#45605); #37613=AXIS2_PLACEMENT_3D('',#55648,#45607,#45608); #37614=AXIS2_PLACEMENT_3D('',#55652,#45610,#45611); #37615=AXIS2_PLACEMENT_3D('',#55656,#45613,#45614); #37616=AXIS2_PLACEMENT_3D('',#55660,#45616,#45617); #37617=AXIS2_PLACEMENT_3D('',#55664,#45619,#45620); #37618=AXIS2_PLACEMENT_3D('',#55668,#45622,#45623); #37619=AXIS2_PLACEMENT_3D('',#55672,#45625,#45626); #37620=AXIS2_PLACEMENT_3D('',#55676,#45628,#45629); #37621=AXIS2_PLACEMENT_3D('',#55678,#45631,#45632); #37622=AXIS2_PLACEMENT_3D('',#55684,#45636,#45637); #37623=AXIS2_PLACEMENT_3D('',#55686,#45638,#45639); #37624=AXIS2_PLACEMENT_3D('',#55688,#45641,#45642); #37625=AXIS2_PLACEMENT_3D('',#55692,#45645,#45646); #37626=AXIS2_PLACEMENT_3D('',#55694,#45647,#45648); #37627=AXIS2_PLACEMENT_3D('',#55696,#45650,#45651); #37628=AXIS2_PLACEMENT_3D('',#55700,#45654,#45655); #37629=AXIS2_PLACEMENT_3D('',#55702,#45656,#45657); #37630=AXIS2_PLACEMENT_3D('',#55704,#45659,#45660); #37631=AXIS2_PLACEMENT_3D('',#55708,#45663,#45664); #37632=AXIS2_PLACEMENT_3D('',#55710,#45665,#45666); #37633=AXIS2_PLACEMENT_3D('',#55712,#45668,#45669); #37634=AXIS2_PLACEMENT_3D('',#55716,#45672,#45673); #37635=AXIS2_PLACEMENT_3D('',#55718,#45674,#45675); #37636=AXIS2_PLACEMENT_3D('',#55720,#45677,#45678); #37637=AXIS2_PLACEMENT_3D('',#55724,#45681,#45682); #37638=AXIS2_PLACEMENT_3D('',#55726,#45683,#45684); #37639=AXIS2_PLACEMENT_3D('',#55728,#45686,#45687); #37640=AXIS2_PLACEMENT_3D('',#55732,#45690,#45691); #37641=AXIS2_PLACEMENT_3D('',#55734,#45692,#45693); #37642=AXIS2_PLACEMENT_3D('',#55736,#45695,#45696); #37643=AXIS2_PLACEMENT_3D('',#55740,#45699,#45700); #37644=AXIS2_PLACEMENT_3D('',#55742,#45701,#45702); #37645=AXIS2_PLACEMENT_3D('',#55744,#45704,#45705); #37646=AXIS2_PLACEMENT_3D('',#55748,#45708,#45709); #37647=AXIS2_PLACEMENT_3D('',#55750,#45710,#45711); #37648=AXIS2_PLACEMENT_3D('',#55752,#45713,#45714); #37649=AXIS2_PLACEMENT_3D('',#55756,#45717,#45718); #37650=AXIS2_PLACEMENT_3D('',#55758,#45719,#45720); #37651=AXIS2_PLACEMENT_3D('',#55760,#45722,#45723); #37652=AXIS2_PLACEMENT_3D('',#55764,#45726,#45727); #37653=AXIS2_PLACEMENT_3D('',#55766,#45728,#45729); #37654=AXIS2_PLACEMENT_3D('',#55768,#45731,#45732); #37655=AXIS2_PLACEMENT_3D('',#55772,#45735,#45736); #37656=AXIS2_PLACEMENT_3D('',#55774,#45737,#45738); #37657=AXIS2_PLACEMENT_3D('',#55776,#45740,#45741); #37658=AXIS2_PLACEMENT_3D('',#55780,#45744,#45745); #37659=AXIS2_PLACEMENT_3D('',#55782,#45746,#45747); #37660=AXIS2_PLACEMENT_3D('',#55784,#45749,#45750); #37661=AXIS2_PLACEMENT_3D('',#55788,#45753,#45754); #37662=AXIS2_PLACEMENT_3D('',#55790,#45755,#45756); #37663=AXIS2_PLACEMENT_3D('',#55792,#45758,#45759); #37664=AXIS2_PLACEMENT_3D('',#55796,#45762,#45763); #37665=AXIS2_PLACEMENT_3D('',#55798,#45764,#45765); #37666=AXIS2_PLACEMENT_3D('',#55800,#45767,#45768); #37667=AXIS2_PLACEMENT_3D('',#55804,#45771,#45772); #37668=AXIS2_PLACEMENT_3D('',#55806,#45773,#45774); #37669=AXIS2_PLACEMENT_3D('',#55808,#45776,#45777); #37670=AXIS2_PLACEMENT_3D('',#55812,#45780,#45781); #37671=AXIS2_PLACEMENT_3D('',#55814,#45782,#45783); #37672=AXIS2_PLACEMENT_3D('',#55816,#45785,#45786); #37673=AXIS2_PLACEMENT_3D('',#55820,#45789,#45790); #37674=AXIS2_PLACEMENT_3D('',#55822,#45791,#45792); #37675=AXIS2_PLACEMENT_3D('',#55824,#45794,#45795); #37676=AXIS2_PLACEMENT_3D('',#55828,#45798,#45799); #37677=AXIS2_PLACEMENT_3D('',#55830,#45800,#45801); #37678=AXIS2_PLACEMENT_3D('',#55832,#45803,#45804); #37679=AXIS2_PLACEMENT_3D('',#55836,#45807,#45808); #37680=AXIS2_PLACEMENT_3D('',#55838,#45809,#45810); #37681=AXIS2_PLACEMENT_3D('',#55840,#45812,#45813); #37682=AXIS2_PLACEMENT_3D('',#55844,#45816,#45817); #37683=AXIS2_PLACEMENT_3D('',#55846,#45818,#45819); #37684=AXIS2_PLACEMENT_3D('',#55848,#45821,#45822); #37685=AXIS2_PLACEMENT_3D('',#55852,#45825,#45826); #37686=AXIS2_PLACEMENT_3D('',#55854,#45827,#45828); #37687=AXIS2_PLACEMENT_3D('',#55856,#45830,#45831); #37688=AXIS2_PLACEMENT_3D('',#55860,#45834,#45835); #37689=AXIS2_PLACEMENT_3D('',#55862,#45836,#45837); #37690=AXIS2_PLACEMENT_3D('',#55864,#45839,#45840); #37691=AXIS2_PLACEMENT_3D('',#55868,#45843,#45844); #37692=AXIS2_PLACEMENT_3D('',#55870,#45845,#45846); #37693=AXIS2_PLACEMENT_3D('',#55872,#45848,#45849); #37694=AXIS2_PLACEMENT_3D('',#55876,#45852,#45853); #37695=AXIS2_PLACEMENT_3D('',#55878,#45854,#45855); #37696=AXIS2_PLACEMENT_3D('',#55880,#45857,#45858); #37697=AXIS2_PLACEMENT_3D('',#55884,#45861,#45862); #37698=AXIS2_PLACEMENT_3D('',#55886,#45863,#45864); #37699=AXIS2_PLACEMENT_3D('',#55888,#45866,#45867); #37700=AXIS2_PLACEMENT_3D('',#55892,#45870,#45871); #37701=AXIS2_PLACEMENT_3D('',#55894,#45872,#45873); #37702=AXIS2_PLACEMENT_3D('',#55896,#45875,#45876); #37703=AXIS2_PLACEMENT_3D('',#55900,#45879,#45880); #37704=AXIS2_PLACEMENT_3D('',#55902,#45881,#45882); #37705=AXIS2_PLACEMENT_3D('',#55904,#45884,#45885); #37706=AXIS2_PLACEMENT_3D('',#55908,#45888,#45889); #37707=AXIS2_PLACEMENT_3D('',#55910,#45890,#45891); #37708=AXIS2_PLACEMENT_3D('',#55912,#45893,#45894); #37709=AXIS2_PLACEMENT_3D('',#55916,#45897,#45898); #37710=AXIS2_PLACEMENT_3D('',#55918,#45899,#45900); #37711=AXIS2_PLACEMENT_3D('',#55920,#45902,#45903); #37712=AXIS2_PLACEMENT_3D('',#55924,#45906,#45907); #37713=AXIS2_PLACEMENT_3D('',#55926,#45908,#45909); #37714=AXIS2_PLACEMENT_3D('',#55928,#45911,#45912); #37715=AXIS2_PLACEMENT_3D('',#55932,#45915,#45916); #37716=AXIS2_PLACEMENT_3D('',#55934,#45917,#45918); #37717=AXIS2_PLACEMENT_3D('',#55936,#45920,#45921); #37718=AXIS2_PLACEMENT_3D('',#55940,#45924,#45925); #37719=AXIS2_PLACEMENT_3D('',#55942,#45926,#45927); #37720=AXIS2_PLACEMENT_3D('',#55944,#45929,#45930); #37721=AXIS2_PLACEMENT_3D('',#55948,#45933,#45934); #37722=AXIS2_PLACEMENT_3D('',#55950,#45935,#45936); #37723=AXIS2_PLACEMENT_3D('',#55952,#45938,#45939); #37724=AXIS2_PLACEMENT_3D('',#55956,#45942,#45943); #37725=AXIS2_PLACEMENT_3D('',#55958,#45944,#45945); #37726=AXIS2_PLACEMENT_3D('',#55960,#45947,#45948); #37727=AXIS2_PLACEMENT_3D('',#55964,#45951,#45952); #37728=AXIS2_PLACEMENT_3D('',#55966,#45953,#45954); #37729=AXIS2_PLACEMENT_3D('',#55968,#45956,#45957); #37730=AXIS2_PLACEMENT_3D('',#55972,#45960,#45961); #37731=AXIS2_PLACEMENT_3D('',#55974,#45962,#45963); #37732=AXIS2_PLACEMENT_3D('',#55976,#45965,#45966); #37733=AXIS2_PLACEMENT_3D('',#55980,#45969,#45970); #37734=AXIS2_PLACEMENT_3D('',#55982,#45971,#45972); #37735=AXIS2_PLACEMENT_3D('',#55984,#45974,#45975); #37736=AXIS2_PLACEMENT_3D('',#55988,#45978,#45979); #37737=AXIS2_PLACEMENT_3D('',#55990,#45980,#45981); #37738=AXIS2_PLACEMENT_3D('',#55992,#45983,#45984); #37739=AXIS2_PLACEMENT_3D('',#55996,#45987,#45988); #37740=AXIS2_PLACEMENT_3D('',#55998,#45989,#45990); #37741=AXIS2_PLACEMENT_3D('',#56000,#45992,#45993); #37742=AXIS2_PLACEMENT_3D('',#56004,#45996,#45997); #37743=AXIS2_PLACEMENT_3D('',#56006,#45998,#45999); #37744=AXIS2_PLACEMENT_3D('',#56008,#46001,#46002); #37745=AXIS2_PLACEMENT_3D('',#56012,#46005,#46006); #37746=AXIS2_PLACEMENT_3D('',#56014,#46007,#46008); #37747=AXIS2_PLACEMENT_3D('',#56016,#46010,#46011); #37748=AXIS2_PLACEMENT_3D('',#56020,#46014,#46015); #37749=AXIS2_PLACEMENT_3D('',#56022,#46016,#46017); #37750=AXIS2_PLACEMENT_3D('',#56024,#46019,#46020); #37751=AXIS2_PLACEMENT_3D('',#56028,#46023,#46024); #37752=AXIS2_PLACEMENT_3D('',#56030,#46025,#46026); #37753=AXIS2_PLACEMENT_3D('',#56032,#46028,#46029); #37754=AXIS2_PLACEMENT_3D('',#56036,#46032,#46033); #37755=AXIS2_PLACEMENT_3D('',#56038,#46034,#46035); #37756=AXIS2_PLACEMENT_3D('',#56040,#46037,#46038); #37757=AXIS2_PLACEMENT_3D('',#56044,#46041,#46042); #37758=AXIS2_PLACEMENT_3D('',#56046,#46043,#46044); #37759=AXIS2_PLACEMENT_3D('',#56048,#46046,#46047); #37760=AXIS2_PLACEMENT_3D('',#56052,#46050,#46051); #37761=AXIS2_PLACEMENT_3D('',#56054,#46052,#46053); #37762=AXIS2_PLACEMENT_3D('',#56056,#46055,#46056); #37763=AXIS2_PLACEMENT_3D('',#56060,#46059,#46060); #37764=AXIS2_PLACEMENT_3D('',#56062,#46061,#46062); #37765=AXIS2_PLACEMENT_3D('',#56064,#46064,#46065); #37766=AXIS2_PLACEMENT_3D('',#56068,#46068,#46069); #37767=AXIS2_PLACEMENT_3D('',#56070,#46070,#46071); #37768=AXIS2_PLACEMENT_3D('',#56072,#46073,#46074); #37769=AXIS2_PLACEMENT_3D('',#56076,#46077,#46078); #37770=AXIS2_PLACEMENT_3D('',#56078,#46079,#46080); #37771=AXIS2_PLACEMENT_3D('',#56080,#46082,#46083); #37772=AXIS2_PLACEMENT_3D('',#56084,#46086,#46087); #37773=AXIS2_PLACEMENT_3D('',#56086,#46088,#46089); #37774=AXIS2_PLACEMENT_3D('',#56088,#46091,#46092); #37775=AXIS2_PLACEMENT_3D('',#56092,#46095,#46096); #37776=AXIS2_PLACEMENT_3D('',#56094,#46097,#46098); #37777=AXIS2_PLACEMENT_3D('',#56096,#46100,#46101); #37778=AXIS2_PLACEMENT_3D('',#56100,#46104,#46105); #37779=AXIS2_PLACEMENT_3D('',#56102,#46106,#46107); #37780=AXIS2_PLACEMENT_3D('',#56104,#46109,#46110); #37781=AXIS2_PLACEMENT_3D('',#56108,#46113,#46114); #37782=AXIS2_PLACEMENT_3D('',#56110,#46115,#46116); #37783=AXIS2_PLACEMENT_3D('',#56112,#46118,#46119); #37784=AXIS2_PLACEMENT_3D('',#56116,#46122,#46123); #37785=AXIS2_PLACEMENT_3D('',#56118,#46124,#46125); #37786=AXIS2_PLACEMENT_3D('',#56120,#46127,#46128); #37787=AXIS2_PLACEMENT_3D('',#56124,#46131,#46132); #37788=AXIS2_PLACEMENT_3D('',#56126,#46133,#46134); #37789=AXIS2_PLACEMENT_3D('',#56128,#46136,#46137); #37790=AXIS2_PLACEMENT_3D('',#56132,#46140,#46141); #37791=AXIS2_PLACEMENT_3D('',#56134,#46142,#46143); #37792=AXIS2_PLACEMENT_3D('',#56136,#46145,#46146); #37793=AXIS2_PLACEMENT_3D('',#56140,#46149,#46150); #37794=AXIS2_PLACEMENT_3D('',#56142,#46151,#46152); #37795=AXIS2_PLACEMENT_3D('',#56144,#46154,#46155); #37796=AXIS2_PLACEMENT_3D('',#56148,#46158,#46159); #37797=AXIS2_PLACEMENT_3D('',#56150,#46160,#46161); #37798=AXIS2_PLACEMENT_3D('',#56152,#46163,#46164); #37799=AXIS2_PLACEMENT_3D('',#56156,#46167,#46168); #37800=AXIS2_PLACEMENT_3D('',#56158,#46169,#46170); #37801=AXIS2_PLACEMENT_3D('',#56160,#46172,#46173); #37802=AXIS2_PLACEMENT_3D('',#56166,#46177,#46178); #37803=AXIS2_PLACEMENT_3D('',#56168,#46179,#46180); #37804=AXIS2_PLACEMENT_3D('',#56170,#46182,#46183); #37805=AXIS2_PLACEMENT_3D('',#56174,#46186,#46187); #37806=AXIS2_PLACEMENT_3D('',#56175,#46188,#46189); #37807=AXIS2_PLACEMENT_3D('',#56176,#46190,#46191); #37808=AXIS2_PLACEMENT_3D('',#56184,#46196,#46197); #37809=AXIS2_PLACEMENT_3D('',#56188,#46199,#46200); #37810=AXIS2_PLACEMENT_3D('',#56192,#46202,#46203); #37811=AXIS2_PLACEMENT_3D('',#56196,#46205,#46206); #37812=AXIS2_PLACEMENT_3D('',#56199,#46208,#46209); #37813=AXIS2_PLACEMENT_3D('',#56201,#46210,#46211); #37814=AXIS2_PLACEMENT_3D('',#56203,#46212,#46213); #37815=AXIS2_PLACEMENT_3D('',#56205,#46214,#46215); #37816=AXIS2_PLACEMENT_3D('',#56206,#46216,#46217); #37817=AXIS2_PLACEMENT_3D('',#56210,#46220,#46221); #37818=AXIS2_PLACEMENT_3D('',#56216,#46225,#46226); #37819=AXIS2_PLACEMENT_3D('',#56218,#46227,#46228); #37820=AXIS2_PLACEMENT_3D('',#56221,#46230,#46231); #37821=AXIS2_PLACEMENT_3D('',#56222,#46232,#46233); #37822=AXIS2_PLACEMENT_3D('',#56224,#46234,#46235); #37823=AXIS2_PLACEMENT_3D('',#56227,#46237,#46238); #37824=AXIS2_PLACEMENT_3D('',#56228,#46239,#46240); #37825=AXIS2_PLACEMENT_3D('',#56231,#46242,#46243); #37826=AXIS2_PLACEMENT_3D('',#56232,#46244,#46245); #37827=AXIS2_PLACEMENT_3D('',#56234,#46246,#46247); #37828=AXIS2_PLACEMENT_3D('',#56237,#46249,#46250); #37829=AXIS2_PLACEMENT_3D('',#56238,#46251,#46252); #37830=AXIS2_PLACEMENT_3D('',#56241,#46254,#46255); #37831=AXIS2_PLACEMENT_3D('',#56242,#46256,#46257); #37832=AXIS2_PLACEMENT_3D('',#56244,#46258,#46259); #37833=AXIS2_PLACEMENT_3D('',#56247,#46261,#46262); #37834=AXIS2_PLACEMENT_3D('',#56248,#46263,#46264); #37835=AXIS2_PLACEMENT_3D('',#56251,#46266,#46267); #37836=AXIS2_PLACEMENT_3D('',#56252,#46268,#46269); #37837=AXIS2_PLACEMENT_3D('',#56255,#46271,#46272); #37838=AXIS2_PLACEMENT_3D('',#56256,#46273,#46274); #37839=AXIS2_PLACEMENT_3D('',#56262,#46278,#46279); #37840=AXIS2_PLACEMENT_3D('',#56264,#46280,#46281); #37841=AXIS2_PLACEMENT_3D('',#56266,#46283,#46284); #37842=AXIS2_PLACEMENT_3D('',#56272,#46288,#46289); #37843=AXIS2_PLACEMENT_3D('',#56274,#46290,#46291); #37844=AXIS2_PLACEMENT_3D('',#56276,#46292,#46293); #37845=AXIS2_PLACEMENT_3D('',#56278,#46295,#46296); #37846=AXIS2_PLACEMENT_3D('',#56284,#46300,#46301); #37847=AXIS2_PLACEMENT_3D('',#56286,#46302,#46303); #37848=AXIS2_PLACEMENT_3D('',#56288,#46304,#46305); #37849=AXIS2_PLACEMENT_3D('',#56290,#46307,#46308); #37850=AXIS2_PLACEMENT_3D('',#56296,#46312,#46313); #37851=AXIS2_PLACEMENT_3D('',#56297,#46314,#46315); #37852=AXIS2_PLACEMENT_3D('',#56298,#46316,#46317); #37853=AXIS2_PLACEMENT_3D('',#56299,#46318,#46319); #37854=AXIS2_PLACEMENT_3D('',#56301,#46320,#46321); #37855=AXIS2_PLACEMENT_3D('',#56303,#46323,#46324); #37856=AXIS2_PLACEMENT_3D('',#56307,#46327,#46328); #37857=AXIS2_PLACEMENT_3D('',#56308,#46329,#46330); #37858=AXIS2_PLACEMENT_3D('',#56309,#46331,#46332); #37859=AXIS2_PLACEMENT_3D('',#56310,#46333,#46334); #37860=AXIS2_PLACEMENT_3D('placement',#56311,#46335,#46336); #37861=AXIS2_PLACEMENT_3D('placement',#56312,#46337,#46338); #37862=AXIS2_PLACEMENT_3D('',#56313,#46339,#46340); #37863=AXIS2_PLACEMENT_3D('',#56315,#46341,#46342); #37864=AXIS2_PLACEMENT_3D('',#56318,#46344,#46345); #37865=AXIS2_PLACEMENT_3D('',#56319,#46346,#46347); #37866=AXIS2_PLACEMENT_3D('',#56328,#46352,#46353); #37867=AXIS2_PLACEMENT_3D('',#56334,#46357,#46358); #37868=AXIS2_PLACEMENT_3D('',#56340,#46362,#46363); #37869=AXIS2_PLACEMENT_3D('',#56346,#46367,#46368); #37870=AXIS2_PLACEMENT_3D('',#56352,#46372,#46373); #37871=AXIS2_PLACEMENT_3D('',#56358,#46377,#46378); #37872=AXIS2_PLACEMENT_3D('',#56364,#46382,#46383); #37873=AXIS2_PLACEMENT_3D('',#56370,#46387,#46388); #37874=AXIS2_PLACEMENT_3D('',#56376,#46392,#46393); #37875=AXIS2_PLACEMENT_3D('',#56382,#46397,#46398); #37876=AXIS2_PLACEMENT_3D('',#56388,#46402,#46403); #37877=AXIS2_PLACEMENT_3D('',#56391,#46406,#46407); #37878=AXIS2_PLACEMENT_3D('',#56392,#46408,#46409); #37879=AXIS2_PLACEMENT_3D('',#56393,#46410,#46411); #37880=AXIS2_PLACEMENT_3D('',#56402,#46416,#46417); #37881=AXIS2_PLACEMENT_3D('',#56408,#46421,#46422); #37882=AXIS2_PLACEMENT_3D('',#56414,#46426,#46427); #37883=AXIS2_PLACEMENT_3D('',#56417,#46430,#46431); #37884=AXIS2_PLACEMENT_3D('',#56419,#46432,#46433); #37885=AXIS2_PLACEMENT_3D('',#56422,#46435,#46436); #37886=AXIS2_PLACEMENT_3D('',#56423,#46437,#46438); #37887=AXIS2_PLACEMENT_3D('',#56425,#46439,#46440); #37888=AXIS2_PLACEMENT_3D('',#56428,#46442,#46443); #37889=AXIS2_PLACEMENT_3D('',#56429,#46444,#46445); #37890=AXIS2_PLACEMENT_3D('',#56438,#46450,#46451); #37891=AXIS2_PLACEMENT_3D('',#56444,#46455,#46456); #37892=AXIS2_PLACEMENT_3D('',#56450,#46460,#46461); #37893=AXIS2_PLACEMENT_3D('',#56456,#46465,#46466); #37894=AXIS2_PLACEMENT_3D('',#56462,#46470,#46471); #37895=AXIS2_PLACEMENT_3D('',#56468,#46475,#46476); #37896=AXIS2_PLACEMENT_3D('',#56474,#46480,#46481); #37897=AXIS2_PLACEMENT_3D('',#56480,#46485,#46486); #37898=AXIS2_PLACEMENT_3D('',#56486,#46490,#46491); #37899=AXIS2_PLACEMENT_3D('',#56492,#46495,#46496); #37900=AXIS2_PLACEMENT_3D('',#56498,#46500,#46501); #37901=AXIS2_PLACEMENT_3D('',#56501,#46504,#46505); #37902=AXIS2_PLACEMENT_3D('',#56502,#46506,#46507); #37903=AXIS2_PLACEMENT_3D('',#56503,#46508,#46509); #37904=AXIS2_PLACEMENT_3D('',#56505,#46510,#46511); #37905=AXIS2_PLACEMENT_3D('',#56508,#46513,#46514); #37906=AXIS2_PLACEMENT_3D('',#56509,#46515,#46516); #37907=AXIS2_PLACEMENT_3D('',#56518,#46521,#46522); #37908=AXIS2_PLACEMENT_3D('',#56524,#46526,#46527); #37909=AXIS2_PLACEMENT_3D('',#56530,#46531,#46532); #37910=AXIS2_PLACEMENT_3D('',#56536,#46536,#46537); #37911=AXIS2_PLACEMENT_3D('',#56542,#46541,#46542); #37912=AXIS2_PLACEMENT_3D('',#56548,#46546,#46547); #37913=AXIS2_PLACEMENT_3D('',#56554,#46551,#46552); #37914=AXIS2_PLACEMENT_3D('',#56560,#46556,#46557); #37915=AXIS2_PLACEMENT_3D('',#56566,#46561,#46562); #37916=AXIS2_PLACEMENT_3D('',#56572,#46566,#46567); #37917=AXIS2_PLACEMENT_3D('',#56578,#46571,#46572); #37918=AXIS2_PLACEMENT_3D('',#56581,#46575,#46576); #37919=AXIS2_PLACEMENT_3D('',#56582,#46577,#46578); #37920=AXIS2_PLACEMENT_3D('',#56583,#46579,#46580); #37921=AXIS2_PLACEMENT_3D('',#56592,#46585,#46586); #37922=AXIS2_PLACEMENT_3D('',#56598,#46590,#46591); #37923=AXIS2_PLACEMENT_3D('',#56604,#46595,#46596); #37924=AXIS2_PLACEMENT_3D('',#56607,#46599,#46600); #37925=AXIS2_PLACEMENT_3D('',#56609,#46601,#46602); #37926=AXIS2_PLACEMENT_3D('',#56612,#46604,#46605); #37927=AXIS2_PLACEMENT_3D('',#56613,#46606,#46607); #37928=AXIS2_PLACEMENT_3D('',#56615,#46608,#46609); #37929=AXIS2_PLACEMENT_3D('',#56618,#46611,#46612); #37930=AXIS2_PLACEMENT_3D('',#56619,#46613,#46614); #37931=AXIS2_PLACEMENT_3D('',#56628,#46619,#46620); #37932=AXIS2_PLACEMENT_3D('',#56634,#46624,#46625); #37933=AXIS2_PLACEMENT_3D('',#56640,#46629,#46630); #37934=AXIS2_PLACEMENT_3D('',#56646,#46634,#46635); #37935=AXIS2_PLACEMENT_3D('',#56652,#46639,#46640); #37936=AXIS2_PLACEMENT_3D('',#56658,#46644,#46645); #37937=AXIS2_PLACEMENT_3D('',#56664,#46649,#46650); #37938=AXIS2_PLACEMENT_3D('',#56670,#46654,#46655); #37939=AXIS2_PLACEMENT_3D('',#56676,#46659,#46660); #37940=AXIS2_PLACEMENT_3D('',#56682,#46664,#46665); #37941=AXIS2_PLACEMENT_3D('',#56688,#46669,#46670); #37942=AXIS2_PLACEMENT_3D('',#56691,#46673,#46674); #37943=AXIS2_PLACEMENT_3D('',#56692,#46675,#46676); #37944=AXIS2_PLACEMENT_3D('',#56693,#46677,#46678); #37945=AXIS2_PLACEMENT_3D('',#56695,#46679,#46680); #37946=AXIS2_PLACEMENT_3D('',#56698,#46682,#46683); #37947=AXIS2_PLACEMENT_3D('',#56699,#46684,#46685); #37948=AXIS2_PLACEMENT_3D('',#56708,#46690,#46691); #37949=AXIS2_PLACEMENT_3D('',#56714,#46695,#46696); #37950=AXIS2_PLACEMENT_3D('',#56720,#46700,#46701); #37951=AXIS2_PLACEMENT_3D('',#56723,#46704,#46705); #37952=AXIS2_PLACEMENT_3D('',#56725,#46706,#46707); #37953=AXIS2_PLACEMENT_3D('',#56728,#46709,#46710); #37954=AXIS2_PLACEMENT_3D('',#56729,#46711,#46712); #37955=AXIS2_PLACEMENT_3D('',#56738,#46717,#46718); #37956=AXIS2_PLACEMENT_3D('',#56744,#46722,#46723); #37957=AXIS2_PLACEMENT_3D('',#56750,#46727,#46728); #37958=AXIS2_PLACEMENT_3D('',#56756,#46732,#46733); #37959=AXIS2_PLACEMENT_3D('',#56762,#46737,#46738); #37960=AXIS2_PLACEMENT_3D('',#56768,#46742,#46743); #37961=AXIS2_PLACEMENT_3D('',#56774,#46747,#46748); #37962=AXIS2_PLACEMENT_3D('',#56780,#46752,#46753); #37963=AXIS2_PLACEMENT_3D('',#56786,#46757,#46758); #37964=AXIS2_PLACEMENT_3D('',#56792,#46762,#46763); #37965=AXIS2_PLACEMENT_3D('',#56798,#46767,#46768); #37966=AXIS2_PLACEMENT_3D('',#56801,#46771,#46772); #37967=AXIS2_PLACEMENT_3D('',#56802,#46773,#46774); #37968=AXIS2_PLACEMENT_3D('',#56803,#46775,#46776); #37969=AXIS2_PLACEMENT_3D('',#56805,#46777,#46778); #37970=AXIS2_PLACEMENT_3D('',#56808,#46780,#46781); #37971=AXIS2_PLACEMENT_3D('',#56809,#46782,#46783); #37972=AXIS2_PLACEMENT_3D('',#56811,#46784,#46785); #37973=AXIS2_PLACEMENT_3D('',#56814,#46787,#46788); #37974=AXIS2_PLACEMENT_3D('',#56815,#46789,#46790); #37975=AXIS2_PLACEMENT_3D('',#56817,#46791,#46792); #37976=AXIS2_PLACEMENT_3D('',#56820,#46794,#46795); #37977=AXIS2_PLACEMENT_3D('',#56821,#46796,#46797); #37978=AXIS2_PLACEMENT_3D('',#56823,#46798,#46799); #37979=AXIS2_PLACEMENT_3D('',#56826,#46801,#46802); #37980=AXIS2_PLACEMENT_3D('',#56827,#46803,#46804); #37981=AXIS2_PLACEMENT_3D('',#56829,#46805,#46806); #37982=AXIS2_PLACEMENT_3D('',#56832,#46808,#46809); #37983=AXIS2_PLACEMENT_3D('',#56833,#46810,#46811); #37984=AXIS2_PLACEMENT_3D('',#56835,#46812,#46813); #37985=AXIS2_PLACEMENT_3D('',#56838,#46815,#46816); #37986=AXIS2_PLACEMENT_3D('',#56839,#46817,#46818); #37987=AXIS2_PLACEMENT_3D('',#56841,#46819,#46820); #37988=AXIS2_PLACEMENT_3D('',#56844,#46822,#46823); #37989=AXIS2_PLACEMENT_3D('',#56845,#46824,#46825); #37990=AXIS2_PLACEMENT_3D('',#56847,#46826,#46827); #37991=AXIS2_PLACEMENT_3D('',#56850,#46829,#46830); #37992=AXIS2_PLACEMENT_3D('',#56851,#46831,#46832); #37993=AXIS2_PLACEMENT_3D('',#56853,#46833,#46834); #37994=AXIS2_PLACEMENT_3D('',#56856,#46836,#46837); #37995=AXIS2_PLACEMENT_3D('',#56857,#46838,#46839); #37996=AXIS2_PLACEMENT_3D('',#56859,#46840,#46841); #37997=AXIS2_PLACEMENT_3D('',#56862,#46843,#46844); #37998=AXIS2_PLACEMENT_3D('',#56863,#46845,#46846); #37999=AXIS2_PLACEMENT_3D('',#56865,#46847,#46848); #38000=AXIS2_PLACEMENT_3D('',#56868,#46850,#46851); #38001=AXIS2_PLACEMENT_3D('',#56869,#46852,#46853); #38002=AXIS2_PLACEMENT_3D('',#56871,#46854,#46855); #38003=AXIS2_PLACEMENT_3D('',#56874,#46857,#46858); #38004=AXIS2_PLACEMENT_3D('',#56875,#46859,#46860); #38005=AXIS2_PLACEMENT_3D('',#56877,#46861,#46862); #38006=AXIS2_PLACEMENT_3D('',#56880,#46864,#46865); #38007=AXIS2_PLACEMENT_3D('',#56881,#46866,#46867); #38008=AXIS2_PLACEMENT_3D('',#56890,#46872,#46873); #38009=AXIS2_PLACEMENT_3D('',#56896,#46877,#46878); #38010=AXIS2_PLACEMENT_3D('',#56902,#46882,#46883); #38011=AXIS2_PLACEMENT_3D('',#56908,#46887,#46888); #38012=AXIS2_PLACEMENT_3D('',#56914,#46892,#46893); #38013=AXIS2_PLACEMENT_3D('',#56920,#46897,#46898); #38014=AXIS2_PLACEMENT_3D('',#56926,#46902,#46903); #38015=AXIS2_PLACEMENT_3D('',#56932,#46907,#46908); #38016=AXIS2_PLACEMENT_3D('',#56938,#46912,#46913); #38017=AXIS2_PLACEMENT_3D('',#56944,#46917,#46918); #38018=AXIS2_PLACEMENT_3D('',#56950,#46922,#46923); #38019=AXIS2_PLACEMENT_3D('',#56956,#46927,#46928); #38020=AXIS2_PLACEMENT_3D('',#56962,#46932,#46933); #38021=AXIS2_PLACEMENT_3D('',#56968,#46937,#46938); #38022=AXIS2_PLACEMENT_3D('',#56974,#46942,#46943); #38023=AXIS2_PLACEMENT_3D('',#56977,#46946,#46947); #38024=AXIS2_PLACEMENT_3D('',#56978,#46948,#46949); #38025=AXIS2_PLACEMENT_3D('',#56979,#46950,#46951); #38026=AXIS2_PLACEMENT_3D('',#56981,#46952,#46953); #38027=AXIS2_PLACEMENT_3D('',#56984,#46955,#46956); #38028=AXIS2_PLACEMENT_3D('',#56985,#46957,#46958); #38029=AXIS2_PLACEMENT_3D('',#56987,#46959,#46960); #38030=AXIS2_PLACEMENT_3D('',#56990,#46962,#46963); #38031=AXIS2_PLACEMENT_3D('',#56991,#46964,#46965); #38032=AXIS2_PLACEMENT_3D('',#56993,#46966,#46967); #38033=AXIS2_PLACEMENT_3D('',#56996,#46969,#46970); #38034=AXIS2_PLACEMENT_3D('',#56997,#46971,#46972); #38035=AXIS2_PLACEMENT_3D('',#56999,#46973,#46974); #38036=AXIS2_PLACEMENT_3D('',#57002,#46976,#46977); #38037=AXIS2_PLACEMENT_3D('',#57003,#46978,#46979); #38038=AXIS2_PLACEMENT_3D('',#57005,#46980,#46981); #38039=AXIS2_PLACEMENT_3D('',#57008,#46983,#46984); #38040=AXIS2_PLACEMENT_3D('',#57009,#46985,#46986); #38041=AXIS2_PLACEMENT_3D('',#57011,#46987,#46988); #38042=AXIS2_PLACEMENT_3D('',#57014,#46990,#46991); #38043=AXIS2_PLACEMENT_3D('',#57015,#46992,#46993); #38044=AXIS2_PLACEMENT_3D('',#57017,#46994,#46995); #38045=AXIS2_PLACEMENT_3D('',#57020,#46997,#46998); #38046=AXIS2_PLACEMENT_3D('',#57021,#46999,#47000); #38047=AXIS2_PLACEMENT_3D('',#57023,#47001,#47002); #38048=AXIS2_PLACEMENT_3D('',#57026,#47004,#47005); #38049=AXIS2_PLACEMENT_3D('',#57027,#47006,#47007); #38050=AXIS2_PLACEMENT_3D('',#57029,#47008,#47009); #38051=AXIS2_PLACEMENT_3D('',#57032,#47011,#47012); #38052=AXIS2_PLACEMENT_3D('',#57033,#47013,#47014); #38053=AXIS2_PLACEMENT_3D('',#57035,#47015,#47016); #38054=AXIS2_PLACEMENT_3D('',#57038,#47018,#47019); #38055=AXIS2_PLACEMENT_3D('',#57039,#47020,#47021); #38056=AXIS2_PLACEMENT_3D('',#57041,#47022,#47023); #38057=AXIS2_PLACEMENT_3D('',#57044,#47025,#47026); #38058=AXIS2_PLACEMENT_3D('',#57045,#47027,#47028); #38059=AXIS2_PLACEMENT_3D('',#57047,#47029,#47030); #38060=AXIS2_PLACEMENT_3D('',#57050,#47032,#47033); #38061=AXIS2_PLACEMENT_3D('',#57051,#47034,#47035); #38062=AXIS2_PLACEMENT_3D('',#57053,#47036,#47037); #38063=AXIS2_PLACEMENT_3D('',#57056,#47039,#47040); #38064=AXIS2_PLACEMENT_3D('',#57057,#47041,#47042); #38065=AXIS2_PLACEMENT_3D('',#57066,#47047,#47048); #38066=AXIS2_PLACEMENT_3D('',#57072,#47052,#47053); #38067=AXIS2_PLACEMENT_3D('',#57078,#47057,#47058); #38068=AXIS2_PLACEMENT_3D('',#57084,#47062,#47063); #38069=AXIS2_PLACEMENT_3D('',#57090,#47067,#47068); #38070=AXIS2_PLACEMENT_3D('',#57096,#47072,#47073); #38071=AXIS2_PLACEMENT_3D('',#57102,#47077,#47078); #38072=AXIS2_PLACEMENT_3D('',#57108,#47082,#47083); #38073=AXIS2_PLACEMENT_3D('',#57114,#47087,#47088); #38074=AXIS2_PLACEMENT_3D('',#57120,#47092,#47093); #38075=AXIS2_PLACEMENT_3D('',#57126,#47097,#47098); #38076=AXIS2_PLACEMENT_3D('',#57132,#47102,#47103); #38077=AXIS2_PLACEMENT_3D('',#57138,#47107,#47108); #38078=AXIS2_PLACEMENT_3D('',#57144,#47112,#47113); #38079=AXIS2_PLACEMENT_3D('',#57150,#47117,#47118); #38080=AXIS2_PLACEMENT_3D('',#57153,#47121,#47122); #38081=AXIS2_PLACEMENT_3D('',#57154,#47123,#47124); #38082=AXIS2_PLACEMENT_3D('',#57155,#47125,#47126); #38083=AXIS2_PLACEMENT_3D('',#57157,#47127,#47128); #38084=AXIS2_PLACEMENT_3D('',#57160,#47130,#47131); #38085=AXIS2_PLACEMENT_3D('',#57161,#47132,#47133); #38086=AXIS2_PLACEMENT_3D('',#57163,#47134,#47135); #38087=AXIS2_PLACEMENT_3D('',#57166,#47137,#47138); #38088=AXIS2_PLACEMENT_3D('',#57167,#47139,#47140); #38089=AXIS2_PLACEMENT_3D('',#57169,#47141,#47142); #38090=AXIS2_PLACEMENT_3D('',#57172,#47144,#47145); #38091=AXIS2_PLACEMENT_3D('',#57173,#47146,#47147); #38092=AXIS2_PLACEMENT_3D('',#57175,#47148,#47149); #38093=AXIS2_PLACEMENT_3D('',#57178,#47151,#47152); #38094=AXIS2_PLACEMENT_3D('',#57179,#47153,#47154); #38095=AXIS2_PLACEMENT_3D('',#57181,#47155,#47156); #38096=AXIS2_PLACEMENT_3D('',#57184,#47158,#47159); #38097=AXIS2_PLACEMENT_3D('',#57185,#47160,#47161); #38098=AXIS2_PLACEMENT_3D('',#57187,#47162,#47163); #38099=AXIS2_PLACEMENT_3D('',#57190,#47165,#47166); #38100=AXIS2_PLACEMENT_3D('',#57191,#47167,#47168); #38101=AXIS2_PLACEMENT_3D('',#57193,#47169,#47170); #38102=AXIS2_PLACEMENT_3D('',#57196,#47172,#47173); #38103=AXIS2_PLACEMENT_3D('',#57197,#47174,#47175); #38104=AXIS2_PLACEMENT_3D('',#57199,#47176,#47177); #38105=AXIS2_PLACEMENT_3D('',#57202,#47179,#47180); #38106=AXIS2_PLACEMENT_3D('',#57203,#47181,#47182); #38107=AXIS2_PLACEMENT_3D('',#57205,#47183,#47184); #38108=AXIS2_PLACEMENT_3D('',#57208,#47186,#47187); #38109=AXIS2_PLACEMENT_3D('',#57209,#47188,#47189); #38110=AXIS2_PLACEMENT_3D('',#57211,#47190,#47191); #38111=AXIS2_PLACEMENT_3D('',#57214,#47193,#47194); #38112=AXIS2_PLACEMENT_3D('',#57215,#47195,#47196); #38113=AXIS2_PLACEMENT_3D('',#57217,#47197,#47198); #38114=AXIS2_PLACEMENT_3D('',#57220,#47200,#47201); #38115=AXIS2_PLACEMENT_3D('',#57221,#47202,#47203); #38116=AXIS2_PLACEMENT_3D('',#57230,#47208,#47209); #38117=AXIS2_PLACEMENT_3D('',#57236,#47213,#47214); #38118=AXIS2_PLACEMENT_3D('',#57238,#47215,#47216); #38119=AXIS2_PLACEMENT_3D('',#57240,#47217,#47218); #38120=AXIS2_PLACEMENT_3D('',#57242,#47220,#47221); #38121=AXIS2_PLACEMENT_3D('',#57248,#47225,#47226); #38122=AXIS2_PLACEMENT_3D('',#57250,#47227,#47228); #38123=AXIS2_PLACEMENT_3D('',#57252,#47229,#47230); #38124=AXIS2_PLACEMENT_3D('',#57254,#47232,#47233); #38125=AXIS2_PLACEMENT_3D('',#57257,#47236,#47237); #38126=AXIS2_PLACEMENT_3D('',#57258,#47238,#47239); #38127=AXIS2_PLACEMENT_3D('',#57259,#47240,#47241); #38128=AXIS2_PLACEMENT_3D('',#57268,#47246,#47247); #38129=AXIS2_PLACEMENT_3D('',#57274,#47251,#47252); #38130=AXIS2_PLACEMENT_3D('',#57280,#47256,#47257); #38131=AXIS2_PLACEMENT_3D('',#57283,#47260,#47261); #38132=AXIS2_PLACEMENT_3D('',#57284,#47262,#47263); #38133=AXIS2_PLACEMENT_3D('',#57285,#47264,#47265); #38134=AXIS2_PLACEMENT_3D('',#57294,#47270,#47271); #38135=AXIS2_PLACEMENT_3D('',#57300,#47275,#47276); #38136=AXIS2_PLACEMENT_3D('',#57306,#47280,#47281); #38137=AXIS2_PLACEMENT_3D('',#57309,#47284,#47285); #38138=AXIS2_PLACEMENT_3D('',#57311,#47286,#47287); #38139=AXIS2_PLACEMENT_3D('',#57314,#47289,#47290); #38140=AXIS2_PLACEMENT_3D('',#57315,#47291,#47292); #38141=AXIS2_PLACEMENT_3D('',#57324,#47297,#47298); #38142=AXIS2_PLACEMENT_3D('',#57330,#47302,#47303); #38143=AXIS2_PLACEMENT_3D('',#57336,#47307,#47308); #38144=AXIS2_PLACEMENT_3D('',#57339,#47311,#47312); #38145=AXIS2_PLACEMENT_3D('',#57348,#47317,#47318); #38146=AXIS2_PLACEMENT_3D('',#57354,#47322,#47323); #38147=AXIS2_PLACEMENT_3D('',#57360,#47327,#47328); #38148=AXIS2_PLACEMENT_3D('',#57363,#47331,#47332); #38149=AXIS2_PLACEMENT_3D('',#57372,#47337,#47338); #38150=AXIS2_PLACEMENT_3D('',#57378,#47342,#47343); #38151=AXIS2_PLACEMENT_3D('',#57384,#47347,#47348); #38152=AXIS2_PLACEMENT_3D('',#57390,#47352,#47353); #38153=AXIS2_PLACEMENT_3D('',#57396,#47357,#47358); #38154=AXIS2_PLACEMENT_3D('',#57402,#47362,#47363); #38155=AXIS2_PLACEMENT_3D('',#57408,#47367,#47368); #38156=AXIS2_PLACEMENT_3D('',#57414,#47372,#47373); #38157=AXIS2_PLACEMENT_3D('',#57420,#47377,#47378); #38158=AXIS2_PLACEMENT_3D('',#57426,#47382,#47383); #38159=AXIS2_PLACEMENT_3D('',#57432,#47387,#47388); #38160=AXIS2_PLACEMENT_3D('',#57438,#47392,#47393); #38161=AXIS2_PLACEMENT_3D('',#57444,#47397,#47398); #38162=AXIS2_PLACEMENT_3D('',#57450,#47402,#47403); #38163=AXIS2_PLACEMENT_3D('',#57456,#47407,#47408); #38164=AXIS2_PLACEMENT_3D('',#57462,#47412,#47413); #38165=AXIS2_PLACEMENT_3D('',#57468,#47417,#47418); #38166=AXIS2_PLACEMENT_3D('',#57474,#47422,#47423); #38167=AXIS2_PLACEMENT_3D('',#57480,#47427,#47428); #38168=AXIS2_PLACEMENT_3D('',#57486,#47432,#47433); #38169=AXIS2_PLACEMENT_3D('',#57492,#47437,#47438); #38170=AXIS2_PLACEMENT_3D('',#57498,#47442,#47443); #38171=AXIS2_PLACEMENT_3D('',#57504,#47447,#47448); #38172=AXIS2_PLACEMENT_3D('',#57510,#47452,#47453); #38173=AXIS2_PLACEMENT_3D('',#57516,#47457,#47458); #38174=AXIS2_PLACEMENT_3D('',#57522,#47462,#47463); #38175=AXIS2_PLACEMENT_3D('',#57528,#47467,#47468); #38176=AXIS2_PLACEMENT_3D('',#57534,#47472,#47473); #38177=AXIS2_PLACEMENT_3D('',#57540,#47477,#47478); #38178=AXIS2_PLACEMENT_3D('',#57546,#47482,#47483); #38179=AXIS2_PLACEMENT_3D('',#57552,#47487,#47488); #38180=AXIS2_PLACEMENT_3D('',#57558,#47492,#47493); #38181=AXIS2_PLACEMENT_3D('',#57564,#47497,#47498); #38182=AXIS2_PLACEMENT_3D('',#57570,#47502,#47503); #38183=AXIS2_PLACEMENT_3D('',#57576,#47507,#47508); #38184=AXIS2_PLACEMENT_3D('',#57579,#47511,#47512); #38185=AXIS2_PLACEMENT_3D('',#57580,#47513,#47514); #38186=AXIS2_PLACEMENT_3D('',#57581,#47515,#47516); #38187=AXIS2_PLACEMENT_3D('',#57590,#47521,#47522); #38188=AXIS2_PLACEMENT_3D('',#57596,#47526,#47527); #38189=AXIS2_PLACEMENT_3D('',#57602,#47531,#47532); #38190=AXIS2_PLACEMENT_3D('',#57605,#47535,#47536); #38191=AXIS2_PLACEMENT_3D('',#57614,#47541,#47542); #38192=AXIS2_PLACEMENT_3D('',#57620,#47546,#47547); #38193=AXIS2_PLACEMENT_3D('',#57626,#47551,#47552); #38194=AXIS2_PLACEMENT_3D('',#57629,#47555,#47556); #38195=AXIS2_PLACEMENT_3D('',#57638,#47561,#47562); #38196=AXIS2_PLACEMENT_3D('',#57640,#47563,#47564); #38197=AXIS2_PLACEMENT_3D('',#57642,#47565,#47566); #38198=AXIS2_PLACEMENT_3D('',#57644,#47568,#47569); #38199=AXIS2_PLACEMENT_3D('',#57650,#47573,#47574); #38200=AXIS2_PLACEMENT_3D('',#57652,#47575,#47576); #38201=AXIS2_PLACEMENT_3D('',#57654,#47577,#47578); #38202=AXIS2_PLACEMENT_3D('',#57656,#47580,#47581); #38203=AXIS2_PLACEMENT_3D('',#57662,#47585,#47586); #38204=AXIS2_PLACEMENT_3D('',#57664,#47587,#47588); #38205=AXIS2_PLACEMENT_3D('',#57666,#47589,#47590); #38206=AXIS2_PLACEMENT_3D('',#57668,#47592,#47593); #38207=AXIS2_PLACEMENT_3D('',#57674,#47597,#47598); #38208=AXIS2_PLACEMENT_3D('',#57680,#47602,#47603); #38209=AXIS2_PLACEMENT_3D('',#57686,#47607,#47608); #38210=AXIS2_PLACEMENT_3D('',#57692,#47612,#47613); #38211=AXIS2_PLACEMENT_3D('',#57694,#47614,#47615); #38212=AXIS2_PLACEMENT_3D('',#57696,#47616,#47617); #38213=AXIS2_PLACEMENT_3D('',#57698,#47619,#47620); #38214=AXIS2_PLACEMENT_3D('',#57704,#47624,#47625); #38215=AXIS2_PLACEMENT_3D('',#57706,#47626,#47627); #38216=AXIS2_PLACEMENT_3D('',#57708,#47628,#47629); #38217=AXIS2_PLACEMENT_3D('',#57710,#47631,#47632); #38218=AXIS2_PLACEMENT_3D('',#57716,#47636,#47637); #38219=AXIS2_PLACEMENT_3D('',#57722,#47641,#47642); #38220=AXIS2_PLACEMENT_3D('',#57728,#47646,#47647); #38221=AXIS2_PLACEMENT_3D('',#57734,#47651,#47652); #38222=AXIS2_PLACEMENT_3D('',#57735,#47653,#47654); #38223=AXIS2_PLACEMENT_3D('',#57736,#47655,#47656); #38224=AXIS2_PLACEMENT_3D('',#57737,#47657,#47658); #38225=AXIS2_PLACEMENT_3D('',#57738,#47659,#47660); #38226=AXIS2_PLACEMENT_3D('',#57739,#47661,#47662); #38227=AXIS2_PLACEMENT_3D('',#57741,#47663,#47664); #38228=AXIS2_PLACEMENT_3D('',#57744,#47666,#47667); #38229=AXIS2_PLACEMENT_3D('',#57745,#47668,#47669); #38230=AXIS2_PLACEMENT_3D('',#57754,#47674,#47675); #38231=AXIS2_PLACEMENT_3D('',#57760,#47679,#47680); #38232=AXIS2_PLACEMENT_3D('',#57766,#47684,#47685); #38233=AXIS2_PLACEMENT_3D('',#57772,#47689,#47690); #38234=AXIS2_PLACEMENT_3D('',#57778,#47694,#47695); #38235=AXIS2_PLACEMENT_3D('',#57784,#47699,#47700); #38236=AXIS2_PLACEMENT_3D('',#57790,#47704,#47705); #38237=AXIS2_PLACEMENT_3D('',#57796,#47709,#47710); #38238=AXIS2_PLACEMENT_3D('',#57802,#47714,#47715); #38239=AXIS2_PLACEMENT_3D('',#57808,#47719,#47720); #38240=AXIS2_PLACEMENT_3D('',#57814,#47724,#47725); #38241=AXIS2_PLACEMENT_3D('',#57817,#47728,#47729); #38242=AXIS2_PLACEMENT_3D('',#57818,#47730,#47731); #38243=AXIS2_PLACEMENT_3D('',#57819,#47732,#47733); #38244=AXIS2_PLACEMENT_3D('',#57828,#47738,#47739); #38245=AXIS2_PLACEMENT_3D('',#57834,#47743,#47744); #38246=AXIS2_PLACEMENT_3D('',#57840,#47748,#47749); #38247=AXIS2_PLACEMENT_3D('',#57843,#47752,#47753); #38248=AXIS2_PLACEMENT_3D('',#57852,#47758,#47759); #38249=AXIS2_PLACEMENT_3D('',#57858,#47763,#47764); #38250=AXIS2_PLACEMENT_3D('',#57864,#47768,#47769); #38251=AXIS2_PLACEMENT_3D('',#57867,#47772,#47773); #38252=AXIS2_PLACEMENT_3D('',#57876,#47778,#47779); #38253=AXIS2_PLACEMENT_3D('',#57878,#47780,#47781); #38254=AXIS2_PLACEMENT_3D('',#57880,#47782,#47783); #38255=AXIS2_PLACEMENT_3D('',#57882,#47785,#47786); #38256=AXIS2_PLACEMENT_3D('',#57888,#47790,#47791); #38257=AXIS2_PLACEMENT_3D('',#57890,#47792,#47793); #38258=AXIS2_PLACEMENT_3D('',#57892,#47794,#47795); #38259=AXIS2_PLACEMENT_3D('',#57894,#47797,#47798); #38260=AXIS2_PLACEMENT_3D('',#57900,#47802,#47803); #38261=AXIS2_PLACEMENT_3D('',#57902,#47804,#47805); #38262=AXIS2_PLACEMENT_3D('',#57904,#47806,#47807); #38263=AXIS2_PLACEMENT_3D('',#57906,#47809,#47810); #38264=AXIS2_PLACEMENT_3D('',#57912,#47814,#47815); #38265=AXIS2_PLACEMENT_3D('',#57918,#47819,#47820); #38266=AXIS2_PLACEMENT_3D('',#57924,#47824,#47825); #38267=AXIS2_PLACEMENT_3D('',#57930,#47829,#47830); #38268=AXIS2_PLACEMENT_3D('',#57932,#47831,#47832); #38269=AXIS2_PLACEMENT_3D('',#57934,#47833,#47834); #38270=AXIS2_PLACEMENT_3D('',#57936,#47836,#47837); #38271=AXIS2_PLACEMENT_3D('',#57942,#47841,#47842); #38272=AXIS2_PLACEMENT_3D('',#57944,#47843,#47844); #38273=AXIS2_PLACEMENT_3D('',#57946,#47845,#47846); #38274=AXIS2_PLACEMENT_3D('',#57948,#47848,#47849); #38275=AXIS2_PLACEMENT_3D('',#57954,#47853,#47854); #38276=AXIS2_PLACEMENT_3D('',#57960,#47858,#47859); #38277=AXIS2_PLACEMENT_3D('',#57966,#47863,#47864); #38278=AXIS2_PLACEMENT_3D('',#57972,#47868,#47869); #38279=AXIS2_PLACEMENT_3D('',#57973,#47870,#47871); #38280=AXIS2_PLACEMENT_3D('',#57974,#47872,#47873); #38281=AXIS2_PLACEMENT_3D('',#57975,#47874,#47875); #38282=AXIS2_PLACEMENT_3D('',#57976,#47876,#47877); #38283=AXIS2_PLACEMENT_3D('',#57977,#47878,#47879); #38284=AXIS2_PLACEMENT_3D('',#57979,#47880,#47881); #38285=AXIS2_PLACEMENT_3D('',#57982,#47883,#47884); #38286=AXIS2_PLACEMENT_3D('',#57983,#47885,#47886); #38287=AXIS2_PLACEMENT_3D('',#57992,#47891,#47892); #38288=AXIS2_PLACEMENT_3D('',#57998,#47896,#47897); #38289=AXIS2_PLACEMENT_3D('',#58004,#47901,#47902); #38290=AXIS2_PLACEMENT_3D('',#58010,#47906,#47907); #38291=AXIS2_PLACEMENT_3D('',#58016,#47911,#47912); #38292=AXIS2_PLACEMENT_3D('',#58022,#47916,#47917); #38293=AXIS2_PLACEMENT_3D('',#58028,#47921,#47922); #38294=AXIS2_PLACEMENT_3D('',#58034,#47926,#47927); #38295=AXIS2_PLACEMENT_3D('',#58040,#47931,#47932); #38296=AXIS2_PLACEMENT_3D('',#58046,#47936,#47937); #38297=AXIS2_PLACEMENT_3D('',#58052,#47941,#47942); #38298=AXIS2_PLACEMENT_3D('',#58055,#47945,#47946); #38299=AXIS2_PLACEMENT_3D('',#58056,#47947,#47948); #38300=AXIS2_PLACEMENT_3D('',#58057,#47949,#47950); #38301=AXIS2_PLACEMENT_3D('',#58058,#47951,#47952); #38302=AXIS2_PLACEMENT_3D('',#58059,#47953,#47954); #38303=AXIS2_PLACEMENT_3D('',#58060,#47955,#47956); #38304=AXIS2_PLACEMENT_3D('',#58061,#47957,#47958); #38305=AXIS2_PLACEMENT_3D('',#58062,#47959,#47960); #38306=AXIS2_PLACEMENT_3D('placement',#58063,#47961,#47962); #38307=AXIS2_PLACEMENT_3D('',#58064,#47963,#47964); #38308=AXIS2_PLACEMENT_3D('',#58065,#47965,#47966); #38309=AXIS2_PLACEMENT_3D('',#58066,#47967,#47968); #38310=DIRECTION('axis',(0.,0.,1.)); #38311=DIRECTION('refdir',(1.,0.,0.)); #38312=DIRECTION('axis',(0.,0.,1.)); #38313=DIRECTION('refdir',(1.,0.,0.)); #38314=DIRECTION('axis',(0.,0.,1.)); #38315=DIRECTION('refdir',(1.,0.,0.)); #38316=DIRECTION('axis',(0.,0.,1.)); #38317=DIRECTION('refdir',(1.,0.,0.)); #38318=DIRECTION('center_axis',(1.,6.99353086378051E-14,0.)); #38319=DIRECTION('ref_axis',(6.99353086378051E-14,-1.,0.)); #38320=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38321=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38322=DIRECTION('',(-1.,-6.99353086378051E-14,0.)); #38323=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #38324=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38325=DIRECTION('center_axis',(-6.99353086378051E-14,1.,4.57052660671069E-46)); #38326=DIRECTION('ref_axis',(-4.7434905086978E-31,-3.36307999352991E-44, 1.)); #38327=DIRECTION('',(4.7434905086978E-31,3.36307999352991E-44,-1.)); #38328=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38329=DIRECTION('',(-4.7434905086978E-31,-3.36307999352991E-44,1.)); #38330=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38331=DIRECTION('center_axis',(0.,0.,1.)); #38332=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38333=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38334=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38335=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38336=DIRECTION('center_axis',(-6.99353086378051E-14,1.,3.24338654088874E-45)); #38337=DIRECTION('ref_axis',(-3.36612705637791E-30,-2.38654521142744E-43, 1.)); #38338=DIRECTION('',(-3.36612705637791E-30,-2.38654521142744E-43,1.)); #38339=DIRECTION('',(-3.36612705637791E-30,-2.38654521142744E-43,1.)); #38340=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38341=DIRECTION('center_axis',(0.,0.,-1.)); #38342=DIRECTION('ref_axis',(-7.08988452145791E-14,1.,0.)); #38343=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #38344=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #38345=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38346=DIRECTION('center_axis',(6.99353086378051E-14,-1.,0.)); #38347=DIRECTION('ref_axis',(0.,0.,-1.)); #38348=DIRECTION('',(0.,0.,-1.)); #38349=DIRECTION('',(0.,0.,-1.)); #38350=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38351=DIRECTION('center_axis',(0.,0.,1.)); #38352=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38353=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38354=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38355=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38356=DIRECTION('center_axis',(6.99353086378051E-14,-1.,4.44033705636859E-15)); #38357=DIRECTION('ref_axis',(3.14773034479267E-28,-4.44033705636859E-15, -1.)); #38358=DIRECTION('',(3.14773034479267E-28,-4.44033705636859E-15,-1.)); #38359=DIRECTION('',(3.14773034479267E-28,-4.44033705636859E-15,-1.)); #38360=DIRECTION('',(1.,6.99353086378051E-14,0.)); #38361=DIRECTION('center_axis',(1.,6.99353086378051E-14,0.)); #38362=DIRECTION('ref_axis',(6.99353086378051E-14,-1.,0.)); #38363=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38364=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38365=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #38366=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38367=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38368=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38369=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38370=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38371=DIRECTION('center_axis',(1.,7.18623817913532E-14,0.)); #38372=DIRECTION('ref_axis',(7.18623817913532E-14,-1.,0.)); #38373=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38374=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38375=DIRECTION('',(1.,7.18623817913532E-14,0.)); #38376=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #38377=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38378=DIRECTION('center_axis',(7.18623817913532E-14,-1.,-4.57052660671069E-46)); #38379=DIRECTION('ref_axis',(4.7434905086978E-31,3.36307999352991E-44,1.)); #38380=DIRECTION('',(-4.7434905086978E-31,-3.36307999352991E-44,-1.)); #38381=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38382=DIRECTION('',(4.7434905086978E-31,3.36307999352991E-44,1.)); #38383=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38384=DIRECTION('center_axis',(0.,0.,-1.)); #38385=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38386=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38387=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38388=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38389=DIRECTION('center_axis',(7.18623817913532E-14,-1.,-3.24338654088874E-45)); #38390=DIRECTION('ref_axis',(3.36612705637791E-30,2.38654521142744E-43, 1.)); #38391=DIRECTION('',(3.36612705637791E-30,2.38654521142744E-43,1.)); #38392=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38393=DIRECTION('',(3.36612705637791E-30,2.38654521142744E-43,1.)); #38394=DIRECTION('center_axis',(0.,0.,1.)); #38395=DIRECTION('ref_axis',(-7.08988452145791E-14,1.,0.)); #38396=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #38397=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38398=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #38399=DIRECTION('center_axis',(-7.18623817913532E-14,1.,0.)); #38400=DIRECTION('ref_axis',(0.,0.,-1.)); #38401=DIRECTION('',(0.,0.,-1.)); #38402=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38403=DIRECTION('',(0.,0.,-1.)); #38404=DIRECTION('center_axis',(0.,0.,-1.)); #38405=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38406=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38407=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38408=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #38409=DIRECTION('center_axis',(-7.18623817913532E-14,1.,-4.44033705636859E-15)); #38410=DIRECTION('ref_axis',(3.14856504840807E-28,-4.44033705636859E-15, -1.)); #38411=DIRECTION('',(3.14856504840807E-28,-4.44033705636859E-15,-1.)); #38412=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #38413=DIRECTION('',(3.14856504840807E-28,-4.44033705636859E-15,-1.)); #38414=DIRECTION('center_axis',(1.,7.18623817913532E-14,0.)); #38415=DIRECTION('ref_axis',(7.18623817913532E-14,-1.,0.)); #38416=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38417=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38418=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #38419=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38420=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38421=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38422=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #38423=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #38424=DIRECTION('center_axis',(-1.,0.,0.)); #38425=DIRECTION('ref_axis',(0.,1.,0.)); #38426=DIRECTION('center_axis',(1.,0.,0.)); #38427=DIRECTION('ref_axis',(0.,1.,0.)); #38428=DIRECTION('center_axis',(-1.,0.,0.)); #38429=DIRECTION('ref_axis',(0.,1.,0.)); #38430=DIRECTION('center_axis',(-1.,0.,0.)); #38431=DIRECTION('ref_axis',(0.,1.,0.)); #38432=DIRECTION('center_axis',(1.,0.,0.)); #38433=DIRECTION('ref_axis',(0.,1.,0.)); #38434=DIRECTION('',(1.,0.,0.)); #38435=DIRECTION('center_axis',(-1.,0.,0.)); #38436=DIRECTION('ref_axis',(0.,1.,0.)); #38437=DIRECTION('center_axis',(-1.,0.,0.)); #38438=DIRECTION('ref_axis',(0.,1.,0.)); #38439=DIRECTION('',(1.,0.,0.)); #38440=DIRECTION('center_axis',(-1.,0.,0.)); #38441=DIRECTION('ref_axis',(0.,1.,0.)); #38442=DIRECTION('center_axis',(0.,2.79741234551221E-14,-1.)); #38443=DIRECTION('ref_axis',(-1.,0.,0.)); #38444=DIRECTION('',(0.,-1.,-2.56684437484694E-14)); #38445=DIRECTION('',(-1.,0.,0.)); #38446=DIRECTION('',(0.,1.,2.56684437484694E-14)); #38447=DIRECTION('',(1.,0.,0.)); #38448=DIRECTION('center_axis',(-1.,0.,0.)); #38449=DIRECTION('ref_axis',(0.,1.,0.)); #38450=DIRECTION('center_axis',(1.,0.,0.)); #38451=DIRECTION('ref_axis',(0.,1.,0.)); #38452=DIRECTION('',(1.,0.,0.)); #38453=DIRECTION('center_axis',(1.,0.,0.)); #38454=DIRECTION('ref_axis',(0.,1.,0.)); #38455=DIRECTION('center_axis',(-1.,0.,0.)); #38456=DIRECTION('ref_axis',(0.,-1.,-2.57886450601906E-14)); #38457=DIRECTION('center_axis',(-1.,0.,0.)); #38458=DIRECTION('ref_axis',(0.,-1.,-2.57886450601906E-14)); #38459=DIRECTION('',(-1.,0.,0.)); #38460=DIRECTION('center_axis',(-1.,0.,0.)); #38461=DIRECTION('ref_axis',(0.,-1.,-2.57886450601906E-14)); #38462=DIRECTION('',(-1.,0.,0.)); #38463=DIRECTION('center_axis',(0.,-1.,0.)); #38464=DIRECTION('ref_axis',(0.,0.,1.)); #38465=DIRECTION('',(0.,0.,-1.)); #38466=DIRECTION('',(0.,0.,1.)); #38467=DIRECTION('',(-1.,0.,0.)); #38468=DIRECTION('center_axis',(0.,8.74191357972515E-16,-1.)); #38469=DIRECTION('ref_axis',(0.,-1.,-8.74191357972515E-16)); #38470=DIRECTION('',(0.,-1.,-8.74191357972515E-16)); #38471=DIRECTION('',(0.,-1.,-8.74191357972515E-16)); #38472=DIRECTION('',(-1.,0.,0.)); #38473=DIRECTION('center_axis',(0.,1.,0.)); #38474=DIRECTION('ref_axis',(0.,0.,-1.)); #38475=DIRECTION('',(0.,0.,-1.)); #38476=DIRECTION('',(0.,0.,-1.)); #38477=DIRECTION('',(-1.,0.,0.)); #38478=DIRECTION('center_axis',(0.,0.,1.)); #38479=DIRECTION('ref_axis',(0.,1.,0.)); #38480=DIRECTION('',(0.,1.,0.)); #38481=DIRECTION('',(0.,1.,0.)); #38482=DIRECTION('',(-1.,0.,0.)); #38483=DIRECTION('center_axis',(0.,1.,0.)); #38484=DIRECTION('ref_axis',(0.,0.,-1.)); #38485=DIRECTION('',(0.,0.,-1.)); #38486=DIRECTION('',(0.,0.,-1.)); #38487=DIRECTION('',(-1.,0.,0.)); #38488=DIRECTION('center_axis',(0.,0.,-1.)); #38489=DIRECTION('ref_axis',(0.,-1.,0.)); #38490=DIRECTION('',(0.,-1.,0.)); #38491=DIRECTION('',(0.,-1.,0.)); #38492=DIRECTION('',(-1.,0.,0.)); #38493=DIRECTION('center_axis',(0.,1.,-4.37095701487343E-16)); #38494=DIRECTION('ref_axis',(0.,-4.37095701487343E-16,-1.)); #38495=DIRECTION('',(0.,-4.37095701487343E-16,-1.)); #38496=DIRECTION('',(0.,-4.37095701487343E-16,-1.)); #38497=DIRECTION('',(-1.,0.,0.)); #38498=DIRECTION('center_axis',(0.,5.82794238648376E-16,-1.)); #38499=DIRECTION('ref_axis',(0.,-1.,-5.82794238648376E-16)); #38500=DIRECTION('',(0.,-1.,-5.82794238648376E-16)); #38501=DIRECTION('',(0.,-1.,-5.82794238648376E-16)); #38502=DIRECTION('',(-1.,0.,0.)); #38503=DIRECTION('center_axis',(0.,-1.,0.)); #38504=DIRECTION('ref_axis',(0.,0.,1.)); #38505=DIRECTION('',(0.,0.,1.)); #38506=DIRECTION('',(0.,0.,1.)); #38507=DIRECTION('',(-1.,0.,0.)); #38508=DIRECTION('center_axis',(0.,4.0795596705392E-15,-1.)); #38509=DIRECTION('ref_axis',(0.,-1.,-4.0795596705392E-15)); #38510=DIRECTION('',(0.,-1.,-4.0795596705392E-15)); #38511=DIRECTION('',(0.,-1.,-4.0795596705392E-15)); #38512=DIRECTION('',(-1.,0.,0.)); #38513=DIRECTION('center_axis',(0.,-1.,2.56429465005286E-14)); #38514=DIRECTION('ref_axis',(0.,2.56429465005286E-14,1.)); #38515=DIRECTION('',(0.,2.56429465005286E-14,1.)); #38516=DIRECTION('',(0.,2.56429465005286E-14,1.)); #38517=DIRECTION('',(-1.,0.,0.)); #38518=DIRECTION('center_axis',(0.,2.50601522618836E-14,1.)); #38519=DIRECTION('ref_axis',(0.,1.,-2.50601522618836E-14)); #38520=DIRECTION('',(0.,1.,-2.50601522618836E-14)); #38521=DIRECTION('',(0.,1.,-2.50601522618836E-14)); #38522=DIRECTION('',(-1.,0.,0.)); #38523=DIRECTION('center_axis',(0.,-1.,2.56429459138358E-14)); #38524=DIRECTION('ref_axis',(0.,2.56429459138358E-14,1.)); #38525=DIRECTION('',(0.,2.56429459138358E-14,1.)); #38526=DIRECTION('',(0.,2.56429459138358E-14,1.)); #38527=DIRECTION('',(-1.,0.,0.)); #38528=DIRECTION('center_axis',(0.,-2.58760641959879E-14,-1.)); #38529=DIRECTION('ref_axis',(0.,-1.,2.58760641959879E-14)); #38530=DIRECTION('',(0.,-1.,2.58760641959879E-14)); #38531=DIRECTION('',(0.,-1.,2.58760641959879E-14)); #38532=DIRECTION('',(-1.,0.,0.)); #38533=DIRECTION('center_axis',(0.,-1.,2.58760641959879E-14)); #38534=DIRECTION('ref_axis',(0.,2.58760641959879E-14,1.)); #38535=DIRECTION('',(0.,2.79741234551221E-14,-1.)); #38536=DIRECTION('',(0.,2.79741234551221E-14,-1.)); #38537=DIRECTION('center_axis',(0.,1.,2.58760641959879E-14)); #38538=DIRECTION('ref_axis',(0.,2.58760641959879E-14,-1.)); #38539=DIRECTION('',(0.,-2.58760641959879E-14,1.)); #38540=DIRECTION('',(0.,2.58760641959879E-14,-1.)); #38541=DIRECTION('',(-1.,0.,0.)); #38542=DIRECTION('center_axis',(0.,2.58760641959879E-14,-1.)); #38543=DIRECTION('ref_axis',(0.,-1.,-2.58760641959879E-14)); #38544=DIRECTION('',(0.,1.,2.58760641959879E-14)); #38545=DIRECTION('',(0.,-1.,-2.58760641959879E-14)); #38546=DIRECTION('',(-1.,0.,0.)); #38547=DIRECTION('center_axis',(0.,1.,2.56429465005286E-14)); #38548=DIRECTION('ref_axis',(0.,2.56429465005286E-14,-1.)); #38549=DIRECTION('',(0.,-2.56429465005286E-14,1.)); #38550=DIRECTION('',(0.,2.56429465005286E-14,-1.)); #38551=DIRECTION('',(-1.,0.,0.)); #38552=DIRECTION('center_axis',(0.,-2.50601522618836E-14,1.)); #38553=DIRECTION('ref_axis',(0.,1.,2.50601522618836E-14)); #38554=DIRECTION('',(0.,-1.,-2.50601522618836E-14)); #38555=DIRECTION('',(0.,1.,2.50601522618836E-14)); #38556=DIRECTION('',(-1.,0.,0.)); #38557=DIRECTION('center_axis',(0.,1.,2.56429465005286E-14)); #38558=DIRECTION('ref_axis',(0.,2.56429465005286E-14,-1.)); #38559=DIRECTION('',(0.,-2.56429465005286E-14,1.)); #38560=DIRECTION('',(0.,2.56429465005286E-14,-1.)); #38561=DIRECTION('',(-1.,0.,0.)); #38562=DIRECTION('center_axis',(0.,-4.0795596705392E-15,-1.)); #38563=DIRECTION('ref_axis',(0.,-1.,4.0795596705392E-15)); #38564=DIRECTION('',(0.,1.,-4.0795596705392E-15)); #38565=DIRECTION('',(0.,-1.,4.0795596705392E-15)); #38566=DIRECTION('',(-1.,0.,0.)); #38567=DIRECTION('center_axis',(0.,1.,0.)); #38568=DIRECTION('ref_axis',(0.,0.,-1.)); #38569=DIRECTION('',(0.,0.,1.)); #38570=DIRECTION('',(0.,0.,-1.)); #38571=DIRECTION('',(-1.,0.,0.)); #38572=DIRECTION('center_axis',(0.,0.,-1.)); #38573=DIRECTION('ref_axis',(0.,-1.,0.)); #38574=DIRECTION('',(0.,1.,0.)); #38575=DIRECTION('',(0.,-1.,0.)); #38576=DIRECTION('',(-1.,0.,0.)); #38577=DIRECTION('center_axis',(0.,-1.,-4.37095701487343E-16)); #38578=DIRECTION('ref_axis',(0.,-4.37095701487343E-16,1.)); #38579=DIRECTION('',(0.,4.37095701487343E-16,-1.)); #38580=DIRECTION('',(0.,-4.37095701487343E-16,1.)); #38581=DIRECTION('',(-1.,0.,0.)); #38582=DIRECTION('center_axis',(0.,0.,-1.)); #38583=DIRECTION('ref_axis',(0.,-1.,0.)); #38584=DIRECTION('',(0.,1.,0.)); #38585=DIRECTION('',(0.,-1.,0.)); #38586=DIRECTION('',(-1.,0.,0.)); #38587=DIRECTION('center_axis',(0.,-1.,0.)); #38588=DIRECTION('ref_axis',(0.,0.,1.)); #38589=DIRECTION('',(0.,0.,-1.)); #38590=DIRECTION('',(0.,0.,1.)); #38591=DIRECTION('',(-1.,0.,0.)); #38592=DIRECTION('center_axis',(0.,0.,1.)); #38593=DIRECTION('ref_axis',(0.,1.,0.)); #38594=DIRECTION('',(0.,-1.,0.)); #38595=DIRECTION('',(0.,1.,0.)); #38596=DIRECTION('',(-1.,0.,0.)); #38597=DIRECTION('center_axis',(0.,-1.,0.)); #38598=DIRECTION('ref_axis',(0.,0.,1.)); #38599=DIRECTION('',(0.,0.,-1.)); #38600=DIRECTION('',(0.,0.,1.)); #38601=DIRECTION('',(-1.,0.,0.)); #38602=DIRECTION('center_axis',(0.,-1.09273919746564E-16,-1.)); #38603=DIRECTION('ref_axis',(0.,-1.,1.09273919746564E-16)); #38604=DIRECTION('',(0.,1.,-1.09273919746564E-16)); #38605=DIRECTION('',(0.,-1.,1.09273919746564E-16)); #38606=DIRECTION('',(-1.,0.,0.)); #38607=DIRECTION('center_axis',(0.,1.,2.7520105053215E-16)); #38608=DIRECTION('ref_axis',(0.,2.7520105053215E-16,-1.)); #38609=DIRECTION('',(0.,2.7520105053215E-16,-1.)); #38610=DIRECTION('',(0.,2.7520105053215E-16,-1.)); #38611=DIRECTION('',(-1.,0.,0.)); #38612=DIRECTION('center_axis',(-1.,0.,0.)); #38613=DIRECTION('ref_axis',(0.,0.,1.)); #38614=DIRECTION('center_axis',(-1.,0.,0.)); #38615=DIRECTION('ref_axis',(0.,0.,1.)); #38616=DIRECTION('center_axis',(-1.,0.,0.)); #38617=DIRECTION('ref_axis',(0.,0.,1.)); #38618=DIRECTION('',(-1.,0.,0.)); #38619=DIRECTION('center_axis',(0.,0.,1.)); #38620=DIRECTION('ref_axis',(0.,1.,0.)); #38621=DIRECTION('',(0.,1.,0.)); #38622=DIRECTION('',(0.,1.,0.)); #38623=DIRECTION('center_axis',(-1.,0.,0.)); #38624=DIRECTION('ref_axis',(0.,1.,0.)); #38625=DIRECTION('center_axis',(-1.,0.,0.)); #38626=DIRECTION('ref_axis',(0.,1.,0.)); #38627=DIRECTION('center_axis',(0.,1.,0.)); #38628=DIRECTION('ref_axis',(0.,0.,1.)); #38629=DIRECTION('',(0.,0.,1.)); #38630=DIRECTION('',(1.,0.,0.)); #38631=DIRECTION('',(0.,0.,1.)); #38632=DIRECTION('',(1.,0.,0.)); #38633=DIRECTION('center_axis',(0.,5.46369598732823E-16,-1.)); #38634=DIRECTION('ref_axis',(0.,1.,5.46369598732823E-16)); #38635=DIRECTION('',(0.,-1.,-5.46369598732823E-16)); #38636=DIRECTION('',(0.,1.,5.46369598732823E-16)); #38637=DIRECTION('',(1.,0.,0.)); #38638=DIRECTION('center_axis',(0.,-1.,6.8125098681678E-13)); #38639=DIRECTION('ref_axis',(0.,-6.8125098681678E-13,-1.)); #38640=DIRECTION('',(0.,-6.8125098681678E-13,-1.)); #38641=DIRECTION('',(0.,-6.8125098681678E-13,-1.)); #38642=DIRECTION('',(1.,0.,0.)); #38643=DIRECTION('center_axis',(1.,0.,0.)); #38644=DIRECTION('ref_axis',(0.,1.74838271594513E-15,1.)); #38645=DIRECTION('center_axis',(1.,0.,0.)); #38646=DIRECTION('ref_axis',(0.,1.74838271594513E-15,1.)); #38647=DIRECTION('center_axis',(1.,0.,0.)); #38648=DIRECTION('ref_axis',(0.,1.74838271594513E-15,1.)); #38649=DIRECTION('',(1.,0.,0.)); #38650=DIRECTION('center_axis',(0.,0.,1.)); #38651=DIRECTION('ref_axis',(0.,-1.,0.)); #38652=DIRECTION('',(0.,-1.,0.)); #38653=DIRECTION('',(0.,-1.,0.)); #38654=DIRECTION('',(1.,0.,0.)); #38655=DIRECTION('center_axis',(1.,0.,0.)); #38656=DIRECTION('ref_axis',(0.,1.,2.18547839493153E-15)); #38657=DIRECTION('center_axis',(1.,0.,0.)); #38658=DIRECTION('ref_axis',(0.,1.,2.18547839493153E-15)); #38659=DIRECTION('center_axis',(1.,0.,0.)); #38660=DIRECTION('ref_axis',(0.,1.,2.18547839493153E-15)); #38661=DIRECTION('',(1.,0.,0.)); #38662=DIRECTION('center_axis',(0.,1.,0.)); #38663=DIRECTION('ref_axis',(0.,0.,1.)); #38664=DIRECTION('',(0.,0.,1.)); #38665=DIRECTION('',(0.,0.,1.)); #38666=DIRECTION('',(1.,0.,0.)); #38667=DIRECTION('center_axis',(0.,-2.18547839493129E-16,-1.)); #38668=DIRECTION('ref_axis',(0.,1.,-2.18547839493129E-16)); #38669=DIRECTION('',(0.,1.,-2.18547839493129E-16)); #38670=DIRECTION('',(0.,1.,-2.18547839493129E-16)); #38671=DIRECTION('',(1.,0.,0.)); #38672=DIRECTION('center_axis',(0.,-1.,0.)); #38673=DIRECTION('ref_axis',(0.,0.,-1.)); #38674=DIRECTION('',(0.,0.,-1.)); #38675=DIRECTION('',(0.,0.,-1.)); #38676=DIRECTION('',(1.,0.,0.)); #38677=DIRECTION('center_axis',(0.,0.,1.)); #38678=DIRECTION('ref_axis',(0.,-1.,0.)); #38679=DIRECTION('',(0.,-1.,0.)); #38680=DIRECTION('',(0.,-1.,0.)); #38681=DIRECTION('',(1.,0.,0.)); #38682=DIRECTION('center_axis',(0.,-1.,0.)); #38683=DIRECTION('ref_axis',(0.,0.,-1.)); #38684=DIRECTION('',(0.,0.,-1.)); #38685=DIRECTION('',(0.,0.,-1.)); #38686=DIRECTION('',(1.,0.,0.)); #38687=DIRECTION('center_axis',(0.,0.,-1.)); #38688=DIRECTION('ref_axis',(0.,1.,0.)); #38689=DIRECTION('',(0.,1.,0.)); #38690=DIRECTION('',(0.,1.,0.)); #38691=DIRECTION('',(1.,0.,0.)); #38692=DIRECTION('center_axis',(0.,-1.,-3.19143090490764E-8)); #38693=DIRECTION('ref_axis',(0.,3.19143090490764E-8,-0.999999999999999)); #38694=DIRECTION('',(0.,3.19143090490764E-8,-0.999999999999999)); #38695=DIRECTION('',(0.,3.19143090490764E-8,-0.999999999999999)); #38696=DIRECTION('',(1.,0.,0.)); #38697=DIRECTION('center_axis',(0.,0.,-1.)); #38698=DIRECTION('ref_axis',(0.,1.,0.)); #38699=DIRECTION('',(0.,1.,0.)); #38700=DIRECTION('',(0.,1.,0.)); #38701=DIRECTION('',(1.,0.,0.)); #38702=DIRECTION('center_axis',(0.,1.,0.)); #38703=DIRECTION('ref_axis',(0.,0.,1.)); #38704=DIRECTION('',(0.,0.,-1.)); #38705=DIRECTION('',(0.,0.,1.)); #38706=DIRECTION('',(1.,0.,0.)); #38707=DIRECTION('center_axis',(0.,0.,-1.)); #38708=DIRECTION('ref_axis',(0.,1.,0.)); #38709=DIRECTION('',(0.,1.,0.)); #38710=DIRECTION('',(0.,1.,0.)); #38711=DIRECTION('',(1.,0.,0.)); #38712=DIRECTION('center_axis',(0.,1.,0.)); #38713=DIRECTION('ref_axis',(0.,0.,1.)); #38714=DIRECTION('',(0.,0.,1.)); #38715=DIRECTION('',(0.,0.,1.)); #38716=DIRECTION('',(1.,0.,0.)); #38717=DIRECTION('center_axis',(0.,0.,1.)); #38718=DIRECTION('ref_axis',(0.,-1.,0.)); #38719=DIRECTION('',(0.,-1.,0.)); #38720=DIRECTION('',(0.,-1.,0.)); #38721=DIRECTION('center_axis',(1.,0.,0.)); #38722=DIRECTION('ref_axis',(0.,1.,0.)); #38723=DIRECTION('center_axis',(1.,0.,0.)); #38724=DIRECTION('ref_axis',(0.,1.,0.)); #38725=DIRECTION('center_axis',(0.,1.,8.22439229580588E-13)); #38726=DIRECTION('ref_axis',(0.,8.22439229580588E-13,-1.)); #38727=DIRECTION('',(0.,-8.22439229580588E-13,1.)); #38728=DIRECTION('',(-1.,0.,0.)); #38729=DIRECTION('',(0.,8.22439229580588E-13,-1.)); #38730=DIRECTION('',(-1.,0.,0.)); #38731=DIRECTION('center_axis',(0.,8.22439229580591E-13,-1.)); #38732=DIRECTION('ref_axis',(0.,-1.,-8.22439229580591E-13)); #38733=DIRECTION('',(0.,1.,8.22439229580591E-13)); #38734=DIRECTION('',(0.,-1.,-8.22439229580591E-13)); #38735=DIRECTION('',(-1.,0.,0.)); #38736=DIRECTION('center_axis',(0.,1.,8.20574288016914E-13)); #38737=DIRECTION('ref_axis',(0.,8.20574288016914E-13,-1.)); #38738=DIRECTION('',(0.,-8.20574288016914E-13,1.)); #38739=DIRECTION('',(0.,8.20574288016914E-13,-1.)); #38740=DIRECTION('',(-1.,0.,0.)); #38741=DIRECTION('center_axis',(0.,-8.22905464971507E-13,1.)); #38742=DIRECTION('ref_axis',(0.,1.,8.22905464971507E-13)); #38743=DIRECTION('',(0.,-1.,-8.22905464971507E-13)); #38744=DIRECTION('',(0.,1.,8.22905464971507E-13)); #38745=DIRECTION('',(-1.,0.,0.)); #38746=DIRECTION('center_axis',(0.,1.,8.20574288016914E-13)); #38747=DIRECTION('ref_axis',(0.,8.20574288016914E-13,-1.)); #38748=DIRECTION('',(0.,-8.20574288016914E-13,1.)); #38749=DIRECTION('',(0.,8.20574288016914E-13,-1.)); #38750=DIRECTION('',(-1.,0.,0.)); #38751=DIRECTION('center_axis',(0.,8.22904677094303E-13,-1.)); #38752=DIRECTION('ref_axis',(0.,-1.,-8.22904677094303E-13)); #38753=DIRECTION('',(0.,1.,8.22904677094303E-13)); #38754=DIRECTION('',(0.,-1.,-8.22904677094303E-13)); #38755=DIRECTION('',(-1.,0.,0.)); #38756=DIRECTION('center_axis',(0.,1.,8.2173987649421E-13)); #38757=DIRECTION('ref_axis',(0.,8.2173987649421E-13,-1.)); #38758=DIRECTION('',(0.,-8.2173987649421E-13,1.)); #38759=DIRECTION('',(0.,8.2173987649421E-13,-1.)); #38760=DIRECTION('',(-1.,0.,0.)); #38761=DIRECTION('center_axis',(0.,8.2173985026896E-13,-1.)); #38762=DIRECTION('ref_axis',(0.,-1.,-8.2173985026896E-13)); #38763=DIRECTION('',(0.,1.,8.2173985026896E-13)); #38764=DIRECTION('',(0.,-1.,-8.2173985026896E-13)); #38765=DIRECTION('',(-1.,0.,0.)); #38766=DIRECTION('center_axis',(0.,-1.,-8.2173987649421E-13)); #38767=DIRECTION('ref_axis',(0.,-8.2173987649421E-13,1.)); #38768=DIRECTION('',(0.,8.2173987649421E-13,-1.)); #38769=DIRECTION('',(0.,-8.2173987649421E-13,1.)); #38770=DIRECTION('',(-1.,0.,0.)); #38771=DIRECTION('center_axis',(0.,8.22905464971507E-13,-1.)); #38772=DIRECTION('ref_axis',(0.,-1.,-8.22905464971507E-13)); #38773=DIRECTION('',(0.,1.,8.22905464971507E-13)); #38774=DIRECTION('',(0.,-1.,-8.22905464971507E-13)); #38775=DIRECTION('',(-1.,0.,0.)); #38776=DIRECTION('center_axis',(0.,-1.,-8.18957242147792E-13)); #38777=DIRECTION('ref_axis',(0.,-8.18957242147792E-13,1.)); #38778=DIRECTION('',(0.,8.18957242147792E-13,-1.)); #38779=DIRECTION('',(0.,-8.18957242147792E-13,1.)); #38780=DIRECTION('',(-1.,0.,0.)); #38781=DIRECTION('center_axis',(0.,-8.22905464971507E-13,1.)); #38782=DIRECTION('ref_axis',(0.,1.,8.22905464971507E-13)); #38783=DIRECTION('',(0.,-1.,-8.22905464971507E-13)); #38784=DIRECTION('',(0.,1.,8.22905464971507E-13)); #38785=DIRECTION('',(-1.,0.,0.)); #38786=DIRECTION('center_axis',(0.,-1.,-8.20574288016914E-13)); #38787=DIRECTION('ref_axis',(0.,-8.20574288016914E-13,1.)); #38788=DIRECTION('',(0.,8.20574288016914E-13,-1.)); #38789=DIRECTION('',(0.,-8.20574288016914E-13,1.)); #38790=DIRECTION('',(-1.,0.,0.)); #38791=DIRECTION('center_axis',(0.,8.22176945933997E-13,-1.)); #38792=DIRECTION('ref_axis',(0.,-1.,-8.22176945933997E-13)); #38793=DIRECTION('',(0.,1.,8.22176945933997E-13)); #38794=DIRECTION('',(0.,-1.,-8.22176945933997E-13)); #38795=DIRECTION('',(-1.,0.,0.)); #38796=DIRECTION('center_axis',(0.,1.,8.21361917133657E-13)); #38797=DIRECTION('ref_axis',(0.,8.21361917133657E-13,-1.)); #38798=DIRECTION('',(0.,-8.21361917133657E-13,1.)); #38799=DIRECTION('',(0.,8.21361917133657E-13,-1.)); #38800=DIRECTION('',(-1.,0.,0.)); #38801=DIRECTION('center_axis',(0.,-8.24614873095139E-13,1.)); #38802=DIRECTION('ref_axis',(0.,1.,8.24614873095139E-13)); #38803=DIRECTION('',(0.,-1.,-8.24614873095139E-13)); #38804=DIRECTION('',(0.,1.,8.24614873095139E-13)); #38805=DIRECTION('',(-1.,0.,0.)); #38806=DIRECTION('center_axis',(0.,-1.,-8.27727343788067E-13)); #38807=DIRECTION('ref_axis',(0.,-8.27727343788067E-13,1.)); #38808=DIRECTION('',(0.,-8.27727343788067E-13,1.)); #38809=DIRECTION('',(0.,-8.27727343788067E-13,1.)); #38810=DIRECTION('',(-1.,0.,0.)); #38811=DIRECTION('center_axis',(-1.,0.,0.)); #38812=DIRECTION('ref_axis',(0.,1.,8.21658556367883E-13)); #38813=DIRECTION('center_axis',(-1.,0.,0.)); #38814=DIRECTION('ref_axis',(0.,1.,8.21658556367883E-13)); #38815=DIRECTION('center_axis',(1.,0.,0.)); #38816=DIRECTION('ref_axis',(0.,1.,8.21658556367883E-13)); #38817=DIRECTION('',(-1.,0.,0.)); #38818=DIRECTION('center_axis',(0.,1.,-3.83207103605587E-15)); #38819=DIRECTION('ref_axis',(0.,-3.83207103605587E-15,-1.)); #38820=DIRECTION('',(0.,3.83207103605587E-15,1.)); #38821=DIRECTION('',(0.,-3.83207103605587E-15,-1.)); #38822=DIRECTION('',(-1.,0.,0.)); #38823=DIRECTION('center_axis',(0.,2.4865883847573E-15,1.)); #38824=DIRECTION('ref_axis',(0.,1.,-2.4865883847573E-15)); #38825=DIRECTION('',(0.,1.,-2.4865883847573E-15)); #38826=DIRECTION('',(0.,1.,-2.4865883847573E-15)); #38827=DIRECTION('',(-1.,0.,0.)); #38828=DIRECTION('center_axis',(0.,-1.,0.)); #38829=DIRECTION('ref_axis',(0.,0.,1.)); #38830=DIRECTION('',(0.,0.,1.)); #38831=DIRECTION('',(0.,0.,1.)); #38832=DIRECTION('',(-1.,0.,0.)); #38833=DIRECTION('center_axis',(0.,0.,-1.)); #38834=DIRECTION('ref_axis',(0.,-1.,0.)); #38835=DIRECTION('',(0.,-1.,0.)); #38836=DIRECTION('',(0.,-1.,0.)); #38837=DIRECTION('',(-1.,0.,0.)); #38838=DIRECTION('center_axis',(0.,1.,0.)); #38839=DIRECTION('ref_axis',(0.,0.,-1.)); #38840=DIRECTION('',(0.,0.,-1.)); #38841=DIRECTION('',(0.,0.,-1.)); #38842=DIRECTION('',(-1.,0.,0.)); #38843=DIRECTION('center_axis',(0.,0.,1.)); #38844=DIRECTION('ref_axis',(0.,1.,0.)); #38845=DIRECTION('',(0.,1.,0.)); #38846=DIRECTION('',(0.,1.,0.)); #38847=DIRECTION('',(-1.,0.,0.)); #38848=DIRECTION('center_axis',(0.,1.,0.)); #38849=DIRECTION('ref_axis',(0.,0.,-1.)); #38850=DIRECTION('',(0.,0.,-1.)); #38851=DIRECTION('',(0.,0.,-1.)); #38852=DIRECTION('',(-1.,0.,0.)); #38853=DIRECTION('center_axis',(0.,0.,-1.)); #38854=DIRECTION('ref_axis',(0.,-1.,0.)); #38855=DIRECTION('',(0.,-1.,0.)); #38856=DIRECTION('',(0.,-1.,0.)); #38857=DIRECTION('',(-1.,0.,0.)); #38858=DIRECTION('center_axis',(0.,1.,0.)); #38859=DIRECTION('ref_axis',(0.,0.,-1.)); #38860=DIRECTION('',(0.,0.,-1.)); #38861=DIRECTION('',(0.,0.,-1.)); #38862=DIRECTION('',(-1.,0.,0.)); #38863=DIRECTION('center_axis',(0.,0.,-1.)); #38864=DIRECTION('ref_axis',(0.,-1.,0.)); #38865=DIRECTION('',(0.,-1.,0.)); #38866=DIRECTION('',(0.,-1.,0.)); #38867=DIRECTION('',(-1.,0.,0.)); #38868=DIRECTION('center_axis',(0.,-1.,0.)); #38869=DIRECTION('ref_axis',(0.,0.,1.)); #38870=DIRECTION('',(0.,0.,1.)); #38871=DIRECTION('',(0.,0.,1.)); #38872=DIRECTION('',(-1.,0.,0.)); #38873=DIRECTION('center_axis',(0.,0.,-1.)); #38874=DIRECTION('ref_axis',(0.,-1.,0.)); #38875=DIRECTION('',(0.,-1.,0.)); #38876=DIRECTION('',(0.,-1.,0.)); #38877=DIRECTION('',(-1.,0.,0.)); #38878=DIRECTION('center_axis',(0.,-1.,0.)); #38879=DIRECTION('ref_axis',(0.,0.,1.)); #38880=DIRECTION('',(0.,0.,1.)); #38881=DIRECTION('',(0.,0.,1.)); #38882=DIRECTION('',(-1.,0.,0.)); #38883=DIRECTION('center_axis',(0.,0.,1.)); #38884=DIRECTION('ref_axis',(0.,1.,0.)); #38885=DIRECTION('',(0.,1.,0.)); #38886=DIRECTION('',(0.,1.,0.)); #38887=DIRECTION('',(-1.,0.,0.)); #38888=DIRECTION('center_axis',(0.,-1.,0.)); #38889=DIRECTION('ref_axis',(0.,0.,1.)); #38890=DIRECTION('',(0.,0.,1.)); #38891=DIRECTION('',(0.,0.,1.)); #38892=DIRECTION('',(-1.,0.,0.)); #38893=DIRECTION('center_axis',(0.,0.,-1.)); #38894=DIRECTION('ref_axis',(0.,-1.,0.)); #38895=DIRECTION('',(0.,-1.,0.)); #38896=DIRECTION('',(0.,-1.,0.)); #38897=DIRECTION('',(-1.,0.,0.)); #38898=DIRECTION('center_axis',(0.,-1.,0.)); #38899=DIRECTION('ref_axis',(0.,0.,1.)); #38900=DIRECTION('',(0.,0.,1.)); #38901=DIRECTION('',(0.,0.,1.)); #38902=DIRECTION('',(-1.,0.,0.)); #38903=DIRECTION('center_axis',(0.,4.10957357382903E-13,-1.)); #38904=DIRECTION('ref_axis',(0.,-1.,-4.10957357382903E-13)); #38905=DIRECTION('',(0.,-1.,-4.10957357382903E-13)); #38906=DIRECTION('',(0.,-1.,-4.10957357382903E-13)); #38907=DIRECTION('center_axis',(-1.,0.,0.)); #38908=DIRECTION('ref_axis',(0.,-1.,0.)); #38909=DIRECTION('center_axis',(-1.,0.,0.)); #38910=DIRECTION('ref_axis',(0.,-1.,0.)); #38911=DIRECTION('axis',(0.,0.,1.)); #38912=DIRECTION('refdir',(1.,0.,0.)); #38913=DIRECTION('center_axis',(0.,-1.,0.)); #38914=DIRECTION('ref_axis',(0.,0.,1.)); #38915=DIRECTION('',(0.,0.,-1.)); #38916=DIRECTION('',(-1.,0.,0.)); #38917=DIRECTION('',(0.,0.,1.)); #38918=DIRECTION('',(-1.,0.,0.)); #38919=DIRECTION('center_axis',(0.,8.74191357972515E-16,-1.)); #38920=DIRECTION('ref_axis',(0.,-1.,-8.74191357972515E-16)); #38921=DIRECTION('',(0.,1.,8.74191357972515E-16)); #38922=DIRECTION('',(0.,-1.,-8.74191357972515E-16)); #38923=DIRECTION('',(-1.,0.,0.)); #38924=DIRECTION('center_axis',(0.,1.,0.)); #38925=DIRECTION('ref_axis',(0.,0.,-1.)); #38926=DIRECTION('',(0.,0.,1.)); #38927=DIRECTION('',(0.,0.,-1.)); #38928=DIRECTION('',(-1.,0.,0.)); #38929=DIRECTION('center_axis',(0.,0.,1.)); #38930=DIRECTION('ref_axis',(0.,1.,0.)); #38931=DIRECTION('',(0.,-1.,0.)); #38932=DIRECTION('',(0.,1.,0.)); #38933=DIRECTION('',(-1.,0.,0.)); #38934=DIRECTION('center_axis',(0.,1.,0.)); #38935=DIRECTION('ref_axis',(0.,0.,-1.)); #38936=DIRECTION('',(0.,0.,1.)); #38937=DIRECTION('',(0.,0.,-1.)); #38938=DIRECTION('',(-1.,0.,0.)); #38939=DIRECTION('center_axis',(0.,0.,-1.)); #38940=DIRECTION('ref_axis',(0.,-1.,0.)); #38941=DIRECTION('',(0.,1.,0.)); #38942=DIRECTION('',(0.,-1.,0.)); #38943=DIRECTION('',(-1.,0.,0.)); #38944=DIRECTION('center_axis',(0.,1.,-4.37095701487343E-16)); #38945=DIRECTION('ref_axis',(0.,-4.37095701487343E-16,-1.)); #38946=DIRECTION('',(0.,4.37095701487343E-16,1.)); #38947=DIRECTION('',(0.,-4.37095701487343E-16,-1.)); #38948=DIRECTION('',(-1.,0.,0.)); #38949=DIRECTION('center_axis',(0.,5.82794238648376E-16,-1.)); #38950=DIRECTION('ref_axis',(0.,-1.,-5.82794238648376E-16)); #38951=DIRECTION('',(0.,1.,5.82794238648376E-16)); #38952=DIRECTION('',(0.,-1.,-5.82794238648376E-16)); #38953=DIRECTION('',(-1.,0.,0.)); #38954=DIRECTION('center_axis',(0.,-1.,0.)); #38955=DIRECTION('ref_axis',(0.,0.,1.)); #38956=DIRECTION('',(0.,0.,-1.)); #38957=DIRECTION('',(0.,0.,1.)); #38958=DIRECTION('',(-1.,0.,0.)); #38959=DIRECTION('center_axis',(0.,4.0795596705392E-15,-1.)); #38960=DIRECTION('ref_axis',(0.,-1.,-4.0795596705392E-15)); #38961=DIRECTION('',(0.,1.,4.0795596705392E-15)); #38962=DIRECTION('',(0.,-1.,-4.0795596705392E-15)); #38963=DIRECTION('',(-1.,0.,0.)); #38964=DIRECTION('center_axis',(0.,-1.,2.56429465005286E-14)); #38965=DIRECTION('ref_axis',(0.,2.56429465005286E-14,1.)); #38966=DIRECTION('',(0.,-2.56429465005286E-14,-1.)); #38967=DIRECTION('',(0.,2.56429465005286E-14,1.)); #38968=DIRECTION('',(-1.,0.,0.)); #38969=DIRECTION('center_axis',(0.,2.50601522618836E-14,1.)); #38970=DIRECTION('ref_axis',(0.,1.,-2.50601522618836E-14)); #38971=DIRECTION('',(0.,-1.,2.50601522618836E-14)); #38972=DIRECTION('',(0.,1.,-2.50601522618836E-14)); #38973=DIRECTION('',(-1.,0.,0.)); #38974=DIRECTION('center_axis',(0.,-1.,2.56429459138358E-14)); #38975=DIRECTION('ref_axis',(0.,2.56429459138358E-14,1.)); #38976=DIRECTION('',(0.,-2.56429459138358E-14,-1.)); #38977=DIRECTION('',(0.,2.56429459138358E-14,1.)); #38978=DIRECTION('',(-1.,0.,0.)); #38979=DIRECTION('center_axis',(0.,-2.58760641959879E-14,-1.)); #38980=DIRECTION('ref_axis',(0.,-1.,2.58760641959879E-14)); #38981=DIRECTION('',(0.,1.,-2.58760641959879E-14)); #38982=DIRECTION('',(0.,-1.,2.58760641959879E-14)); #38983=DIRECTION('',(-1.,0.,0.)); #38984=DIRECTION('center_axis',(0.,-1.,-2.79741234551221E-14)); #38985=DIRECTION('ref_axis',(0.,-2.79741234551221E-14,1.)); #38986=DIRECTION('',(0.,2.79741234551221E-14,-1.)); #38987=DIRECTION('',(0.,-2.79741234551221E-14,1.)); #38988=DIRECTION('',(-1.,0.,0.)); #38989=DIRECTION('center_axis',(0.,2.56684437484694E-14,-1.)); #38990=DIRECTION('ref_axis',(0.,-1.,-2.56684437484694E-14)); #38991=DIRECTION('',(0.,1.,2.56684437484694E-14)); #38992=DIRECTION('',(0.,-1.,-2.56684437484694E-14)); #38993=DIRECTION('',(-1.,0.,0.)); #38994=DIRECTION('center_axis',(0.,1.,2.58760641959879E-14)); #38995=DIRECTION('ref_axis',(0.,2.58760641959879E-14,-1.)); #38996=DIRECTION('',(0.,-2.58760641959879E-14,1.)); #38997=DIRECTION('',(0.,2.58760641959879E-14,-1.)); #38998=DIRECTION('',(-1.,0.,0.)); #38999=DIRECTION('center_axis',(0.,2.58760641959879E-14,-1.)); #39000=DIRECTION('ref_axis',(0.,-1.,-2.58760641959879E-14)); #39001=DIRECTION('',(0.,1.,2.58760641959879E-14)); #39002=DIRECTION('',(0.,-1.,-2.58760641959879E-14)); #39003=DIRECTION('',(-1.,0.,0.)); #39004=DIRECTION('center_axis',(0.,1.,2.56429465005286E-14)); #39005=DIRECTION('ref_axis',(0.,2.56429465005286E-14,-1.)); #39006=DIRECTION('',(0.,-2.56429465005286E-14,1.)); #39007=DIRECTION('',(0.,2.56429465005286E-14,-1.)); #39008=DIRECTION('',(-1.,0.,0.)); #39009=DIRECTION('center_axis',(0.,-2.50601522618836E-14,1.)); #39010=DIRECTION('ref_axis',(0.,1.,2.50601522618836E-14)); #39011=DIRECTION('',(0.,-1.,-2.50601522618836E-14)); #39012=DIRECTION('',(0.,1.,2.50601522618836E-14)); #39013=DIRECTION('',(-1.,0.,0.)); #39014=DIRECTION('center_axis',(0.,1.,2.56429465005286E-14)); #39015=DIRECTION('ref_axis',(0.,2.56429465005286E-14,-1.)); #39016=DIRECTION('',(0.,-2.56429465005286E-14,1.)); #39017=DIRECTION('',(0.,2.56429465005286E-14,-1.)); #39018=DIRECTION('',(-1.,0.,0.)); #39019=DIRECTION('center_axis',(0.,-4.0795596705392E-15,-1.)); #39020=DIRECTION('ref_axis',(0.,-1.,4.0795596705392E-15)); #39021=DIRECTION('',(0.,1.,-4.0795596705392E-15)); #39022=DIRECTION('',(0.,-1.,4.0795596705392E-15)); #39023=DIRECTION('',(-1.,0.,0.)); #39024=DIRECTION('center_axis',(0.,1.,0.)); #39025=DIRECTION('ref_axis',(0.,0.,-1.)); #39026=DIRECTION('',(0.,0.,1.)); #39027=DIRECTION('',(0.,0.,-1.)); #39028=DIRECTION('',(-1.,0.,0.)); #39029=DIRECTION('center_axis',(0.,0.,-1.)); #39030=DIRECTION('ref_axis',(0.,-1.,0.)); #39031=DIRECTION('',(0.,1.,0.)); #39032=DIRECTION('',(0.,-1.,0.)); #39033=DIRECTION('',(-1.,0.,0.)); #39034=DIRECTION('center_axis',(0.,-1.,-4.37095701487343E-16)); #39035=DIRECTION('ref_axis',(0.,-4.37095701487343E-16,1.)); #39036=DIRECTION('',(0.,4.37095701487343E-16,-1.)); #39037=DIRECTION('',(0.,-4.37095701487343E-16,1.)); #39038=DIRECTION('',(-1.,0.,0.)); #39039=DIRECTION('center_axis',(0.,0.,-1.)); #39040=DIRECTION('ref_axis',(0.,-1.,0.)); #39041=DIRECTION('',(0.,1.,0.)); #39042=DIRECTION('',(0.,-1.,0.)); #39043=DIRECTION('',(-1.,0.,0.)); #39044=DIRECTION('center_axis',(0.,-1.,0.)); #39045=DIRECTION('ref_axis',(0.,0.,1.)); #39046=DIRECTION('',(0.,0.,-1.)); #39047=DIRECTION('',(0.,0.,1.)); #39048=DIRECTION('',(-1.,0.,0.)); #39049=DIRECTION('center_axis',(0.,0.,1.)); #39050=DIRECTION('ref_axis',(0.,1.,0.)); #39051=DIRECTION('',(0.,-1.,0.)); #39052=DIRECTION('',(0.,1.,0.)); #39053=DIRECTION('',(-1.,0.,0.)); #39054=DIRECTION('center_axis',(0.,-1.,0.)); #39055=DIRECTION('ref_axis',(0.,0.,1.)); #39056=DIRECTION('',(0.,0.,-1.)); #39057=DIRECTION('',(0.,0.,1.)); #39058=DIRECTION('',(-1.,0.,0.)); #39059=DIRECTION('center_axis',(0.,-1.09273919746564E-16,-1.)); #39060=DIRECTION('ref_axis',(0.,-1.,1.09273919746564E-16)); #39061=DIRECTION('',(0.,1.,-1.09273919746564E-16)); #39062=DIRECTION('',(0.,-1.,1.09273919746564E-16)); #39063=DIRECTION('',(-1.,0.,0.)); #39064=DIRECTION('center_axis',(0.,1.,2.7520105053215E-16)); #39065=DIRECTION('ref_axis',(0.,2.7520105053215E-16,-1.)); #39066=DIRECTION('',(0.,-2.7520105053215E-16,1.)); #39067=DIRECTION('',(0.,2.7520105053215E-16,-1.)); #39068=DIRECTION('',(-1.,0.,0.)); #39069=DIRECTION('center_axis',(-1.,0.,0.)); #39070=DIRECTION('ref_axis',(0.,0.,1.)); #39071=DIRECTION('center_axis',(1.,0.,0.)); #39072=DIRECTION('ref_axis',(0.,0.,1.)); #39073=DIRECTION('center_axis',(-1.,0.,0.)); #39074=DIRECTION('ref_axis',(0.,0.,1.)); #39075=DIRECTION('',(-1.,0.,0.)); #39076=DIRECTION('center_axis',(0.,0.,1.)); #39077=DIRECTION('ref_axis',(0.,1.,0.)); #39078=DIRECTION('',(0.,-1.,0.)); #39079=DIRECTION('',(0.,1.,0.)); #39080=DIRECTION('',(-1.,0.,0.)); #39081=DIRECTION('center_axis',(-1.,0.,0.)); #39082=DIRECTION('ref_axis',(0.,-1.,-2.57886450601906E-14)); #39083=DIRECTION('center_axis',(1.,0.,0.)); #39084=DIRECTION('ref_axis',(0.,-1.,-2.57886450601906E-14)); #39085=DIRECTION('center_axis',(-1.,0.,0.)); #39086=DIRECTION('ref_axis',(0.,-1.,-2.57886450601906E-14)); #39087=DIRECTION('center_axis',(-1.,0.,0.)); #39088=DIRECTION('ref_axis',(0.,0.,1.)); #39089=DIRECTION('center_axis',(-1.,0.,0.)); #39090=DIRECTION('ref_axis',(0.,0.,1.)); #39091=DIRECTION('axis',(0.,0.,1.)); #39092=DIRECTION('refdir',(1.,0.,0.)); #39093=DIRECTION('center_axis',(-1.,-6.99353086378051E-14,0.)); #39094=DIRECTION('ref_axis',(6.99353086378051E-14,-1.,0.)); #39095=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39096=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39097=DIRECTION('',(-1.,-6.99353086378051E-14,0.)); #39098=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #39099=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39100=DIRECTION('center_axis',(6.99353086378051E-14,-1.,4.57052660671069E-46)); #39101=DIRECTION('ref_axis',(-4.7434905086978E-31,-3.36307999352991E-44, -1.)); #39102=DIRECTION('',(4.7434905086978E-31,3.36307999352991E-44,1.)); #39103=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39104=DIRECTION('',(-4.7434905086978E-31,-3.36307999352991E-44,-1.)); #39105=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39106=DIRECTION('center_axis',(0.,0.,1.)); #39107=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39108=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39109=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39110=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39111=DIRECTION('center_axis',(6.99353086378051E-14,-1.,3.24338654088874E-45)); #39112=DIRECTION('ref_axis',(-3.36612705637791E-30,-2.38654521142744E-43, -1.)); #39113=DIRECTION('',(-3.36612705637791E-30,-2.38654521142744E-43,-1.)); #39114=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39115=DIRECTION('',(-3.36612705637791E-30,-2.38654521142744E-43,-1.)); #39116=DIRECTION('center_axis',(0.,0.,-1.)); #39117=DIRECTION('ref_axis',(-7.08988452145791E-14,1.,0.)); #39118=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #39119=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39120=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #39121=DIRECTION('center_axis',(-6.99353086378051E-14,1.,0.)); #39122=DIRECTION('ref_axis',(0.,0.,1.)); #39123=DIRECTION('',(0.,0.,1.)); #39124=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39125=DIRECTION('',(0.,0.,1.)); #39126=DIRECTION('center_axis',(0.,0.,1.)); #39127=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39128=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39129=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39130=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39131=DIRECTION('center_axis',(-6.99353086378051E-14,1.,4.44033705636859E-15)); #39132=DIRECTION('ref_axis',(3.14773034479267E-28,-4.44033705636859E-15, 1.)); #39133=DIRECTION('',(3.14773034479267E-28,-4.44033705636859E-15,1.)); #39134=DIRECTION('',(1.,6.99353086378051E-14,0.)); #39135=DIRECTION('',(3.14773034479267E-28,-4.44033705636859E-15,1.)); #39136=DIRECTION('center_axis',(-1.,-6.99353086378051E-14,0.)); #39137=DIRECTION('ref_axis',(6.99353086378051E-14,-1.,0.)); #39138=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39139=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39140=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #39141=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39142=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39143=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39144=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39145=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39146=DIRECTION('center_axis',(-1.,-7.18623817913532E-14,0.)); #39147=DIRECTION('ref_axis',(7.18623817913532E-14,-1.,0.)); #39148=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39149=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39150=DIRECTION('',(1.,7.18623817913532E-14,0.)); #39151=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #39152=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39153=DIRECTION('center_axis',(-7.18623817913532E-14,1.,-4.57052660671069E-46)); #39154=DIRECTION('ref_axis',(4.7434905086978E-31,3.36307999352991E-44,-1.)); #39155=DIRECTION('',(-4.7434905086978E-31,-3.36307999352991E-44,1.)); #39156=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39157=DIRECTION('',(4.7434905086978E-31,3.36307999352991E-44,-1.)); #39158=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39159=DIRECTION('center_axis',(0.,0.,-1.)); #39160=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39161=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39162=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39163=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39164=DIRECTION('center_axis',(-7.18623817913532E-14,1.,-3.24338654088874E-45)); #39165=DIRECTION('ref_axis',(3.36612705637791E-30,2.38654521142744E-43, -1.)); #39166=DIRECTION('',(3.36612705637791E-30,2.38654521142744E-43,-1.)); #39167=DIRECTION('',(3.36612705637791E-30,2.38654521142744E-43,-1.)); #39168=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39169=DIRECTION('center_axis',(0.,0.,1.)); #39170=DIRECTION('ref_axis',(-7.08988452145791E-14,1.,0.)); #39171=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #39172=DIRECTION('',(-7.08988452145791E-14,1.,0.)); #39173=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39174=DIRECTION('center_axis',(7.18623817913532E-14,-1.,0.)); #39175=DIRECTION('ref_axis',(0.,0.,1.)); #39176=DIRECTION('',(0.,0.,1.)); #39177=DIRECTION('',(0.,0.,1.)); #39178=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39179=DIRECTION('center_axis',(0.,0.,-1.)); #39180=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39181=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39182=DIRECTION('',(7.08988452145791E-14,-1.,0.)); #39183=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39184=DIRECTION('center_axis',(7.18623817913532E-14,-1.,-4.44033705636859E-15)); #39185=DIRECTION('ref_axis',(3.14856504840807E-28,-4.44033705636859E-15, 1.)); #39186=DIRECTION('',(3.14856504840807E-28,-4.44033705636859E-15,1.)); #39187=DIRECTION('',(3.14856504840807E-28,-4.44033705636859E-15,1.)); #39188=DIRECTION('',(-1.,-7.18623817913532E-14,0.)); #39189=DIRECTION('center_axis',(-1.,-7.18623817913532E-14,0.)); #39190=DIRECTION('ref_axis',(7.18623817913532E-14,-1.,0.)); #39191=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39192=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39193=DIRECTION('center_axis',(-1.,-7.08988452145791E-14,0.)); #39194=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39195=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39196=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39197=DIRECTION('center_axis',(1.,7.08988452145791E-14,0.)); #39198=DIRECTION('ref_axis',(7.08988452145791E-14,-1.,0.)); #39199=DIRECTION('center_axis',(1.,0.,0.)); #39200=DIRECTION('ref_axis',(0.,1.,0.)); #39201=DIRECTION('center_axis',(-1.,0.,0.)); #39202=DIRECTION('ref_axis',(0.,1.,0.)); #39203=DIRECTION('center_axis',(1.,0.,0.)); #39204=DIRECTION('ref_axis',(0.,1.,0.)); #39205=DIRECTION('center_axis',(1.,0.,0.)); #39206=DIRECTION('ref_axis',(0.,1.,0.)); #39207=DIRECTION('center_axis',(-1.,0.,0.)); #39208=DIRECTION('ref_axis',(0.,1.,0.)); #39209=DIRECTION('',(1.,0.,0.)); #39210=DIRECTION('center_axis',(1.,0.,0.)); #39211=DIRECTION('ref_axis',(0.,1.,0.)); #39212=DIRECTION('center_axis',(1.,0.,0.)); #39213=DIRECTION('ref_axis',(0.,1.,0.)); #39214=DIRECTION('',(1.,0.,0.)); #39215=DIRECTION('center_axis',(1.,0.,0.)); #39216=DIRECTION('ref_axis',(0.,1.,0.)); #39217=DIRECTION('center_axis',(0.,-2.79741234551221E-14,-1.)); #39218=DIRECTION('ref_axis',(-1.,0.,0.)); #39219=DIRECTION('',(0.,-1.,2.56684437484694E-14)); #39220=DIRECTION('',(1.,0.,0.)); #39221=DIRECTION('',(0.,1.,-2.56684437484694E-14)); #39222=DIRECTION('',(-1.,0.,0.)); #39223=DIRECTION('center_axis',(1.,0.,0.)); #39224=DIRECTION('ref_axis',(0.,1.,0.)); #39225=DIRECTION('center_axis',(-1.,0.,0.)); #39226=DIRECTION('ref_axis',(0.,1.,0.)); #39227=DIRECTION('',(1.,0.,0.)); #39228=DIRECTION('center_axis',(-1.,0.,0.)); #39229=DIRECTION('ref_axis',(0.,1.,0.)); #39230=DIRECTION('center_axis',(1.,0.,0.)); #39231=DIRECTION('ref_axis',(0.,-1.,2.57886450601906E-14)); #39232=DIRECTION('center_axis',(1.,0.,0.)); #39233=DIRECTION('ref_axis',(0.,-1.,2.57886450601906E-14)); #39234=DIRECTION('',(-1.,0.,0.)); #39235=DIRECTION('center_axis',(1.,0.,0.)); #39236=DIRECTION('ref_axis',(0.,-1.,2.57886450601906E-14)); #39237=DIRECTION('',(-1.,0.,0.)); #39238=DIRECTION('center_axis',(0.,1.,0.)); #39239=DIRECTION('ref_axis',(0.,0.,-1.)); #39240=DIRECTION('',(0.,0.,1.)); #39241=DIRECTION('',(-1.,0.,0.)); #39242=DIRECTION('',(0.,0.,-1.)); #39243=DIRECTION('center_axis',(0.,-8.74191357972515E-16,-1.)); #39244=DIRECTION('ref_axis',(0.,-1.,8.74191357972515E-16)); #39245=DIRECTION('',(0.,-1.,8.74191357972515E-16)); #39246=DIRECTION('',(-1.,0.,0.)); #39247=DIRECTION('',(0.,-1.,8.74191357972515E-16)); #39248=DIRECTION('center_axis',(0.,-1.,0.)); #39249=DIRECTION('ref_axis',(0.,0.,1.)); #39250=DIRECTION('',(0.,0.,1.)); #39251=DIRECTION('',(-1.,0.,0.)); #39252=DIRECTION('',(0.,0.,1.)); #39253=DIRECTION('center_axis',(0.,0.,1.)); #39254=DIRECTION('ref_axis',(0.,1.,0.)); #39255=DIRECTION('',(0.,1.,0.)); #39256=DIRECTION('',(-1.,0.,0.)); #39257=DIRECTION('',(0.,1.,0.)); #39258=DIRECTION('center_axis',(0.,-1.,0.)); #39259=DIRECTION('ref_axis',(0.,0.,1.)); #39260=DIRECTION('',(0.,0.,1.)); #39261=DIRECTION('',(-1.,0.,0.)); #39262=DIRECTION('',(0.,0.,1.)); #39263=DIRECTION('center_axis',(0.,0.,-1.)); #39264=DIRECTION('ref_axis',(0.,-1.,0.)); #39265=DIRECTION('',(0.,-1.,0.)); #39266=DIRECTION('',(-1.,0.,0.)); #39267=DIRECTION('',(0.,-1.,0.)); #39268=DIRECTION('center_axis',(0.,-1.,-4.37095701487343E-16)); #39269=DIRECTION('ref_axis',(0.,-4.37095701487343E-16,1.)); #39270=DIRECTION('',(0.,-4.37095701487343E-16,1.)); #39271=DIRECTION('',(-1.,0.,0.)); #39272=DIRECTION('',(0.,-4.37095701487343E-16,1.)); #39273=DIRECTION('center_axis',(0.,-5.82794238648376E-16,-1.)); #39274=DIRECTION('ref_axis',(0.,-1.,5.82794238648376E-16)); #39275=DIRECTION('',(0.,-1.,5.82794238648376E-16)); #39276=DIRECTION('',(-1.,0.,0.)); #39277=DIRECTION('',(0.,-1.,5.82794238648376E-16)); #39278=DIRECTION('center_axis',(0.,1.,0.)); #39279=DIRECTION('ref_axis',(0.,0.,-1.)); #39280=DIRECTION('',(0.,0.,-1.)); #39281=DIRECTION('',(-1.,0.,0.)); #39282=DIRECTION('',(0.,0.,-1.)); #39283=DIRECTION('center_axis',(0.,-4.0795596705392E-15,-1.)); #39284=DIRECTION('ref_axis',(0.,-1.,4.0795596705392E-15)); #39285=DIRECTION('',(0.,-1.,4.0795596705392E-15)); #39286=DIRECTION('',(-1.,0.,0.)); #39287=DIRECTION('',(0.,-1.,4.0795596705392E-15)); #39288=DIRECTION('center_axis',(0.,1.,2.56429465005286E-14)); #39289=DIRECTION('ref_axis',(0.,2.56429465005286E-14,-1.)); #39290=DIRECTION('',(0.,2.56429465005286E-14,-1.)); #39291=DIRECTION('',(-1.,0.,0.)); #39292=DIRECTION('',(0.,2.56429465005286E-14,-1.)); #39293=DIRECTION('center_axis',(0.,-2.50601522618836E-14,1.)); #39294=DIRECTION('ref_axis',(0.,1.,2.50601522618836E-14)); #39295=DIRECTION('',(0.,1.,2.50601522618836E-14)); #39296=DIRECTION('',(-1.,0.,0.)); #39297=DIRECTION('',(0.,1.,2.50601522618836E-14)); #39298=DIRECTION('center_axis',(0.,1.,2.56429459138358E-14)); #39299=DIRECTION('ref_axis',(0.,2.56429459138358E-14,-1.)); #39300=DIRECTION('',(0.,2.56429459138358E-14,-1.)); #39301=DIRECTION('',(-1.,0.,0.)); #39302=DIRECTION('',(0.,2.56429459138358E-14,-1.)); #39303=DIRECTION('center_axis',(0.,2.58760641959879E-14,-1.)); #39304=DIRECTION('ref_axis',(0.,-1.,-2.58760641959879E-14)); #39305=DIRECTION('',(0.,-1.,-2.58760641959879E-14)); #39306=DIRECTION('',(-1.,0.,0.)); #39307=DIRECTION('',(0.,-1.,-2.58760641959879E-14)); #39308=DIRECTION('center_axis',(0.,1.,2.58760641959879E-14)); #39309=DIRECTION('ref_axis',(0.,2.58760641959879E-14,-1.)); #39310=DIRECTION('',(0.,2.79741234551221E-14,1.)); #39311=DIRECTION('',(0.,2.79741234551221E-14,1.)); #39312=DIRECTION('center_axis',(0.,-1.,2.58760641959879E-14)); #39313=DIRECTION('ref_axis',(0.,2.58760641959879E-14,1.)); #39314=DIRECTION('',(0.,-2.58760641959879E-14,-1.)); #39315=DIRECTION('',(-1.,0.,0.)); #39316=DIRECTION('',(0.,2.58760641959879E-14,1.)); #39317=DIRECTION('center_axis',(0.,-2.58760641959879E-14,-1.)); #39318=DIRECTION('ref_axis',(0.,-1.,2.58760641959879E-14)); #39319=DIRECTION('',(0.,1.,-2.58760641959879E-14)); #39320=DIRECTION('',(-1.,0.,0.)); #39321=DIRECTION('',(0.,-1.,2.58760641959879E-14)); #39322=DIRECTION('center_axis',(0.,-1.,2.56429465005286E-14)); #39323=DIRECTION('ref_axis',(0.,2.56429465005286E-14,1.)); #39324=DIRECTION('',(0.,-2.56429465005286E-14,-1.)); #39325=DIRECTION('',(-1.,0.,0.)); #39326=DIRECTION('',(0.,2.56429465005286E-14,1.)); #39327=DIRECTION('center_axis',(0.,2.50601522618836E-14,1.)); #39328=DIRECTION('ref_axis',(0.,1.,-2.50601522618836E-14)); #39329=DIRECTION('',(0.,-1.,2.50601522618836E-14)); #39330=DIRECTION('',(-1.,0.,0.)); #39331=DIRECTION('',(0.,1.,-2.50601522618836E-14)); #39332=DIRECTION('center_axis',(0.,-1.,2.56429465005286E-14)); #39333=DIRECTION('ref_axis',(0.,2.56429465005286E-14,1.)); #39334=DIRECTION('',(0.,-2.56429465005286E-14,-1.)); #39335=DIRECTION('',(-1.,0.,0.)); #39336=DIRECTION('',(0.,2.56429465005286E-14,1.)); #39337=DIRECTION('center_axis',(0.,4.0795596705392E-15,-1.)); #39338=DIRECTION('ref_axis',(0.,-1.,-4.0795596705392E-15)); #39339=DIRECTION('',(0.,1.,4.0795596705392E-15)); #39340=DIRECTION('',(-1.,0.,0.)); #39341=DIRECTION('',(0.,-1.,-4.0795596705392E-15)); #39342=DIRECTION('center_axis',(0.,-1.,0.)); #39343=DIRECTION('ref_axis',(0.,0.,1.)); #39344=DIRECTION('',(0.,0.,-1.)); #39345=DIRECTION('',(-1.,0.,0.)); #39346=DIRECTION('',(0.,0.,1.)); #39347=DIRECTION('center_axis',(0.,0.,-1.)); #39348=DIRECTION('ref_axis',(0.,-1.,0.)); #39349=DIRECTION('',(0.,1.,0.)); #39350=DIRECTION('',(-1.,0.,0.)); #39351=DIRECTION('',(0.,-1.,0.)); #39352=DIRECTION('center_axis',(0.,1.,-4.37095701487343E-16)); #39353=DIRECTION('ref_axis',(0.,-4.37095701487343E-16,-1.)); #39354=DIRECTION('',(0.,4.37095701487343E-16,1.)); #39355=DIRECTION('',(-1.,0.,0.)); #39356=DIRECTION('',(0.,-4.37095701487343E-16,-1.)); #39357=DIRECTION('center_axis',(0.,0.,-1.)); #39358=DIRECTION('ref_axis',(0.,-1.,0.)); #39359=DIRECTION('',(0.,1.,0.)); #39360=DIRECTION('',(-1.,0.,0.)); #39361=DIRECTION('',(0.,-1.,0.)); #39362=DIRECTION('center_axis',(0.,1.,0.)); #39363=DIRECTION('ref_axis',(0.,0.,-1.)); #39364=DIRECTION('',(0.,0.,1.)); #39365=DIRECTION('',(-1.,0.,0.)); #39366=DIRECTION('',(0.,0.,-1.)); #39367=DIRECTION('center_axis',(0.,0.,1.)); #39368=DIRECTION('ref_axis',(0.,1.,0.)); #39369=DIRECTION('',(0.,-1.,0.)); #39370=DIRECTION('',(-1.,0.,0.)); #39371=DIRECTION('',(0.,1.,0.)); #39372=DIRECTION('center_axis',(0.,1.,0.)); #39373=DIRECTION('ref_axis',(0.,0.,-1.)); #39374=DIRECTION('',(0.,0.,1.)); #39375=DIRECTION('',(-1.,0.,0.)); #39376=DIRECTION('',(0.,0.,-1.)); #39377=DIRECTION('center_axis',(0.,1.09273919746564E-16,-1.)); #39378=DIRECTION('ref_axis',(0.,-1.,-1.09273919746564E-16)); #39379=DIRECTION('',(0.,1.,1.09273919746564E-16)); #39380=DIRECTION('',(-1.,0.,0.)); #39381=DIRECTION('',(0.,-1.,-1.09273919746564E-16)); #39382=DIRECTION('center_axis',(0.,-1.,2.7520105053215E-16)); #39383=DIRECTION('ref_axis',(0.,2.7520105053215E-16,1.)); #39384=DIRECTION('',(0.,2.7520105053215E-16,1.)); #39385=DIRECTION('',(-1.,0.,0.)); #39386=DIRECTION('',(0.,2.7520105053215E-16,1.)); #39387=DIRECTION('center_axis',(1.,0.,0.)); #39388=DIRECTION('ref_axis',(0.,0.,-1.)); #39389=DIRECTION('center_axis',(1.,0.,0.)); #39390=DIRECTION('ref_axis',(0.,0.,-1.)); #39391=DIRECTION('',(-1.,0.,0.)); #39392=DIRECTION('center_axis',(1.,0.,0.)); #39393=DIRECTION('ref_axis',(0.,0.,-1.)); #39394=DIRECTION('center_axis',(0.,0.,1.)); #39395=DIRECTION('ref_axis',(0.,1.,0.)); #39396=DIRECTION('',(0.,1.,0.)); #39397=DIRECTION('',(0.,1.,0.)); #39398=DIRECTION('center_axis',(1.,0.,0.)); #39399=DIRECTION('ref_axis',(0.,1.,0.)); #39400=DIRECTION('center_axis',(1.,0.,0.)); #39401=DIRECTION('ref_axis',(0.,1.,0.)); #39402=DIRECTION('center_axis',(0.,-1.,0.)); #39403=DIRECTION('ref_axis',(0.,0.,-1.)); #39404=DIRECTION('',(0.,0.,-1.)); #39405=DIRECTION('',(1.,0.,0.)); #39406=DIRECTION('',(0.,0.,-1.)); #39407=DIRECTION('',(1.,0.,0.)); #39408=DIRECTION('center_axis',(0.,-5.46369598732823E-16,-1.)); #39409=DIRECTION('ref_axis',(0.,1.,-5.46369598732823E-16)); #39410=DIRECTION('',(0.,-1.,5.46369598732823E-16)); #39411=DIRECTION('',(1.,0.,0.)); #39412=DIRECTION('',(0.,1.,-5.46369598732823E-16)); #39413=DIRECTION('center_axis',(0.,1.,6.8125098681678E-13)); #39414=DIRECTION('ref_axis',(0.,-6.8125098681678E-13,1.)); #39415=DIRECTION('',(0.,-6.8125098681678E-13,1.)); #39416=DIRECTION('',(1.,0.,0.)); #39417=DIRECTION('',(0.,-6.8125098681678E-13,1.)); #39418=DIRECTION('center_axis',(-1.,0.,0.)); #39419=DIRECTION('ref_axis',(0.,1.74838271594513E-15,-1.)); #39420=DIRECTION('center_axis',(-1.,0.,0.)); #39421=DIRECTION('ref_axis',(0.,1.74838271594513E-15,-1.)); #39422=DIRECTION('',(1.,0.,0.)); #39423=DIRECTION('center_axis',(-1.,0.,0.)); #39424=DIRECTION('ref_axis',(0.,1.74838271594513E-15,-1.)); #39425=DIRECTION('center_axis',(0.,0.,1.)); #39426=DIRECTION('ref_axis',(0.,-1.,0.)); #39427=DIRECTION('',(0.,-1.,0.)); #39428=DIRECTION('',(1.,0.,0.)); #39429=DIRECTION('',(0.,-1.,0.)); #39430=DIRECTION('center_axis',(-1.,0.,0.)); #39431=DIRECTION('ref_axis',(0.,1.,-2.18547839493153E-15)); #39432=DIRECTION('center_axis',(-1.,0.,0.)); #39433=DIRECTION('ref_axis',(0.,1.,-2.18547839493153E-15)); #39434=DIRECTION('',(1.,0.,0.)); #39435=DIRECTION('center_axis',(-1.,0.,0.)); #39436=DIRECTION('ref_axis',(0.,1.,-2.18547839493153E-15)); #39437=DIRECTION('center_axis',(0.,-1.,0.)); #39438=DIRECTION('ref_axis',(0.,0.,-1.)); #39439=DIRECTION('',(0.,0.,-1.)); #39440=DIRECTION('',(1.,0.,0.)); #39441=DIRECTION('',(0.,0.,-1.)); #39442=DIRECTION('center_axis',(0.,2.18547839493129E-16,-1.)); #39443=DIRECTION('ref_axis',(0.,1.,2.18547839493129E-16)); #39444=DIRECTION('',(0.,1.,2.18547839493129E-16)); #39445=DIRECTION('',(1.,0.,0.)); #39446=DIRECTION('',(0.,1.,2.18547839493129E-16)); #39447=DIRECTION('center_axis',(0.,1.,0.)); #39448=DIRECTION('ref_axis',(0.,0.,1.)); #39449=DIRECTION('',(0.,0.,1.)); #39450=DIRECTION('',(1.,0.,0.)); #39451=DIRECTION('',(0.,0.,1.)); #39452=DIRECTION('center_axis',(0.,0.,1.)); #39453=DIRECTION('ref_axis',(0.,-1.,0.)); #39454=DIRECTION('',(0.,-1.,0.)); #39455=DIRECTION('',(1.,0.,0.)); #39456=DIRECTION('',(0.,-1.,0.)); #39457=DIRECTION('center_axis',(0.,1.,0.)); #39458=DIRECTION('ref_axis',(0.,0.,1.)); #39459=DIRECTION('',(0.,0.,1.)); #39460=DIRECTION('',(1.,0.,0.)); #39461=DIRECTION('',(0.,0.,1.)); #39462=DIRECTION('center_axis',(0.,0.,-1.)); #39463=DIRECTION('ref_axis',(0.,1.,0.)); #39464=DIRECTION('',(0.,1.,0.)); #39465=DIRECTION('',(1.,0.,0.)); #39466=DIRECTION('',(0.,1.,0.)); #39467=DIRECTION('center_axis',(0.,1.,-3.19143090490764E-8)); #39468=DIRECTION('ref_axis',(0.,3.19143090490764E-8,0.999999999999999)); #39469=DIRECTION('',(0.,3.19143090490764E-8,0.999999999999999)); #39470=DIRECTION('',(1.,0.,0.)); #39471=DIRECTION('',(0.,3.19143090490764E-8,0.999999999999999)); #39472=DIRECTION('center_axis',(0.,0.,-1.)); #39473=DIRECTION('ref_axis',(0.,1.,0.)); #39474=DIRECTION('',(0.,1.,0.)); #39475=DIRECTION('',(1.,0.,0.)); #39476=DIRECTION('',(0.,1.,0.)); #39477=DIRECTION('center_axis',(0.,-1.,0.)); #39478=DIRECTION('ref_axis',(0.,0.,-1.)); #39479=DIRECTION('',(0.,0.,1.)); #39480=DIRECTION('',(1.,0.,0.)); #39481=DIRECTION('',(0.,0.,-1.)); #39482=DIRECTION('center_axis',(0.,0.,-1.)); #39483=DIRECTION('ref_axis',(0.,1.,0.)); #39484=DIRECTION('',(0.,1.,0.)); #39485=DIRECTION('',(1.,0.,0.)); #39486=DIRECTION('',(0.,1.,0.)); #39487=DIRECTION('center_axis',(0.,-1.,0.)); #39488=DIRECTION('ref_axis',(0.,0.,-1.)); #39489=DIRECTION('',(0.,0.,-1.)); #39490=DIRECTION('',(1.,0.,0.)); #39491=DIRECTION('',(0.,0.,-1.)); #39492=DIRECTION('center_axis',(0.,0.,1.)); #39493=DIRECTION('ref_axis',(0.,-1.,0.)); #39494=DIRECTION('',(0.,-1.,0.)); #39495=DIRECTION('',(0.,-1.,0.)); #39496=DIRECTION('center_axis',(-1.,0.,0.)); #39497=DIRECTION('ref_axis',(0.,1.,0.)); #39498=DIRECTION('center_axis',(-1.,0.,0.)); #39499=DIRECTION('ref_axis',(0.,1.,0.)); #39500=DIRECTION('center_axis',(0.,-1.,8.22439229580588E-13)); #39501=DIRECTION('ref_axis',(0.,8.22439229580588E-13,1.)); #39502=DIRECTION('',(0.,-8.22439229580588E-13,-1.)); #39503=DIRECTION('',(-1.,0.,0.)); #39504=DIRECTION('',(0.,8.22439229580588E-13,1.)); #39505=DIRECTION('',(-1.,0.,0.)); #39506=DIRECTION('center_axis',(0.,-8.22439229580591E-13,-1.)); #39507=DIRECTION('ref_axis',(0.,-1.,8.22439229580591E-13)); #39508=DIRECTION('',(0.,1.,-8.22439229580591E-13)); #39509=DIRECTION('',(-1.,0.,0.)); #39510=DIRECTION('',(0.,-1.,8.22439229580591E-13)); #39511=DIRECTION('center_axis',(0.,-1.,8.20574288016914E-13)); #39512=DIRECTION('ref_axis',(0.,8.20574288016914E-13,1.)); #39513=DIRECTION('',(0.,-8.20574288016914E-13,-1.)); #39514=DIRECTION('',(-1.,0.,0.)); #39515=DIRECTION('',(0.,8.20574288016914E-13,1.)); #39516=DIRECTION('center_axis',(0.,8.22905464971507E-13,1.)); #39517=DIRECTION('ref_axis',(0.,1.,-8.22905464971507E-13)); #39518=DIRECTION('',(0.,-1.,8.22905464971507E-13)); #39519=DIRECTION('',(-1.,0.,0.)); #39520=DIRECTION('',(0.,1.,-8.22905464971507E-13)); #39521=DIRECTION('center_axis',(0.,-1.,8.20574288016914E-13)); #39522=DIRECTION('ref_axis',(0.,8.20574288016914E-13,1.)); #39523=DIRECTION('',(0.,-8.20574288016914E-13,-1.)); #39524=DIRECTION('',(-1.,0.,0.)); #39525=DIRECTION('',(0.,8.20574288016914E-13,1.)); #39526=DIRECTION('center_axis',(0.,-8.22904677094303E-13,-1.)); #39527=DIRECTION('ref_axis',(0.,-1.,8.22904677094303E-13)); #39528=DIRECTION('',(0.,1.,-8.22904677094303E-13)); #39529=DIRECTION('',(-1.,0.,0.)); #39530=DIRECTION('',(0.,-1.,8.22904677094303E-13)); #39531=DIRECTION('center_axis',(0.,-1.,8.2173987649421E-13)); #39532=DIRECTION('ref_axis',(0.,8.2173987649421E-13,1.)); #39533=DIRECTION('',(0.,-8.2173987649421E-13,-1.)); #39534=DIRECTION('',(-1.,0.,0.)); #39535=DIRECTION('',(0.,8.2173987649421E-13,1.)); #39536=DIRECTION('center_axis',(0.,-8.2173985026896E-13,-1.)); #39537=DIRECTION('ref_axis',(0.,-1.,8.2173985026896E-13)); #39538=DIRECTION('',(0.,1.,-8.2173985026896E-13)); #39539=DIRECTION('',(-1.,0.,0.)); #39540=DIRECTION('',(0.,-1.,8.2173985026896E-13)); #39541=DIRECTION('center_axis',(0.,1.,-8.2173987649421E-13)); #39542=DIRECTION('ref_axis',(0.,-8.2173987649421E-13,-1.)); #39543=DIRECTION('',(0.,8.2173987649421E-13,1.)); #39544=DIRECTION('',(-1.,0.,0.)); #39545=DIRECTION('',(0.,-8.2173987649421E-13,-1.)); #39546=DIRECTION('center_axis',(0.,-8.22905464971507E-13,-1.)); #39547=DIRECTION('ref_axis',(0.,-1.,8.22905464971507E-13)); #39548=DIRECTION('',(0.,1.,-8.22905464971507E-13)); #39549=DIRECTION('',(-1.,0.,0.)); #39550=DIRECTION('',(0.,-1.,8.22905464971507E-13)); #39551=DIRECTION('center_axis',(0.,1.,-8.18957242147792E-13)); #39552=DIRECTION('ref_axis',(0.,-8.18957242147792E-13,-1.)); #39553=DIRECTION('',(0.,8.18957242147792E-13,1.)); #39554=DIRECTION('',(-1.,0.,0.)); #39555=DIRECTION('',(0.,-8.18957242147792E-13,-1.)); #39556=DIRECTION('center_axis',(0.,8.22905464971507E-13,1.)); #39557=DIRECTION('ref_axis',(0.,1.,-8.22905464971507E-13)); #39558=DIRECTION('',(0.,-1.,8.22905464971507E-13)); #39559=DIRECTION('',(-1.,0.,0.)); #39560=DIRECTION('',(0.,1.,-8.22905464971507E-13)); #39561=DIRECTION('center_axis',(0.,1.,-8.20574288016914E-13)); #39562=DIRECTION('ref_axis',(0.,-8.20574288016914E-13,-1.)); #39563=DIRECTION('',(0.,8.20574288016914E-13,1.)); #39564=DIRECTION('',(-1.,0.,0.)); #39565=DIRECTION('',(0.,-8.20574288016914E-13,-1.)); #39566=DIRECTION('center_axis',(0.,-8.22176945933997E-13,-1.)); #39567=DIRECTION('ref_axis',(0.,-1.,8.22176945933997E-13)); #39568=DIRECTION('',(0.,1.,-8.22176945933997E-13)); #39569=DIRECTION('',(-1.,0.,0.)); #39570=DIRECTION('',(0.,-1.,8.22176945933997E-13)); #39571=DIRECTION('center_axis',(0.,-1.,8.21361917133657E-13)); #39572=DIRECTION('ref_axis',(0.,8.21361917133657E-13,1.)); #39573=DIRECTION('',(0.,-8.21361917133657E-13,-1.)); #39574=DIRECTION('',(-1.,0.,0.)); #39575=DIRECTION('',(0.,8.21361917133657E-13,1.)); #39576=DIRECTION('center_axis',(0.,8.24614873095139E-13,1.)); #39577=DIRECTION('ref_axis',(0.,1.,-8.24614873095139E-13)); #39578=DIRECTION('',(0.,-1.,8.24614873095139E-13)); #39579=DIRECTION('',(-1.,0.,0.)); #39580=DIRECTION('',(0.,1.,-8.24614873095139E-13)); #39581=DIRECTION('center_axis',(0.,1.,-8.27727343788067E-13)); #39582=DIRECTION('ref_axis',(0.,-8.27727343788067E-13,-1.)); #39583=DIRECTION('',(0.,-8.27727343788067E-13,-1.)); #39584=DIRECTION('',(-1.,0.,0.)); #39585=DIRECTION('',(0.,-8.27727343788067E-13,-1.)); #39586=DIRECTION('center_axis',(1.,0.,0.)); #39587=DIRECTION('ref_axis',(0.,1.,-8.21658556367883E-13)); #39588=DIRECTION('center_axis',(1.,0.,0.)); #39589=DIRECTION('ref_axis',(0.,1.,-8.21658556367883E-13)); #39590=DIRECTION('',(-1.,0.,0.)); #39591=DIRECTION('center_axis',(-1.,0.,0.)); #39592=DIRECTION('ref_axis',(0.,1.,-8.21658556367883E-13)); #39593=DIRECTION('center_axis',(0.,-1.,-3.83207103605587E-15)); #39594=DIRECTION('ref_axis',(0.,-3.83207103605587E-15,1.)); #39595=DIRECTION('',(0.,3.83207103605587E-15,-1.)); #39596=DIRECTION('',(-1.,0.,0.)); #39597=DIRECTION('',(0.,-3.83207103605587E-15,1.)); #39598=DIRECTION('center_axis',(0.,-2.4865883847573E-15,1.)); #39599=DIRECTION('ref_axis',(0.,1.,2.4865883847573E-15)); #39600=DIRECTION('',(0.,1.,2.4865883847573E-15)); #39601=DIRECTION('',(-1.,0.,0.)); #39602=DIRECTION('',(0.,1.,2.4865883847573E-15)); #39603=DIRECTION('center_axis',(0.,1.,0.)); #39604=DIRECTION('ref_axis',(0.,0.,-1.)); #39605=DIRECTION('',(0.,0.,-1.)); #39606=DIRECTION('',(-1.,0.,0.)); #39607=DIRECTION('',(0.,0.,-1.)); #39608=DIRECTION('center_axis',(0.,0.,-1.)); #39609=DIRECTION('ref_axis',(0.,-1.,0.)); #39610=DIRECTION('',(0.,-1.,0.)); #39611=DIRECTION('',(-1.,0.,0.)); #39612=DIRECTION('',(0.,-1.,0.)); #39613=DIRECTION('center_axis',(0.,-1.,0.)); #39614=DIRECTION('ref_axis',(0.,0.,1.)); #39615=DIRECTION('',(0.,0.,1.)); #39616=DIRECTION('',(-1.,0.,0.)); #39617=DIRECTION('',(0.,0.,1.)); #39618=DIRECTION('center_axis',(0.,0.,1.)); #39619=DIRECTION('ref_axis',(0.,1.,0.)); #39620=DIRECTION('',(0.,1.,0.)); #39621=DIRECTION('',(-1.,0.,0.)); #39622=DIRECTION('',(0.,1.,0.)); #39623=DIRECTION('center_axis',(0.,-1.,0.)); #39624=DIRECTION('ref_axis',(0.,0.,1.)); #39625=DIRECTION('',(0.,0.,1.)); #39626=DIRECTION('',(-1.,0.,0.)); #39627=DIRECTION('',(0.,0.,1.)); #39628=DIRECTION('center_axis',(0.,0.,-1.)); #39629=DIRECTION('ref_axis',(0.,-1.,0.)); #39630=DIRECTION('',(0.,-1.,0.)); #39631=DIRECTION('',(-1.,0.,0.)); #39632=DIRECTION('',(0.,-1.,0.)); #39633=DIRECTION('center_axis',(0.,-1.,0.)); #39634=DIRECTION('ref_axis',(0.,0.,1.)); #39635=DIRECTION('',(0.,0.,1.)); #39636=DIRECTION('',(-1.,0.,0.)); #39637=DIRECTION('',(0.,0.,1.)); #39638=DIRECTION('center_axis',(0.,0.,-1.)); #39639=DIRECTION('ref_axis',(0.,-1.,0.)); #39640=DIRECTION('',(0.,-1.,0.)); #39641=DIRECTION('',(-1.,0.,0.)); #39642=DIRECTION('',(0.,-1.,0.)); #39643=DIRECTION('center_axis',(0.,1.,0.)); #39644=DIRECTION('ref_axis',(0.,0.,-1.)); #39645=DIRECTION('',(0.,0.,-1.)); #39646=DIRECTION('',(-1.,0.,0.)); #39647=DIRECTION('',(0.,0.,-1.)); #39648=DIRECTION('center_axis',(0.,0.,-1.)); #39649=DIRECTION('ref_axis',(0.,-1.,0.)); #39650=DIRECTION('',(0.,-1.,0.)); #39651=DIRECTION('',(-1.,0.,0.)); #39652=DIRECTION('',(0.,-1.,0.)); #39653=DIRECTION('center_axis',(0.,1.,0.)); #39654=DIRECTION('ref_axis',(0.,0.,-1.)); #39655=DIRECTION('',(0.,0.,-1.)); #39656=DIRECTION('',(-1.,0.,0.)); #39657=DIRECTION('',(0.,0.,-1.)); #39658=DIRECTION('center_axis',(0.,0.,1.)); #39659=DIRECTION('ref_axis',(0.,1.,0.)); #39660=DIRECTION('',(0.,1.,0.)); #39661=DIRECTION('',(-1.,0.,0.)); #39662=DIRECTION('',(0.,1.,0.)); #39663=DIRECTION('center_axis',(0.,1.,0.)); #39664=DIRECTION('ref_axis',(0.,0.,-1.)); #39665=DIRECTION('',(0.,0.,-1.)); #39666=DIRECTION('',(-1.,0.,0.)); #39667=DIRECTION('',(0.,0.,-1.)); #39668=DIRECTION('center_axis',(0.,0.,-1.)); #39669=DIRECTION('ref_axis',(0.,-1.,0.)); #39670=DIRECTION('',(0.,-1.,0.)); #39671=DIRECTION('',(-1.,0.,0.)); #39672=DIRECTION('',(0.,-1.,0.)); #39673=DIRECTION('center_axis',(0.,1.,0.)); #39674=DIRECTION('ref_axis',(0.,0.,-1.)); #39675=DIRECTION('',(0.,0.,-1.)); #39676=DIRECTION('',(-1.,0.,0.)); #39677=DIRECTION('',(0.,0.,-1.)); #39678=DIRECTION('center_axis',(0.,-4.10957357382903E-13,-1.)); #39679=DIRECTION('ref_axis',(0.,-1.,4.10957357382903E-13)); #39680=DIRECTION('',(0.,-1.,4.10957357382903E-13)); #39681=DIRECTION('',(0.,-1.,4.10957357382903E-13)); #39682=DIRECTION('center_axis',(1.,0.,0.)); #39683=DIRECTION('ref_axis',(0.,-1.,0.)); #39684=DIRECTION('center_axis',(1.,0.,0.)); #39685=DIRECTION('ref_axis',(0.,-1.,0.)); #39686=DIRECTION('',(0.,0.,1.)); #39687=DIRECTION('',(1.,0.,0.)); #39688=DIRECTION('',(0.,0.,1.)); #39689=DIRECTION('',(1.,0.,0.)); #39690=DIRECTION('',(0.,0.,-1.)); #39691=DIRECTION('',(-1.,0.,0.)); #39692=DIRECTION('axis',(0.,0.,1.)); #39693=DIRECTION('refdir',(1.,0.,0.)); #39694=DIRECTION('axis',(0.,0.,1.)); #39695=DIRECTION('refdir',(1.,0.,0.)); #39696=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #39697=DIRECTION('ref_axis',(1.,0.,0.)); #39698=DIRECTION('center_axis',(0.,0.,1.)); #39699=DIRECTION('ref_axis',(0.,-1.,0.)); #39700=DIRECTION('center_axis',(-1.22464679914735E-16,1.,0.)); #39701=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #39702=DIRECTION('center_axis',(0.,0.,-1.)); #39703=DIRECTION('ref_axis',(-1.,0.,0.)); #39704=DIRECTION('center_axis',(0.,0.,1.)); #39705=DIRECTION('ref_axis',(1.,0.,0.)); #39706=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #39707=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #39708=DIRECTION('center_axis',(0.,0.,-1.)); #39709=DIRECTION('ref_axis',(1.,0.,0.)); #39710=DIRECTION('center_axis',(0.,0.,-1.)); #39711=DIRECTION('ref_axis',(1.,0.,0.)); #39712=DIRECTION('center_axis',(0.,0.,-1.)); #39713=DIRECTION('ref_axis',(1.,0.,0.)); #39714=DIRECTION('',(0.,0.,1.)); #39715=DIRECTION('center_axis',(0.,0.,1.)); #39716=DIRECTION('ref_axis',(1.,0.,0.)); #39717=DIRECTION('center_axis',(0.,0.,-1.)); #39718=DIRECTION('ref_axis',(1.,0.,0.)); #39719=DIRECTION('center_axis',(0.,0.,-1.)); #39720=DIRECTION('ref_axis',(1.,0.,0.)); #39721=DIRECTION('center_axis',(0.,0.,1.)); #39722=DIRECTION('ref_axis',(1.,0.,0.)); #39723=DIRECTION('',(0.,0.,1.)); #39724=DIRECTION('center_axis',(0.,0.,-1.)); #39725=DIRECTION('ref_axis',(1.,0.,0.)); #39726=DIRECTION('center_axis',(0.,0.,-1.)); #39727=DIRECTION('ref_axis',(1.,0.,0.)); #39728=DIRECTION('center_axis',(0.,0.,1.)); #39729=DIRECTION('ref_axis',(1.,0.,0.)); #39730=DIRECTION('',(0.,0.,1.)); #39731=DIRECTION('center_axis',(0.,0.,-1.)); #39732=DIRECTION('ref_axis',(1.,0.,0.)); #39733=DIRECTION('center_axis',(-0.680849909064104,-0.732422966138693,0.)); #39734=DIRECTION('ref_axis',(-0.732422966138693,0.680849909064104,0.)); #39735=DIRECTION('',(0.732422966138693,-0.680849909064104,0.)); #39736=DIRECTION('',(0.,0.,-1.)); #39737=DIRECTION('',(-0.732422966138693,0.680849909064104,0.)); #39738=DIRECTION('',(0.,0.,-1.)); #39739=DIRECTION('center_axis',(0.,0.,-1.)); #39740=DIRECTION('ref_axis',(-0.680852218589547,-0.732420819230101,0.)); #39741=DIRECTION('center_axis',(0.,0.,1.)); #39742=DIRECTION('ref_axis',(-0.680852218589547,-0.732420819230101,0.)); #39743=DIRECTION('center_axis',(0.,0.,-1.)); #39744=DIRECTION('ref_axis',(-0.680852218589547,-0.732420819230101,0.)); #39745=DIRECTION('',(0.,0.,-1.)); #39746=DIRECTION('center_axis',(0.680851063829782,-0.732421892683346,0.)); #39747=DIRECTION('ref_axis',(-0.732421892683346,-0.680851063829782,0.)); #39748=DIRECTION('',(-0.732421892683346,-0.680851063829782,0.)); #39749=DIRECTION('',(-0.732421892683346,-0.680851063829782,0.)); #39750=DIRECTION('',(0.,0.,-1.)); #39751=DIRECTION('center_axis',(0.,0.,-1.)); #39752=DIRECTION('ref_axis',(0.680851063829684,-0.732421892683436,0.)); #39753=DIRECTION('center_axis',(0.,0.,1.)); #39754=DIRECTION('ref_axis',(0.680851063829684,-0.732421892683436,0.)); #39755=DIRECTION('center_axis',(0.,0.,-1.)); #39756=DIRECTION('ref_axis',(0.680851063829684,-0.732421892683436,0.)); #39757=DIRECTION('',(0.,0.,-1.)); #39758=DIRECTION('center_axis',(0.680851063829782,0.732421892683346,0.)); #39759=DIRECTION('ref_axis',(0.732421892683346,-0.680851063829782,0.)); #39760=DIRECTION('',(-0.732421892683346,0.680851063829782,0.)); #39761=DIRECTION('',(0.732421892683346,-0.680851063829782,0.)); #39762=DIRECTION('',(0.,0.,-1.)); #39763=DIRECTION('center_axis',(0.,0.,-1.)); #39764=DIRECTION('ref_axis',(0.680852218589547,0.732420819230101,0.)); #39765=DIRECTION('center_axis',(0.,0.,1.)); #39766=DIRECTION('ref_axis',(0.680852218589547,0.732420819230101,0.)); #39767=DIRECTION('center_axis',(0.,0.,-1.)); #39768=DIRECTION('ref_axis',(0.680852218589547,0.732420819230101,0.)); #39769=DIRECTION('',(0.,0.,-1.)); #39770=DIRECTION('center_axis',(-0.680852218589547,0.732420819230101,0.)); #39771=DIRECTION('ref_axis',(0.732420819230101,0.680852218589547,0.)); #39772=DIRECTION('',(0.732420819230101,0.680852218589547,0.)); #39773=DIRECTION('',(0.732420819230101,0.680852218589547,0.)); #39774=DIRECTION('',(0.,0.,-1.)); #39775=DIRECTION('center_axis',(0.,0.,-1.)); #39776=DIRECTION('ref_axis',(-0.680852218589547,0.732420819230101,0.)); #39777=DIRECTION('center_axis',(0.,0.,1.)); #39778=DIRECTION('ref_axis',(-0.680852218589547,0.732420819230101,0.)); #39779=DIRECTION('center_axis',(0.,0.,-1.)); #39780=DIRECTION('ref_axis',(-0.680852218589547,0.732420819230101,0.)); #39781=DIRECTION('center_axis',(0.,0.,1.)); #39782=DIRECTION('ref_axis',(1.,0.,0.)); #39783=DIRECTION('center_axis',(0.,0.,1.)); #39784=DIRECTION('ref_axis',(1.,0.,0.)); #39785=DIRECTION('axis',(0.,0.,1.)); #39786=DIRECTION('refdir',(1.,0.,0.)); #39787=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #39788=DIRECTION('ref_axis',(1.,0.,0.)); #39789=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #39790=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #39791=DIRECTION('',(0.,0.,1.)); #39792=DIRECTION('',(1.,0.,0.)); #39793=DIRECTION('',(0.,0.,1.)); #39794=DIRECTION('',(1.,0.,0.)); #39795=DIRECTION('axis',(0.,0.,1.)); #39796=DIRECTION('refdir',(1.,0.,0.)); #39797=DIRECTION('axis',(0.,0.,1.)); #39798=DIRECTION('refdir',(1.,0.,0.)); #39799=DIRECTION('center_axis',(0.,0.,1.)); #39800=DIRECTION('ref_axis',(-1.,0.,0.)); #39801=DIRECTION('center_axis',(0.,0.,-1.)); #39802=DIRECTION('ref_axis',(-1.,0.,0.)); #39803=DIRECTION('',(0.,0.,-1.)); #39804=DIRECTION('center_axis',(0.,0.,-1.)); #39805=DIRECTION('ref_axis',(-1.,0.,0.)); #39806=DIRECTION('center_axis',(6.99353086378052E-16,-1.,0.)); #39807=DIRECTION('ref_axis',(1.,6.99353086378052E-16,0.)); #39808=DIRECTION('',(1.,6.99353086378052E-16,0.)); #39809=DIRECTION('',(0.,0.,1.)); #39810=DIRECTION('',(1.,6.99353086378052E-16,0.)); #39811=DIRECTION('',(0.,0.,1.)); #39812=DIRECTION('center_axis',(0.,0.,1.)); #39813=DIRECTION('ref_axis',(-1.,3.49676543189026E-15,0.)); #39814=DIRECTION('center_axis',(0.,0.,1.)); #39815=DIRECTION('ref_axis',(-1.,3.49676543189026E-15,0.)); #39816=DIRECTION('center_axis',(0.,0.,1.)); #39817=DIRECTION('ref_axis',(-1.,3.49676543189026E-15,0.)); #39818=DIRECTION('',(0.,0.,1.)); #39819=DIRECTION('center_axis',(-1.,0.,0.)); #39820=DIRECTION('ref_axis',(0.,-1.,0.)); #39821=DIRECTION('',(0.,-1.,0.)); #39822=DIRECTION('',(0.,-1.,0.)); #39823=DIRECTION('',(0.,0.,1.)); #39824=DIRECTION('center_axis',(0.,1.,0.)); #39825=DIRECTION('ref_axis',(-1.,0.,0.)); #39826=DIRECTION('',(-1.,0.,0.)); #39827=DIRECTION('',(-1.,0.,0.)); #39828=DIRECTION('',(0.,0.,1.)); #39829=DIRECTION('center_axis',(1.,1.554117969729E-15,0.)); #39830=DIRECTION('ref_axis',(-1.554117969729E-15,1.,0.)); #39831=DIRECTION('',(-1.554117969729E-15,1.,0.)); #39832=DIRECTION('',(-1.554117969729E-15,1.,0.)); #39833=DIRECTION('',(0.,0.,1.)); #39834=DIRECTION('center_axis',(-1.3987061727561E-15,1.,0.)); #39835=DIRECTION('ref_axis',(-1.,-1.3987061727561E-15,0.)); #39836=DIRECTION('',(-1.,-1.3987061727561E-15,0.)); #39837=DIRECTION('',(-1.,-1.3987061727561E-15,0.)); #39838=DIRECTION('',(0.,0.,1.)); #39839=DIRECTION('center_axis',(-1.,0.,0.)); #39840=DIRECTION('ref_axis',(0.,-1.,0.)); #39841=DIRECTION('',(0.,-1.,0.)); #39842=DIRECTION('',(0.,-1.,0.)); #39843=DIRECTION('',(0.,0.,1.)); #39844=DIRECTION('center_axis',(0.,1.,0.)); #39845=DIRECTION('ref_axis',(-1.,0.,0.)); #39846=DIRECTION('',(-1.,0.,0.)); #39847=DIRECTION('',(-1.,0.,0.)); #39848=DIRECTION('',(0.,0.,1.)); #39849=DIRECTION('center_axis',(1.,0.,0.)); #39850=DIRECTION('ref_axis',(0.,1.,0.)); #39851=DIRECTION('',(0.,1.,0.)); #39852=DIRECTION('',(0.,1.,0.)); #39853=DIRECTION('',(0.,0.,1.)); #39854=DIRECTION('center_axis',(0.,0.,1.)); #39855=DIRECTION('ref_axis',(-1.74838271594513E-15,-1.,0.)); #39856=DIRECTION('center_axis',(0.,0.,1.)); #39857=DIRECTION('ref_axis',(-1.74838271594513E-15,-1.,0.)); #39858=DIRECTION('center_axis',(0.,0.,1.)); #39859=DIRECTION('ref_axis',(-1.74838271594513E-15,-1.,0.)); #39860=DIRECTION('center_axis',(0.,0.,1.)); #39861=DIRECTION('ref_axis',(-1.,0.,0.)); #39862=DIRECTION('center_axis',(0.,0.,1.)); #39863=DIRECTION('ref_axis',(-1.,0.,0.)); #39864=DIRECTION('center_axis',(0.,0.,1.)); #39865=DIRECTION('ref_axis',(-1.,0.,0.)); #39866=DIRECTION('center_axis',(0.,0.,-1.)); #39867=DIRECTION('ref_axis',(-1.,0.,0.)); #39868=DIRECTION('',(0.,0.,-1.)); #39869=DIRECTION('center_axis',(0.,0.,-1.)); #39870=DIRECTION('ref_axis',(-1.,0.,0.)); #39871=DIRECTION('center_axis',(6.99353086378052E-16,1.,0.)); #39872=DIRECTION('ref_axis',(-1.,6.99353086378052E-16,0.)); #39873=DIRECTION('',(1.,-6.99353086378052E-16,0.)); #39874=DIRECTION('',(0.,0.,1.)); #39875=DIRECTION('',(-1.,6.99353086378052E-16,0.)); #39876=DIRECTION('',(0.,0.,1.)); #39877=DIRECTION('center_axis',(0.,0.,1.)); #39878=DIRECTION('ref_axis',(1.,1.74838271594513E-15,0.)); #39879=DIRECTION('center_axis',(0.,0.,1.)); #39880=DIRECTION('ref_axis',(1.,1.74838271594513E-15,0.)); #39881=DIRECTION('center_axis',(0.,0.,1.)); #39882=DIRECTION('ref_axis',(1.,1.74838271594513E-15,0.)); #39883=DIRECTION('',(0.,0.,1.)); #39884=DIRECTION('center_axis',(1.,0.,0.)); #39885=DIRECTION('ref_axis',(0.,1.,0.)); #39886=DIRECTION('',(0.,-1.,0.)); #39887=DIRECTION('',(0.,1.,0.)); #39888=DIRECTION('',(0.,0.,1.)); #39889=DIRECTION('center_axis',(0.,-1.,0.)); #39890=DIRECTION('ref_axis',(1.,0.,0.)); #39891=DIRECTION('',(-1.,0.,0.)); #39892=DIRECTION('',(1.,0.,0.)); #39893=DIRECTION('',(0.,0.,1.)); #39894=DIRECTION('center_axis',(-1.,0.,0.)); #39895=DIRECTION('ref_axis',(0.,-1.,0.)); #39896=DIRECTION('',(0.,1.,0.)); #39897=DIRECTION('',(0.,-1.,0.)); #39898=DIRECTION('',(0.,0.,1.)); #39899=DIRECTION('center_axis',(-1.3987061727561E-15,-1.,0.)); #39900=DIRECTION('ref_axis',(1.,-1.3987061727561E-15,0.)); #39901=DIRECTION('',(-1.,1.3987061727561E-15,0.)); #39902=DIRECTION('',(1.,-1.3987061727561E-15,0.)); #39903=DIRECTION('',(0.,0.,1.)); #39904=DIRECTION('center_axis',(1.,-1.554117969729E-15,0.)); #39905=DIRECTION('ref_axis',(1.554117969729E-15,1.,0.)); #39906=DIRECTION('',(-1.554117969729E-15,-1.,0.)); #39907=DIRECTION('',(1.554117969729E-15,1.,0.)); #39908=DIRECTION('',(0.,0.,1.)); #39909=DIRECTION('center_axis',(0.,-1.,0.)); #39910=DIRECTION('ref_axis',(1.,0.,0.)); #39911=DIRECTION('',(-1.,0.,0.)); #39912=DIRECTION('',(1.,0.,0.)); #39913=DIRECTION('',(0.,0.,1.)); #39914=DIRECTION('center_axis',(-1.,0.,0.)); #39915=DIRECTION('ref_axis',(0.,-1.,0.)); #39916=DIRECTION('',(0.,1.,0.)); #39917=DIRECTION('',(0.,-1.,0.)); #39918=DIRECTION('',(0.,0.,1.)); #39919=DIRECTION('center_axis',(0.,0.,1.)); #39920=DIRECTION('ref_axis',(1.74838271594513E-15,1.,0.)); #39921=DIRECTION('center_axis',(0.,0.,1.)); #39922=DIRECTION('ref_axis',(1.74838271594513E-15,1.,0.)); #39923=DIRECTION('center_axis',(0.,0.,1.)); #39924=DIRECTION('ref_axis',(1.74838271594513E-15,1.,0.)); #39925=DIRECTION('center_axis',(0.,0.,1.)); #39926=DIRECTION('ref_axis',(-1.,0.,0.)); #39927=DIRECTION('center_axis',(0.,0.,1.)); #39928=DIRECTION('ref_axis',(-1.,0.,0.)); #39929=DIRECTION('center_axis',(0.,0.,1.)); #39930=DIRECTION('ref_axis',(-1.,0.,0.)); #39931=DIRECTION('center_axis',(0.,0.,-1.)); #39932=DIRECTION('ref_axis',(-1.,0.,0.)); #39933=DIRECTION('',(0.,0.,-1.)); #39934=DIRECTION('center_axis',(0.,0.,1.)); #39935=DIRECTION('ref_axis',(-1.,0.,0.)); #39936=DIRECTION('center_axis',(0.,0.,1.)); #39937=DIRECTION('ref_axis',(-1.,0.,0.)); #39938=DIRECTION('center_axis',(0.,0.,-1.)); #39939=DIRECTION('ref_axis',(-1.,0.,0.)); #39940=DIRECTION('',(0.,0.,-1.)); #39941=DIRECTION('center_axis',(0.,0.,1.)); #39942=DIRECTION('ref_axis',(-1.,0.,0.)); #39943=DIRECTION('center_axis',(0.,0.,1.)); #39944=DIRECTION('ref_axis',(-1.,0.,0.)); #39945=DIRECTION('center_axis',(0.,0.,-1.)); #39946=DIRECTION('ref_axis',(-1.,0.,0.)); #39947=DIRECTION('',(0.,0.,-1.)); #39948=DIRECTION('center_axis',(0.,0.,1.)); #39949=DIRECTION('ref_axis',(-1.,0.,0.)); #39950=DIRECTION('center_axis',(0.,0.,1.)); #39951=DIRECTION('ref_axis',(-1.,3.49676543189026E-15,0.)); #39952=DIRECTION('center_axis',(0.,0.,-1.)); #39953=DIRECTION('ref_axis',(-1.,3.49676543189026E-15,0.)); #39954=DIRECTION('',(0.,0.,1.)); #39955=DIRECTION('center_axis',(0.,0.,1.)); #39956=DIRECTION('ref_axis',(-1.,3.49676543189026E-15,0.)); #39957=DIRECTION('',(0.,0.,1.)); #39958=DIRECTION('center_axis',(-1.,0.,0.)); #39959=DIRECTION('ref_axis',(0.,-1.,0.)); #39960=DIRECTION('',(0.,1.,0.)); #39961=DIRECTION('',(0.,-1.,0.)); #39962=DIRECTION('',(0.,0.,1.)); #39963=DIRECTION('center_axis',(0.,1.,0.)); #39964=DIRECTION('ref_axis',(-1.,0.,0.)); #39965=DIRECTION('',(1.,0.,0.)); #39966=DIRECTION('',(-1.,0.,0.)); #39967=DIRECTION('',(0.,0.,1.)); #39968=DIRECTION('center_axis',(1.,1.554117969729E-15,0.)); #39969=DIRECTION('ref_axis',(-1.554117969729E-15,1.,0.)); #39970=DIRECTION('',(1.554117969729E-15,-1.,0.)); #39971=DIRECTION('',(-1.554117969729E-15,1.,0.)); #39972=DIRECTION('',(0.,0.,1.)); #39973=DIRECTION('center_axis',(-1.3987061727561E-15,1.,0.)); #39974=DIRECTION('ref_axis',(-1.,-1.3987061727561E-15,0.)); #39975=DIRECTION('',(1.,1.3987061727561E-15,0.)); #39976=DIRECTION('',(-1.,-1.3987061727561E-15,0.)); #39977=DIRECTION('',(0.,0.,1.)); #39978=DIRECTION('center_axis',(-1.,0.,0.)); #39979=DIRECTION('ref_axis',(0.,-1.,0.)); #39980=DIRECTION('',(0.,1.,0.)); #39981=DIRECTION('',(0.,-1.,0.)); #39982=DIRECTION('',(0.,0.,1.)); #39983=DIRECTION('center_axis',(0.,1.,0.)); #39984=DIRECTION('ref_axis',(-1.,0.,0.)); #39985=DIRECTION('',(1.,0.,0.)); #39986=DIRECTION('',(-1.,0.,0.)); #39987=DIRECTION('',(0.,0.,1.)); #39988=DIRECTION('center_axis',(1.,0.,0.)); #39989=DIRECTION('ref_axis',(0.,1.,0.)); #39990=DIRECTION('',(0.,-1.,0.)); #39991=DIRECTION('',(0.,1.,0.)); #39992=DIRECTION('',(0.,0.,1.)); #39993=DIRECTION('center_axis',(0.,0.,1.)); #39994=DIRECTION('ref_axis',(-1.74838271594513E-15,-1.,0.)); #39995=DIRECTION('center_axis',(0.,0.,-1.)); #39996=DIRECTION('ref_axis',(-1.74838271594513E-15,-1.,0.)); #39997=DIRECTION('center_axis',(0.,0.,1.)); #39998=DIRECTION('ref_axis',(-1.74838271594513E-15,-1.,0.)); #39999=DIRECTION('',(0.,0.,1.)); #40000=DIRECTION('center_axis',(6.99353086378052E-16,-1.,0.)); #40001=DIRECTION('ref_axis',(1.,6.99353086378052E-16,0.)); #40002=DIRECTION('',(-1.,-6.99353086378052E-16,0.)); #40003=DIRECTION('',(1.,6.99353086378052E-16,0.)); #40004=DIRECTION('center_axis',(0.,0.,1.)); #40005=DIRECTION('ref_axis',(1.,0.,0.)); #40006=DIRECTION('center_axis',(0.,0.,1.)); #40007=DIRECTION('ref_axis',(1.,0.,0.)); #40008=DIRECTION('center_axis',(0.,0.,1.)); #40009=DIRECTION('ref_axis',(-1.,0.,0.)); #40010=DIRECTION('center_axis',(0.,0.,-1.)); #40011=DIRECTION('ref_axis',(-1.,0.,0.)); #40012=DIRECTION('',(0.,0.,-1.)); #40013=DIRECTION('center_axis',(0.,0.,1.)); #40014=DIRECTION('ref_axis',(-1.,0.,0.)); #40015=DIRECTION('center_axis',(0.,0.,1.)); #40016=DIRECTION('ref_axis',(-1.,0.,0.)); #40017=DIRECTION('center_axis',(0.,0.,-1.)); #40018=DIRECTION('ref_axis',(-1.,0.,0.)); #40019=DIRECTION('',(0.,0.,-1.)); #40020=DIRECTION('center_axis',(0.,0.,1.)); #40021=DIRECTION('ref_axis',(-1.,0.,0.)); #40022=DIRECTION('center_axis',(0.,0.,1.)); #40023=DIRECTION('ref_axis',(-1.,0.,0.)); #40024=DIRECTION('center_axis',(0.,0.,-1.)); #40025=DIRECTION('ref_axis',(-1.,0.,0.)); #40026=DIRECTION('',(0.,0.,-1.)); #40027=DIRECTION('center_axis',(0.,0.,1.)); #40028=DIRECTION('ref_axis',(-1.,0.,0.)); #40029=DIRECTION('center_axis',(0.,0.,1.)); #40030=DIRECTION('ref_axis',(1.,1.74838271594513E-15,0.)); #40031=DIRECTION('center_axis',(0.,0.,-1.)); #40032=DIRECTION('ref_axis',(1.,1.74838271594513E-15,0.)); #40033=DIRECTION('',(0.,0.,1.)); #40034=DIRECTION('center_axis',(0.,0.,1.)); #40035=DIRECTION('ref_axis',(1.,1.74838271594513E-15,0.)); #40036=DIRECTION('',(0.,0.,1.)); #40037=DIRECTION('center_axis',(1.,0.,0.)); #40038=DIRECTION('ref_axis',(0.,1.,0.)); #40039=DIRECTION('',(0.,-1.,0.)); #40040=DIRECTION('',(0.,1.,0.)); #40041=DIRECTION('',(0.,0.,1.)); #40042=DIRECTION('center_axis',(0.,-1.,0.)); #40043=DIRECTION('ref_axis',(1.,0.,0.)); #40044=DIRECTION('',(-1.,0.,0.)); #40045=DIRECTION('',(1.,0.,0.)); #40046=DIRECTION('',(0.,0.,1.)); #40047=DIRECTION('center_axis',(-1.,0.,0.)); #40048=DIRECTION('ref_axis',(0.,-1.,0.)); #40049=DIRECTION('',(0.,1.,0.)); #40050=DIRECTION('',(0.,-1.,0.)); #40051=DIRECTION('',(0.,0.,1.)); #40052=DIRECTION('center_axis',(-1.3987061727561E-15,-1.,0.)); #40053=DIRECTION('ref_axis',(1.,-1.3987061727561E-15,0.)); #40054=DIRECTION('',(-1.,1.3987061727561E-15,0.)); #40055=DIRECTION('',(1.,-1.3987061727561E-15,0.)); #40056=DIRECTION('',(0.,0.,1.)); #40057=DIRECTION('center_axis',(1.,-1.554117969729E-15,0.)); #40058=DIRECTION('ref_axis',(1.554117969729E-15,1.,0.)); #40059=DIRECTION('',(-1.554117969729E-15,-1.,0.)); #40060=DIRECTION('',(1.554117969729E-15,1.,0.)); #40061=DIRECTION('',(0.,0.,1.)); #40062=DIRECTION('center_axis',(0.,-1.,0.)); #40063=DIRECTION('ref_axis',(1.,0.,0.)); #40064=DIRECTION('',(-1.,0.,0.)); #40065=DIRECTION('',(1.,0.,0.)); #40066=DIRECTION('',(0.,0.,1.)); #40067=DIRECTION('center_axis',(-1.,0.,0.)); #40068=DIRECTION('ref_axis',(0.,-1.,0.)); #40069=DIRECTION('',(0.,1.,0.)); #40070=DIRECTION('',(0.,-1.,0.)); #40071=DIRECTION('',(0.,0.,1.)); #40072=DIRECTION('center_axis',(0.,0.,1.)); #40073=DIRECTION('ref_axis',(1.74838271594513E-15,1.,0.)); #40074=DIRECTION('center_axis',(0.,0.,-1.)); #40075=DIRECTION('ref_axis',(1.74838271594513E-15,1.,0.)); #40076=DIRECTION('center_axis',(0.,0.,1.)); #40077=DIRECTION('ref_axis',(1.74838271594513E-15,1.,0.)); #40078=DIRECTION('',(0.,0.,1.)); #40079=DIRECTION('center_axis',(6.99353086378052E-16,1.,0.)); #40080=DIRECTION('ref_axis',(-1.,6.99353086378052E-16,0.)); #40081=DIRECTION('',(1.,-6.99353086378052E-16,0.)); #40082=DIRECTION('',(-1.,6.99353086378052E-16,0.)); #40083=DIRECTION('center_axis',(0.,0.,1.)); #40084=DIRECTION('ref_axis',(1.,0.,0.)); #40085=DIRECTION('center_axis',(0.,0.,1.)); #40086=DIRECTION('ref_axis',(1.,0.,0.)); #40087=DIRECTION('center_axis',(0.,0.,-1.)); #40088=DIRECTION('ref_axis',(1.,0.,0.)); #40089=DIRECTION('center_axis',(0.,0.,1.)); #40090=DIRECTION('ref_axis',(1.,0.,0.)); #40091=DIRECTION('',(0.,0.,-1.)); #40092=DIRECTION('center_axis',(0.,0.,1.)); #40093=DIRECTION('ref_axis',(1.,0.,0.)); #40094=DIRECTION('center_axis',(6.99353086378052E-16,1.,0.)); #40095=DIRECTION('ref_axis',(-1.,6.99353086378052E-16,0.)); #40096=DIRECTION('',(-1.,6.99353086378052E-16,0.)); #40097=DIRECTION('',(0.,0.,1.)); #40098=DIRECTION('',(-1.,6.99353086378052E-16,0.)); #40099=DIRECTION('',(0.,0.,1.)); #40100=DIRECTION('center_axis',(0.,0.,-1.)); #40101=DIRECTION('ref_axis',(1.,3.49676543189026E-15,0.)); #40102=DIRECTION('center_axis',(0.,0.,-1.)); #40103=DIRECTION('ref_axis',(1.,3.49676543189026E-15,0.)); #40104=DIRECTION('',(0.,0.,1.)); #40105=DIRECTION('center_axis',(0.,0.,-1.)); #40106=DIRECTION('ref_axis',(1.,3.49676543189026E-15,0.)); #40107=DIRECTION('center_axis',(-1.,0.,0.)); #40108=DIRECTION('ref_axis',(0.,-1.,0.)); #40109=DIRECTION('',(0.,-1.,0.)); #40110=DIRECTION('',(0.,0.,1.)); #40111=DIRECTION('',(0.,-1.,0.)); #40112=DIRECTION('center_axis',(0.,-1.,0.)); #40113=DIRECTION('ref_axis',(1.,0.,0.)); #40114=DIRECTION('',(1.,0.,0.)); #40115=DIRECTION('',(0.,0.,1.)); #40116=DIRECTION('',(1.,0.,0.)); #40117=DIRECTION('center_axis',(1.,-1.554117969729E-15,0.)); #40118=DIRECTION('ref_axis',(1.554117969729E-15,1.,0.)); #40119=DIRECTION('',(1.554117969729E-15,1.,0.)); #40120=DIRECTION('',(0.,0.,1.)); #40121=DIRECTION('',(1.554117969729E-15,1.,0.)); #40122=DIRECTION('center_axis',(-1.3987061727561E-15,-1.,0.)); #40123=DIRECTION('ref_axis',(1.,-1.3987061727561E-15,0.)); #40124=DIRECTION('',(1.,-1.3987061727561E-15,0.)); #40125=DIRECTION('',(0.,0.,1.)); #40126=DIRECTION('',(1.,-1.3987061727561E-15,0.)); #40127=DIRECTION('center_axis',(-1.,0.,0.)); #40128=DIRECTION('ref_axis',(0.,-1.,0.)); #40129=DIRECTION('',(0.,-1.,0.)); #40130=DIRECTION('',(0.,0.,1.)); #40131=DIRECTION('',(0.,-1.,0.)); #40132=DIRECTION('center_axis',(0.,-1.,0.)); #40133=DIRECTION('ref_axis',(1.,0.,0.)); #40134=DIRECTION('',(1.,0.,0.)); #40135=DIRECTION('',(0.,0.,1.)); #40136=DIRECTION('',(1.,0.,0.)); #40137=DIRECTION('center_axis',(1.,0.,0.)); #40138=DIRECTION('ref_axis',(0.,1.,0.)); #40139=DIRECTION('',(0.,1.,0.)); #40140=DIRECTION('',(0.,0.,1.)); #40141=DIRECTION('',(0.,1.,0.)); #40142=DIRECTION('center_axis',(0.,0.,-1.)); #40143=DIRECTION('ref_axis',(1.74838271594513E-15,-1.,0.)); #40144=DIRECTION('center_axis',(0.,0.,-1.)); #40145=DIRECTION('ref_axis',(1.74838271594513E-15,-1.,0.)); #40146=DIRECTION('center_axis',(0.,0.,-1.)); #40147=DIRECTION('ref_axis',(1.74838271594513E-15,-1.,0.)); #40148=DIRECTION('center_axis',(0.,0.,-1.)); #40149=DIRECTION('ref_axis',(1.,0.,0.)); #40150=DIRECTION('center_axis',(0.,0.,-1.)); #40151=DIRECTION('ref_axis',(1.,0.,0.)); #40152=DIRECTION('center_axis',(0.,0.,-1.)); #40153=DIRECTION('ref_axis',(1.,0.,0.)); #40154=DIRECTION('center_axis',(0.,0.,1.)); #40155=DIRECTION('ref_axis',(1.,0.,0.)); #40156=DIRECTION('',(0.,0.,-1.)); #40157=DIRECTION('center_axis',(0.,0.,1.)); #40158=DIRECTION('ref_axis',(1.,0.,0.)); #40159=DIRECTION('center_axis',(6.99353086378052E-16,-1.,0.)); #40160=DIRECTION('ref_axis',(1.,6.99353086378052E-16,0.)); #40161=DIRECTION('',(-1.,-6.99353086378052E-16,0.)); #40162=DIRECTION('',(0.,0.,1.)); #40163=DIRECTION('',(1.,6.99353086378052E-16,0.)); #40164=DIRECTION('',(0.,0.,1.)); #40165=DIRECTION('center_axis',(0.,0.,-1.)); #40166=DIRECTION('ref_axis',(-1.,1.74838271594513E-15,0.)); #40167=DIRECTION('center_axis',(0.,0.,-1.)); #40168=DIRECTION('ref_axis',(-1.,1.74838271594513E-15,0.)); #40169=DIRECTION('',(0.,0.,1.)); #40170=DIRECTION('center_axis',(0.,0.,-1.)); #40171=DIRECTION('ref_axis',(-1.,1.74838271594513E-15,0.)); #40172=DIRECTION('center_axis',(1.,0.,0.)); #40173=DIRECTION('ref_axis',(0.,1.,0.)); #40174=DIRECTION('',(0.,-1.,0.)); #40175=DIRECTION('',(0.,0.,1.)); #40176=DIRECTION('',(0.,1.,0.)); #40177=DIRECTION('center_axis',(0.,1.,0.)); #40178=DIRECTION('ref_axis',(-1.,0.,0.)); #40179=DIRECTION('',(1.,0.,0.)); #40180=DIRECTION('',(0.,0.,1.)); #40181=DIRECTION('',(-1.,0.,0.)); #40182=DIRECTION('center_axis',(-1.,0.,0.)); #40183=DIRECTION('ref_axis',(0.,-1.,0.)); #40184=DIRECTION('',(0.,1.,0.)); #40185=DIRECTION('',(0.,0.,1.)); #40186=DIRECTION('',(0.,-1.,0.)); #40187=DIRECTION('center_axis',(-1.3987061727561E-15,1.,0.)); #40188=DIRECTION('ref_axis',(-1.,-1.3987061727561E-15,0.)); #40189=DIRECTION('',(1.,1.3987061727561E-15,0.)); #40190=DIRECTION('',(0.,0.,1.)); #40191=DIRECTION('',(-1.,-1.3987061727561E-15,0.)); #40192=DIRECTION('center_axis',(1.,1.554117969729E-15,0.)); #40193=DIRECTION('ref_axis',(-1.554117969729E-15,1.,0.)); #40194=DIRECTION('',(1.554117969729E-15,-1.,0.)); #40195=DIRECTION('',(0.,0.,1.)); #40196=DIRECTION('',(-1.554117969729E-15,1.,0.)); #40197=DIRECTION('center_axis',(0.,1.,0.)); #40198=DIRECTION('ref_axis',(-1.,0.,0.)); #40199=DIRECTION('',(1.,0.,0.)); #40200=DIRECTION('',(0.,0.,1.)); #40201=DIRECTION('',(-1.,0.,0.)); #40202=DIRECTION('center_axis',(-1.,0.,0.)); #40203=DIRECTION('ref_axis',(0.,-1.,0.)); #40204=DIRECTION('',(0.,1.,0.)); #40205=DIRECTION('',(0.,0.,1.)); #40206=DIRECTION('',(0.,-1.,0.)); #40207=DIRECTION('center_axis',(0.,0.,-1.)); #40208=DIRECTION('ref_axis',(-1.74838271594513E-15,1.,0.)); #40209=DIRECTION('center_axis',(0.,0.,-1.)); #40210=DIRECTION('ref_axis',(-1.74838271594513E-15,1.,0.)); #40211=DIRECTION('center_axis',(0.,0.,-1.)); #40212=DIRECTION('ref_axis',(-1.74838271594513E-15,1.,0.)); #40213=DIRECTION('center_axis',(0.,0.,-1.)); #40214=DIRECTION('ref_axis',(1.,0.,0.)); #40215=DIRECTION('center_axis',(0.,0.,-1.)); #40216=DIRECTION('ref_axis',(1.,0.,0.)); #40217=DIRECTION('center_axis',(0.,0.,-1.)); #40218=DIRECTION('ref_axis',(1.,0.,0.)); #40219=DIRECTION('center_axis',(0.,0.,1.)); #40220=DIRECTION('ref_axis',(1.,0.,0.)); #40221=DIRECTION('',(0.,0.,-1.)); #40222=DIRECTION('center_axis',(0.,0.,-1.)); #40223=DIRECTION('ref_axis',(1.,0.,0.)); #40224=DIRECTION('center_axis',(0.,0.,-1.)); #40225=DIRECTION('ref_axis',(1.,0.,0.)); #40226=DIRECTION('center_axis',(0.,0.,1.)); #40227=DIRECTION('ref_axis',(1.,0.,0.)); #40228=DIRECTION('',(0.,0.,-1.)); #40229=DIRECTION('center_axis',(0.,0.,-1.)); #40230=DIRECTION('ref_axis',(1.,0.,0.)); #40231=DIRECTION('center_axis',(0.,0.,-1.)); #40232=DIRECTION('ref_axis',(1.,0.,0.)); #40233=DIRECTION('center_axis',(0.,0.,1.)); #40234=DIRECTION('ref_axis',(1.,0.,0.)); #40235=DIRECTION('',(0.,0.,-1.)); #40236=DIRECTION('center_axis',(0.,0.,-1.)); #40237=DIRECTION('ref_axis',(1.,0.,0.)); #40238=DIRECTION('center_axis',(0.,0.,-1.)); #40239=DIRECTION('ref_axis',(1.,3.49676543189026E-15,0.)); #40240=DIRECTION('center_axis',(0.,0.,1.)); #40241=DIRECTION('ref_axis',(1.,3.49676543189026E-15,0.)); #40242=DIRECTION('',(0.,0.,1.)); #40243=DIRECTION('center_axis',(0.,0.,-1.)); #40244=DIRECTION('ref_axis',(1.,3.49676543189026E-15,0.)); #40245=DIRECTION('',(0.,0.,1.)); #40246=DIRECTION('center_axis',(-1.,0.,0.)); #40247=DIRECTION('ref_axis',(0.,-1.,0.)); #40248=DIRECTION('',(0.,1.,0.)); #40249=DIRECTION('',(0.,0.,1.)); #40250=DIRECTION('',(0.,-1.,0.)); #40251=DIRECTION('center_axis',(0.,-1.,0.)); #40252=DIRECTION('ref_axis',(1.,0.,0.)); #40253=DIRECTION('',(-1.,0.,0.)); #40254=DIRECTION('',(0.,0.,1.)); #40255=DIRECTION('',(1.,0.,0.)); #40256=DIRECTION('center_axis',(1.,-1.554117969729E-15,0.)); #40257=DIRECTION('ref_axis',(1.554117969729E-15,1.,0.)); #40258=DIRECTION('',(-1.554117969729E-15,-1.,0.)); #40259=DIRECTION('',(0.,0.,1.)); #40260=DIRECTION('',(1.554117969729E-15,1.,0.)); #40261=DIRECTION('center_axis',(-1.3987061727561E-15,-1.,0.)); #40262=DIRECTION('ref_axis',(1.,-1.3987061727561E-15,0.)); #40263=DIRECTION('',(-1.,1.3987061727561E-15,0.)); #40264=DIRECTION('',(0.,0.,1.)); #40265=DIRECTION('',(1.,-1.3987061727561E-15,0.)); #40266=DIRECTION('center_axis',(-1.,0.,0.)); #40267=DIRECTION('ref_axis',(0.,-1.,0.)); #40268=DIRECTION('',(0.,1.,0.)); #40269=DIRECTION('',(0.,0.,1.)); #40270=DIRECTION('',(0.,-1.,0.)); #40271=DIRECTION('center_axis',(0.,-1.,0.)); #40272=DIRECTION('ref_axis',(1.,0.,0.)); #40273=DIRECTION('',(-1.,0.,0.)); #40274=DIRECTION('',(0.,0.,1.)); #40275=DIRECTION('',(1.,0.,0.)); #40276=DIRECTION('center_axis',(1.,0.,0.)); #40277=DIRECTION('ref_axis',(0.,1.,0.)); #40278=DIRECTION('',(0.,-1.,0.)); #40279=DIRECTION('',(0.,0.,1.)); #40280=DIRECTION('',(0.,1.,0.)); #40281=DIRECTION('center_axis',(0.,0.,-1.)); #40282=DIRECTION('ref_axis',(1.74838271594513E-15,-1.,0.)); #40283=DIRECTION('center_axis',(0.,0.,1.)); #40284=DIRECTION('ref_axis',(1.74838271594513E-15,-1.,0.)); #40285=DIRECTION('',(0.,0.,1.)); #40286=DIRECTION('center_axis',(0.,0.,-1.)); #40287=DIRECTION('ref_axis',(1.74838271594513E-15,-1.,0.)); #40288=DIRECTION('center_axis',(6.99353086378052E-16,1.,0.)); #40289=DIRECTION('ref_axis',(-1.,6.99353086378052E-16,0.)); #40290=DIRECTION('',(1.,-6.99353086378052E-16,0.)); #40291=DIRECTION('',(-1.,6.99353086378052E-16,0.)); #40292=DIRECTION('center_axis',(0.,0.,-1.)); #40293=DIRECTION('ref_axis',(-1.,0.,0.)); #40294=DIRECTION('center_axis',(0.,0.,-1.)); #40295=DIRECTION('ref_axis',(-1.,0.,0.)); #40296=DIRECTION('center_axis',(0.,0.,-1.)); #40297=DIRECTION('ref_axis',(1.,0.,0.)); #40298=DIRECTION('center_axis',(0.,0.,1.)); #40299=DIRECTION('ref_axis',(1.,0.,0.)); #40300=DIRECTION('',(0.,0.,-1.)); #40301=DIRECTION('center_axis',(0.,0.,-1.)); #40302=DIRECTION('ref_axis',(1.,0.,0.)); #40303=DIRECTION('center_axis',(0.,0.,-1.)); #40304=DIRECTION('ref_axis',(1.,0.,0.)); #40305=DIRECTION('center_axis',(0.,0.,1.)); #40306=DIRECTION('ref_axis',(1.,0.,0.)); #40307=DIRECTION('',(0.,0.,-1.)); #40308=DIRECTION('center_axis',(0.,0.,-1.)); #40309=DIRECTION('ref_axis',(1.,0.,0.)); #40310=DIRECTION('center_axis',(0.,0.,-1.)); #40311=DIRECTION('ref_axis',(1.,0.,0.)); #40312=DIRECTION('center_axis',(0.,0.,1.)); #40313=DIRECTION('ref_axis',(1.,0.,0.)); #40314=DIRECTION('',(0.,0.,-1.)); #40315=DIRECTION('center_axis',(0.,0.,-1.)); #40316=DIRECTION('ref_axis',(1.,0.,0.)); #40317=DIRECTION('center_axis',(0.,0.,-1.)); #40318=DIRECTION('ref_axis',(-1.,1.74838271594513E-15,0.)); #40319=DIRECTION('center_axis',(0.,0.,1.)); #40320=DIRECTION('ref_axis',(-1.,1.74838271594513E-15,0.)); #40321=DIRECTION('',(0.,0.,1.)); #40322=DIRECTION('center_axis',(0.,0.,-1.)); #40323=DIRECTION('ref_axis',(-1.,1.74838271594513E-15,0.)); #40324=DIRECTION('',(0.,0.,1.)); #40325=DIRECTION('center_axis',(1.,0.,0.)); #40326=DIRECTION('ref_axis',(0.,1.,0.)); #40327=DIRECTION('',(0.,-1.,0.)); #40328=DIRECTION('',(0.,0.,1.)); #40329=DIRECTION('',(0.,1.,0.)); #40330=DIRECTION('center_axis',(0.,1.,0.)); #40331=DIRECTION('ref_axis',(-1.,0.,0.)); #40332=DIRECTION('',(1.,0.,0.)); #40333=DIRECTION('',(0.,0.,1.)); #40334=DIRECTION('',(-1.,0.,0.)); #40335=DIRECTION('center_axis',(-1.,0.,0.)); #40336=DIRECTION('ref_axis',(0.,-1.,0.)); #40337=DIRECTION('',(0.,1.,0.)); #40338=DIRECTION('',(0.,0.,1.)); #40339=DIRECTION('',(0.,-1.,0.)); #40340=DIRECTION('center_axis',(-1.3987061727561E-15,1.,0.)); #40341=DIRECTION('ref_axis',(-1.,-1.3987061727561E-15,0.)); #40342=DIRECTION('',(1.,1.3987061727561E-15,0.)); #40343=DIRECTION('',(0.,0.,1.)); #40344=DIRECTION('',(-1.,-1.3987061727561E-15,0.)); #40345=DIRECTION('center_axis',(1.,1.554117969729E-15,0.)); #40346=DIRECTION('ref_axis',(-1.554117969729E-15,1.,0.)); #40347=DIRECTION('',(1.554117969729E-15,-1.,0.)); #40348=DIRECTION('',(0.,0.,1.)); #40349=DIRECTION('',(-1.554117969729E-15,1.,0.)); #40350=DIRECTION('center_axis',(0.,1.,0.)); #40351=DIRECTION('ref_axis',(-1.,0.,0.)); #40352=DIRECTION('',(1.,0.,0.)); #40353=DIRECTION('',(0.,0.,1.)); #40354=DIRECTION('',(-1.,0.,0.)); #40355=DIRECTION('center_axis',(-1.,0.,0.)); #40356=DIRECTION('ref_axis',(0.,-1.,0.)); #40357=DIRECTION('',(0.,1.,0.)); #40358=DIRECTION('',(0.,0.,1.)); #40359=DIRECTION('',(0.,-1.,0.)); #40360=DIRECTION('center_axis',(0.,0.,-1.)); #40361=DIRECTION('ref_axis',(-1.74838271594513E-15,1.,0.)); #40362=DIRECTION('center_axis',(0.,0.,1.)); #40363=DIRECTION('ref_axis',(-1.74838271594513E-15,1.,0.)); #40364=DIRECTION('',(0.,0.,1.)); #40365=DIRECTION('center_axis',(0.,0.,-1.)); #40366=DIRECTION('ref_axis',(-1.74838271594513E-15,1.,0.)); #40367=DIRECTION('center_axis',(6.99353086378052E-16,-1.,0.)); #40368=DIRECTION('ref_axis',(1.,6.99353086378052E-16,0.)); #40369=DIRECTION('',(-1.,-6.99353086378052E-16,0.)); #40370=DIRECTION('',(1.,6.99353086378052E-16,0.)); #40371=DIRECTION('center_axis',(0.,0.,-1.)); #40372=DIRECTION('ref_axis',(-1.,0.,0.)); #40373=DIRECTION('center_axis',(0.,0.,-1.)); #40374=DIRECTION('ref_axis',(-1.,0.,0.)); #40375=DIRECTION('center_axis',(0.,-1.,0.)); #40376=DIRECTION('ref_axis',(-1.,0.,0.)); #40377=DIRECTION('',(1.,0.,0.)); #40378=DIRECTION('',(0.,0.,-1.)); #40379=DIRECTION('',(-1.,0.,0.)); #40380=DIRECTION('',(0.,0.,-1.)); #40381=DIRECTION('center_axis',(-1.,0.,0.)); #40382=DIRECTION('ref_axis',(0.,1.,0.)); #40383=DIRECTION('',(0.,-1.,0.)); #40384=DIRECTION('',(0.,1.,0.)); #40385=DIRECTION('',(0.,0.,-1.)); #40386=DIRECTION('center_axis',(0.,1.,0.)); #40387=DIRECTION('ref_axis',(1.,0.,0.)); #40388=DIRECTION('',(-1.,0.,0.)); #40389=DIRECTION('',(1.,0.,0.)); #40390=DIRECTION('',(0.,0.,-1.)); #40391=DIRECTION('center_axis',(1.,0.,0.)); #40392=DIRECTION('ref_axis',(0.,-1.,0.)); #40393=DIRECTION('',(0.,1.,0.)); #40394=DIRECTION('',(0.,-1.,0.)); #40395=DIRECTION('center_axis',(0.,-1.,0.)); #40396=DIRECTION('ref_axis',(-1.,0.,0.)); #40397=DIRECTION('',(1.,0.,0.)); #40398=DIRECTION('',(0.,0.,-1.)); #40399=DIRECTION('',(-1.,0.,0.)); #40400=DIRECTION('',(0.,0.,-1.)); #40401=DIRECTION('center_axis',(-1.,0.,0.)); #40402=DIRECTION('ref_axis',(0.,1.,0.)); #40403=DIRECTION('',(0.,-1.,0.)); #40404=DIRECTION('',(0.,1.,0.)); #40405=DIRECTION('',(0.,0.,-1.)); #40406=DIRECTION('center_axis',(0.,1.,0.)); #40407=DIRECTION('ref_axis',(1.,0.,0.)); #40408=DIRECTION('',(-1.,0.,0.)); #40409=DIRECTION('',(1.,0.,0.)); #40410=DIRECTION('',(0.,0.,-1.)); #40411=DIRECTION('center_axis',(1.,0.,0.)); #40412=DIRECTION('ref_axis',(0.,-1.,0.)); #40413=DIRECTION('',(0.,1.,0.)); #40414=DIRECTION('',(0.,-1.,0.)); #40415=DIRECTION('center_axis',(0.,1.,0.)); #40416=DIRECTION('ref_axis',(1.,0.,0.)); #40417=DIRECTION('',(-1.,0.,0.)); #40418=DIRECTION('',(0.,0.,-1.)); #40419=DIRECTION('',(1.,0.,0.)); #40420=DIRECTION('',(0.,0.,-1.)); #40421=DIRECTION('center_axis',(1.,0.,0.)); #40422=DIRECTION('ref_axis',(0.,-1.,0.)); #40423=DIRECTION('',(0.,1.,0.)); #40424=DIRECTION('',(0.,-1.,0.)); #40425=DIRECTION('',(0.,0.,-1.)); #40426=DIRECTION('center_axis',(0.,-1.,0.)); #40427=DIRECTION('ref_axis',(-1.,0.,0.)); #40428=DIRECTION('',(1.,0.,0.)); #40429=DIRECTION('',(-1.,0.,0.)); #40430=DIRECTION('',(0.,0.,-1.)); #40431=DIRECTION('center_axis',(-1.,0.,0.)); #40432=DIRECTION('ref_axis',(0.,1.,0.)); #40433=DIRECTION('',(0.,-1.,0.)); #40434=DIRECTION('',(0.,1.,0.)); #40435=DIRECTION('center_axis',(0.,0.,-1.)); #40436=DIRECTION('ref_axis',(1.,0.,0.)); #40437=DIRECTION('center_axis',(0.,0.,1.)); #40438=DIRECTION('ref_axis',(1.,0.,0.)); #40439=DIRECTION('',(0.,0.,1.)); #40440=DIRECTION('center_axis',(0.,0.,-1.)); #40441=DIRECTION('ref_axis',(1.,0.,0.)); #40442=DIRECTION('center_axis',(0.,0.,-1.)); #40443=DIRECTION('ref_axis',(1.,0.,0.)); #40444=DIRECTION('center_axis',(0.,0.,1.)); #40445=DIRECTION('ref_axis',(1.,0.,0.)); #40446=DIRECTION('',(0.,0.,1.)); #40447=DIRECTION('center_axis',(0.,0.,-1.)); #40448=DIRECTION('ref_axis',(1.,0.,0.)); #40449=DIRECTION('center_axis',(0.,0.,-1.)); #40450=DIRECTION('ref_axis',(1.,0.,0.)); #40451=DIRECTION('center_axis',(0.,0.,1.)); #40452=DIRECTION('ref_axis',(1.,0.,0.)); #40453=DIRECTION('',(0.,0.,1.)); #40454=DIRECTION('center_axis',(0.,0.,-1.)); #40455=DIRECTION('ref_axis',(1.,0.,0.)); #40456=DIRECTION('center_axis',(0.,0.,-1.)); #40457=DIRECTION('ref_axis',(1.,0.,0.)); #40458=DIRECTION('center_axis',(0.,0.,1.)); #40459=DIRECTION('ref_axis',(1.,0.,0.)); #40460=DIRECTION('',(0.,0.,1.)); #40461=DIRECTION('center_axis',(0.,0.,-1.)); #40462=DIRECTION('ref_axis',(1.,0.,0.)); #40463=DIRECTION('center_axis',(0.,0.,-1.)); #40464=DIRECTION('ref_axis',(1.,0.,0.)); #40465=DIRECTION('center_axis',(0.,0.,1.)); #40466=DIRECTION('ref_axis',(1.,0.,0.)); #40467=DIRECTION('',(0.,0.,1.)); #40468=DIRECTION('center_axis',(0.,0.,-1.)); #40469=DIRECTION('ref_axis',(1.,0.,0.)); #40470=DIRECTION('center_axis',(0.,0.,-1.)); #40471=DIRECTION('ref_axis',(1.,0.,0.)); #40472=DIRECTION('center_axis',(0.,0.,1.)); #40473=DIRECTION('ref_axis',(1.,0.,0.)); #40474=DIRECTION('',(0.,0.,1.)); #40475=DIRECTION('center_axis',(0.,0.,-1.)); #40476=DIRECTION('ref_axis',(1.,0.,0.)); #40477=DIRECTION('center_axis',(-1.,0.,0.)); #40478=DIRECTION('ref_axis',(0.,1.,0.)); #40479=DIRECTION('',(0.,-1.,0.)); #40480=DIRECTION('',(0.,0.,-1.)); #40481=DIRECTION('',(0.,1.,0.)); #40482=DIRECTION('',(0.,0.,-1.)); #40483=DIRECTION('center_axis',(0.,1.,0.)); #40484=DIRECTION('ref_axis',(1.,0.,0.)); #40485=DIRECTION('',(-1.,0.,0.)); #40486=DIRECTION('',(1.,0.,0.)); #40487=DIRECTION('',(0.,0.,-1.)); #40488=DIRECTION('center_axis',(1.,0.,0.)); #40489=DIRECTION('ref_axis',(0.,-1.,0.)); #40490=DIRECTION('',(0.,1.,0.)); #40491=DIRECTION('',(0.,-1.,0.)); #40492=DIRECTION('',(0.,0.,-1.)); #40493=DIRECTION('center_axis',(0.,-1.,0.)); #40494=DIRECTION('ref_axis',(-1.,0.,0.)); #40495=DIRECTION('',(1.,0.,0.)); #40496=DIRECTION('',(-1.,0.,0.)); #40497=DIRECTION('center_axis',(0.,1.,0.)); #40498=DIRECTION('ref_axis',(1.,0.,0.)); #40499=DIRECTION('',(-1.,0.,0.)); #40500=DIRECTION('',(0.,0.,-1.)); #40501=DIRECTION('',(1.,0.,0.)); #40502=DIRECTION('',(0.,0.,-1.)); #40503=DIRECTION('center_axis',(1.,0.,0.)); #40504=DIRECTION('ref_axis',(0.,-1.,0.)); #40505=DIRECTION('',(0.,1.,0.)); #40506=DIRECTION('',(0.,-1.,0.)); #40507=DIRECTION('',(0.,0.,-1.)); #40508=DIRECTION('center_axis',(0.,-1.,0.)); #40509=DIRECTION('ref_axis',(-1.,0.,0.)); #40510=DIRECTION('',(1.,0.,0.)); #40511=DIRECTION('',(-1.,0.,0.)); #40512=DIRECTION('',(0.,0.,-1.)); #40513=DIRECTION('center_axis',(-1.,0.,0.)); #40514=DIRECTION('ref_axis',(0.,1.,0.)); #40515=DIRECTION('',(0.,-1.,0.)); #40516=DIRECTION('',(0.,1.,0.)); #40517=DIRECTION('center_axis',(0.,-1.,0.)); #40518=DIRECTION('ref_axis',(-1.,0.,0.)); #40519=DIRECTION('',(1.,0.,0.)); #40520=DIRECTION('',(0.,0.,-1.)); #40521=DIRECTION('',(-1.,0.,0.)); #40522=DIRECTION('',(0.,0.,-1.)); #40523=DIRECTION('center_axis',(-1.,0.,0.)); #40524=DIRECTION('ref_axis',(0.,1.,0.)); #40525=DIRECTION('',(0.,-1.,0.)); #40526=DIRECTION('',(0.,1.,0.)); #40527=DIRECTION('',(0.,0.,-1.)); #40528=DIRECTION('center_axis',(0.,1.,0.)); #40529=DIRECTION('ref_axis',(1.,0.,0.)); #40530=DIRECTION('',(-1.,0.,0.)); #40531=DIRECTION('',(1.,0.,0.)); #40532=DIRECTION('',(0.,0.,-1.)); #40533=DIRECTION('center_axis',(1.,0.,0.)); #40534=DIRECTION('ref_axis',(0.,-1.,0.)); #40535=DIRECTION('',(0.,1.,0.)); #40536=DIRECTION('',(0.,-1.,0.)); #40537=DIRECTION('center_axis',(0.,-1.,0.)); #40538=DIRECTION('ref_axis',(-1.,0.,0.)); #40539=DIRECTION('',(1.,0.,0.)); #40540=DIRECTION('',(0.,0.,-1.)); #40541=DIRECTION('',(-1.,0.,0.)); #40542=DIRECTION('',(0.,0.,-1.)); #40543=DIRECTION('center_axis',(-1.,0.,0.)); #40544=DIRECTION('ref_axis',(0.,1.,0.)); #40545=DIRECTION('',(0.,-1.,0.)); #40546=DIRECTION('',(0.,1.,0.)); #40547=DIRECTION('',(0.,0.,-1.)); #40548=DIRECTION('center_axis',(0.,1.,0.)); #40549=DIRECTION('ref_axis',(1.,0.,0.)); #40550=DIRECTION('',(-1.,0.,0.)); #40551=DIRECTION('',(1.,0.,0.)); #40552=DIRECTION('',(0.,0.,-1.)); #40553=DIRECTION('center_axis',(1.,0.,0.)); #40554=DIRECTION('ref_axis',(0.,-1.,0.)); #40555=DIRECTION('',(0.,1.,0.)); #40556=DIRECTION('',(0.,-1.,0.)); #40557=DIRECTION('center_axis',(0.,1.,0.)); #40558=DIRECTION('ref_axis',(1.,0.,0.)); #40559=DIRECTION('',(-1.,0.,0.)); #40560=DIRECTION('',(0.,0.,-1.)); #40561=DIRECTION('',(1.,0.,0.)); #40562=DIRECTION('',(0.,0.,-1.)); #40563=DIRECTION('center_axis',(1.,0.,0.)); #40564=DIRECTION('ref_axis',(0.,-1.,0.)); #40565=DIRECTION('',(0.,1.,0.)); #40566=DIRECTION('',(0.,-1.,0.)); #40567=DIRECTION('',(0.,0.,-1.)); #40568=DIRECTION('center_axis',(0.,-1.,0.)); #40569=DIRECTION('ref_axis',(-1.,0.,0.)); #40570=DIRECTION('',(1.,0.,0.)); #40571=DIRECTION('',(-1.,0.,0.)); #40572=DIRECTION('',(0.,0.,-1.)); #40573=DIRECTION('center_axis',(-1.,0.,0.)); #40574=DIRECTION('ref_axis',(0.,1.,0.)); #40575=DIRECTION('',(0.,-1.,0.)); #40576=DIRECTION('',(0.,1.,0.)); #40577=DIRECTION('center_axis',(0.,1.,0.)); #40578=DIRECTION('ref_axis',(1.,0.,0.)); #40579=DIRECTION('',(-1.,0.,0.)); #40580=DIRECTION('',(0.,0.,-1.)); #40581=DIRECTION('',(1.,0.,0.)); #40582=DIRECTION('',(0.,0.,-1.)); #40583=DIRECTION('center_axis',(1.,0.,0.)); #40584=DIRECTION('ref_axis',(0.,-1.,0.)); #40585=DIRECTION('',(0.,1.,0.)); #40586=DIRECTION('',(0.,-1.,0.)); #40587=DIRECTION('',(0.,0.,-1.)); #40588=DIRECTION('center_axis',(0.,-1.,0.)); #40589=DIRECTION('ref_axis',(-1.,0.,0.)); #40590=DIRECTION('',(1.,0.,0.)); #40591=DIRECTION('',(-1.,0.,0.)); #40592=DIRECTION('',(0.,0.,-1.)); #40593=DIRECTION('center_axis',(-1.,0.,0.)); #40594=DIRECTION('ref_axis',(0.,1.,0.)); #40595=DIRECTION('',(0.,-1.,0.)); #40596=DIRECTION('',(0.,1.,0.)); #40597=DIRECTION('center_axis',(0.,0.,-1.)); #40598=DIRECTION('ref_axis',(1.,0.,0.)); #40599=DIRECTION('center_axis',(0.,0.,1.)); #40600=DIRECTION('ref_axis',(1.,0.,0.)); #40601=DIRECTION('',(0.,0.,1.)); #40602=DIRECTION('center_axis',(0.,0.,-1.)); #40603=DIRECTION('ref_axis',(1.,0.,0.)); #40604=DIRECTION('center_axis',(0.,-1.,0.)); #40605=DIRECTION('ref_axis',(-1.,0.,0.)); #40606=DIRECTION('',(1.,0.,0.)); #40607=DIRECTION('',(0.,0.,-1.)); #40608=DIRECTION('',(-1.,0.,0.)); #40609=DIRECTION('',(0.,0.,-1.)); #40610=DIRECTION('center_axis',(-1.,0.,0.)); #40611=DIRECTION('ref_axis',(0.,1.,0.)); #40612=DIRECTION('',(0.,-1.,0.)); #40613=DIRECTION('',(0.,1.,0.)); #40614=DIRECTION('',(0.,0.,-1.)); #40615=DIRECTION('center_axis',(0.,1.,0.)); #40616=DIRECTION('ref_axis',(1.,0.,0.)); #40617=DIRECTION('',(-1.,0.,0.)); #40618=DIRECTION('',(1.,0.,0.)); #40619=DIRECTION('',(0.,0.,-1.)); #40620=DIRECTION('center_axis',(1.,0.,0.)); #40621=DIRECTION('ref_axis',(0.,-1.,0.)); #40622=DIRECTION('',(0.,1.,0.)); #40623=DIRECTION('',(0.,-1.,0.)); #40624=DIRECTION('center_axis',(0.,1.,0.)); #40625=DIRECTION('ref_axis',(1.,0.,0.)); #40626=DIRECTION('',(-1.,0.,0.)); #40627=DIRECTION('',(0.,0.,-1.)); #40628=DIRECTION('',(1.,0.,0.)); #40629=DIRECTION('',(0.,0.,-1.)); #40630=DIRECTION('center_axis',(1.,0.,0.)); #40631=DIRECTION('ref_axis',(0.,-1.,0.)); #40632=DIRECTION('',(0.,1.,0.)); #40633=DIRECTION('',(0.,-1.,0.)); #40634=DIRECTION('',(0.,0.,-1.)); #40635=DIRECTION('center_axis',(0.,-1.,0.)); #40636=DIRECTION('ref_axis',(-1.,0.,0.)); #40637=DIRECTION('',(1.,0.,0.)); #40638=DIRECTION('',(-1.,0.,0.)); #40639=DIRECTION('',(0.,0.,-1.)); #40640=DIRECTION('center_axis',(-1.,0.,0.)); #40641=DIRECTION('ref_axis',(0.,1.,0.)); #40642=DIRECTION('',(0.,-1.,0.)); #40643=DIRECTION('',(0.,1.,0.)); #40644=DIRECTION('center_axis',(1.,0.,0.)); #40645=DIRECTION('ref_axis',(0.,-1.,0.)); #40646=DIRECTION('',(0.,1.,0.)); #40647=DIRECTION('',(0.,0.,-1.)); #40648=DIRECTION('',(0.,-1.,0.)); #40649=DIRECTION('',(0.,0.,-1.)); #40650=DIRECTION('center_axis',(0.,-1.,0.)); #40651=DIRECTION('ref_axis',(-1.,0.,0.)); #40652=DIRECTION('',(1.,0.,0.)); #40653=DIRECTION('',(-1.,0.,0.)); #40654=DIRECTION('',(0.,0.,-1.)); #40655=DIRECTION('center_axis',(-1.,0.,0.)); #40656=DIRECTION('ref_axis',(0.,1.,0.)); #40657=DIRECTION('',(0.,-1.,0.)); #40658=DIRECTION('',(0.,1.,0.)); #40659=DIRECTION('',(0.,0.,-1.)); #40660=DIRECTION('center_axis',(0.,1.,0.)); #40661=DIRECTION('ref_axis',(1.,0.,0.)); #40662=DIRECTION('',(-1.,0.,0.)); #40663=DIRECTION('',(1.,0.,0.)); #40664=DIRECTION('center_axis',(1.,0.,0.)); #40665=DIRECTION('ref_axis',(0.,-1.,0.)); #40666=DIRECTION('',(0.,1.,0.)); #40667=DIRECTION('',(0.,0.,-1.)); #40668=DIRECTION('',(0.,-1.,0.)); #40669=DIRECTION('',(0.,0.,-1.)); #40670=DIRECTION('center_axis',(0.,-1.,0.)); #40671=DIRECTION('ref_axis',(-1.,0.,0.)); #40672=DIRECTION('',(1.,0.,0.)); #40673=DIRECTION('',(-1.,0.,0.)); #40674=DIRECTION('',(0.,0.,-1.)); #40675=DIRECTION('center_axis',(-1.,0.,0.)); #40676=DIRECTION('ref_axis',(0.,1.,0.)); #40677=DIRECTION('',(0.,-1.,0.)); #40678=DIRECTION('',(0.,1.,0.)); #40679=DIRECTION('',(0.,0.,-1.)); #40680=DIRECTION('center_axis',(0.,1.,0.)); #40681=DIRECTION('ref_axis',(1.,0.,0.)); #40682=DIRECTION('',(-1.,0.,0.)); #40683=DIRECTION('',(1.,0.,0.)); #40684=DIRECTION('center_axis',(-1.,0.,0.)); #40685=DIRECTION('ref_axis',(0.,1.,0.)); #40686=DIRECTION('',(0.,-1.,0.)); #40687=DIRECTION('',(0.,0.,-1.)); #40688=DIRECTION('',(0.,1.,0.)); #40689=DIRECTION('',(0.,0.,-1.)); #40690=DIRECTION('center_axis',(0.,1.,0.)); #40691=DIRECTION('ref_axis',(1.,0.,0.)); #40692=DIRECTION('',(-1.,0.,0.)); #40693=DIRECTION('',(1.,0.,0.)); #40694=DIRECTION('',(0.,0.,-1.)); #40695=DIRECTION('center_axis',(1.,0.,0.)); #40696=DIRECTION('ref_axis',(0.,-1.,0.)); #40697=DIRECTION('',(0.,1.,0.)); #40698=DIRECTION('',(0.,-1.,0.)); #40699=DIRECTION('',(0.,0.,-1.)); #40700=DIRECTION('center_axis',(0.,-1.,0.)); #40701=DIRECTION('ref_axis',(-1.,0.,0.)); #40702=DIRECTION('',(1.,0.,0.)); #40703=DIRECTION('',(-1.,0.,0.)); #40704=DIRECTION('center_axis',(0.,0.,-1.)); #40705=DIRECTION('ref_axis',(1.,0.,0.)); #40706=DIRECTION('center_axis',(0.,0.,1.)); #40707=DIRECTION('ref_axis',(1.,0.,0.)); #40708=DIRECTION('',(0.,0.,1.)); #40709=DIRECTION('center_axis',(0.,0.,-1.)); #40710=DIRECTION('ref_axis',(1.,0.,0.)); #40711=DIRECTION('center_axis',(0.,0.,-1.)); #40712=DIRECTION('ref_axis',(1.,0.,0.)); #40713=DIRECTION('center_axis',(0.,0.,1.)); #40714=DIRECTION('ref_axis',(1.,0.,0.)); #40715=DIRECTION('',(0.,0.,1.)); #40716=DIRECTION('center_axis',(0.,0.,-1.)); #40717=DIRECTION('ref_axis',(1.,0.,0.)); #40718=DIRECTION('center_axis',(0.,1.,0.)); #40719=DIRECTION('ref_axis',(1.,0.,0.)); #40720=DIRECTION('',(-1.,0.,0.)); #40721=DIRECTION('',(0.,0.,-1.)); #40722=DIRECTION('',(1.,0.,0.)); #40723=DIRECTION('',(0.,0.,-1.)); #40724=DIRECTION('center_axis',(1.,0.,0.)); #40725=DIRECTION('ref_axis',(0.,-1.,0.)); #40726=DIRECTION('',(0.,1.,0.)); #40727=DIRECTION('',(0.,-1.,0.)); #40728=DIRECTION('',(0.,0.,-1.)); #40729=DIRECTION('center_axis',(0.,-1.,0.)); #40730=DIRECTION('ref_axis',(-1.,0.,0.)); #40731=DIRECTION('',(1.,0.,0.)); #40732=DIRECTION('',(-1.,0.,0.)); #40733=DIRECTION('',(0.,0.,-1.)); #40734=DIRECTION('center_axis',(-1.,-1.3987061727561E-15,0.)); #40735=DIRECTION('ref_axis',(-1.3987061727561E-15,1.,0.)); #40736=DIRECTION('',(1.3987061727561E-15,-1.,0.)); #40737=DIRECTION('',(-1.3987061727561E-15,1.,0.)); #40738=DIRECTION('center_axis',(0.,0.,-1.)); #40739=DIRECTION('ref_axis',(1.,0.,0.)); #40740=DIRECTION('center_axis',(0.,0.,1.)); #40741=DIRECTION('ref_axis',(1.,0.,0.)); #40742=DIRECTION('',(0.,0.,1.)); #40743=DIRECTION('center_axis',(0.,0.,-1.)); #40744=DIRECTION('ref_axis',(1.,0.,0.)); #40745=DIRECTION('center_axis',(0.,0.,-1.)); #40746=DIRECTION('ref_axis',(1.,0.,0.)); #40747=DIRECTION('center_axis',(0.,0.,1.)); #40748=DIRECTION('ref_axis',(1.,0.,0.)); #40749=DIRECTION('',(0.,0.,1.)); #40750=DIRECTION('center_axis',(0.,0.,-1.)); #40751=DIRECTION('ref_axis',(1.,0.,0.)); #40752=DIRECTION('center_axis',(0.,0.,-1.)); #40753=DIRECTION('ref_axis',(1.,0.,0.)); #40754=DIRECTION('center_axis',(0.,0.,1.)); #40755=DIRECTION('ref_axis',(1.,0.,0.)); #40756=DIRECTION('',(0.,0.,1.)); #40757=DIRECTION('center_axis',(0.,0.,-1.)); #40758=DIRECTION('ref_axis',(1.,0.,0.)); #40759=DIRECTION('center_axis',(0.,-1.,0.)); #40760=DIRECTION('ref_axis',(-1.,0.,0.)); #40761=DIRECTION('',(1.,0.,0.)); #40762=DIRECTION('',(0.,0.,-1.)); #40763=DIRECTION('',(-1.,0.,0.)); #40764=DIRECTION('',(0.,0.,-1.)); #40765=DIRECTION('center_axis',(-1.,0.,0.)); #40766=DIRECTION('ref_axis',(0.,1.,0.)); #40767=DIRECTION('',(0.,-1.,0.)); #40768=DIRECTION('',(0.,1.,0.)); #40769=DIRECTION('',(0.,0.,-1.)); #40770=DIRECTION('center_axis',(0.,1.,0.)); #40771=DIRECTION('ref_axis',(1.,0.,0.)); #40772=DIRECTION('',(-1.,0.,0.)); #40773=DIRECTION('',(1.,0.,0.)); #40774=DIRECTION('',(0.,0.,-1.)); #40775=DIRECTION('center_axis',(1.,0.,0.)); #40776=DIRECTION('ref_axis',(0.,-1.,0.)); #40777=DIRECTION('',(0.,1.,0.)); #40778=DIRECTION('',(0.,-1.,0.)); #40779=DIRECTION('center_axis',(0.,0.,-1.)); #40780=DIRECTION('ref_axis',(1.,0.,0.)); #40781=DIRECTION('center_axis',(0.,0.,1.)); #40782=DIRECTION('ref_axis',(1.,0.,0.)); #40783=DIRECTION('',(0.,0.,1.)); #40784=DIRECTION('center_axis',(0.,0.,-1.)); #40785=DIRECTION('ref_axis',(1.,0.,0.)); #40786=DIRECTION('center_axis',(0.,0.,-1.)); #40787=DIRECTION('ref_axis',(1.,0.,0.)); #40788=DIRECTION('center_axis',(0.,0.,1.)); #40789=DIRECTION('ref_axis',(1.,0.,0.)); #40790=DIRECTION('',(0.,0.,1.)); #40791=DIRECTION('center_axis',(0.,0.,-1.)); #40792=DIRECTION('ref_axis',(1.,0.,0.)); #40793=DIRECTION('center_axis',(0.,0.,-1.)); #40794=DIRECTION('ref_axis',(1.,0.,0.)); #40795=DIRECTION('center_axis',(0.,0.,1.)); #40796=DIRECTION('ref_axis',(1.,0.,0.)); #40797=DIRECTION('',(0.,0.,1.)); #40798=DIRECTION('center_axis',(0.,0.,-1.)); #40799=DIRECTION('ref_axis',(1.,0.,0.)); #40800=DIRECTION('center_axis',(-1.,0.,0.)); #40801=DIRECTION('ref_axis',(0.,1.,0.)); #40802=DIRECTION('',(0.,-1.,0.)); #40803=DIRECTION('',(0.,0.,-1.)); #40804=DIRECTION('',(0.,1.,0.)); #40805=DIRECTION('',(0.,0.,-1.)); #40806=DIRECTION('center_axis',(0.,1.,0.)); #40807=DIRECTION('ref_axis',(1.,0.,0.)); #40808=DIRECTION('',(-1.,0.,0.)); #40809=DIRECTION('',(1.,0.,0.)); #40810=DIRECTION('',(0.,0.,-1.)); #40811=DIRECTION('center_axis',(1.,0.,0.)); #40812=DIRECTION('ref_axis',(0.,-1.,0.)); #40813=DIRECTION('',(0.,1.,0.)); #40814=DIRECTION('',(0.,-1.,0.)); #40815=DIRECTION('',(0.,0.,-1.)); #40816=DIRECTION('center_axis',(0.,-1.,0.)); #40817=DIRECTION('ref_axis',(-1.,0.,0.)); #40818=DIRECTION('',(1.,0.,0.)); #40819=DIRECTION('',(-1.,0.,0.)); #40820=DIRECTION('center_axis',(0.,0.,-1.)); #40821=DIRECTION('ref_axis',(-0.849503272435492,-0.527583348980415,0.)); #40822=DIRECTION('center_axis',(0.,0.,1.)); #40823=DIRECTION('ref_axis',(-0.849503272435492,-0.527583348980415,0.)); #40824=DIRECTION('',(0.,0.,-1.)); #40825=DIRECTION('center_axis',(0.,0.,-1.)); #40826=DIRECTION('ref_axis',(-0.849503272435492,-0.527583348980415,0.)); #40827=DIRECTION('',(0.,0.,-1.)); #40828=DIRECTION('center_axis',(0.,0.,-1.)); #40829=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #40830=DIRECTION('center_axis',(0.,0.,-1.)); #40831=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #40832=DIRECTION('center_axis',(0.,0.,1.)); #40833=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #40834=DIRECTION('',(0.,0.,-1.)); #40835=DIRECTION('center_axis',(-0.447213595499955,-0.894427190999917,0.)); #40836=DIRECTION('ref_axis',(-0.894427190999917,0.447213595499955,0.)); #40837=DIRECTION('',(0.894427190999917,-0.447213595499955,0.)); #40838=DIRECTION('',(-0.894427190999917,0.447213595499955,0.)); #40839=DIRECTION('',(0.,0.,-1.)); #40840=DIRECTION('center_axis',(0.,0.,-1.)); #40841=DIRECTION('ref_axis',(0.850635719245908,-0.525755526022312,0.)); #40842=DIRECTION('center_axis',(0.,0.,-1.)); #40843=DIRECTION('ref_axis',(0.850635719245908,-0.525755526022312,0.)); #40844=DIRECTION('center_axis',(0.,0.,1.)); #40845=DIRECTION('ref_axis',(0.850635719245908,-0.525755526022312,0.)); #40846=DIRECTION('',(0.,0.,-1.)); #40847=DIRECTION('center_axis',(0.,0.,-1.)); #40848=DIRECTION('ref_axis',(-0.85063571924591,-0.525755526022308,0.)); #40849=DIRECTION('center_axis',(0.,0.,-1.)); #40850=DIRECTION('ref_axis',(-0.85063571924591,-0.525755526022308,0.)); #40851=DIRECTION('center_axis',(0.,0.,1.)); #40852=DIRECTION('ref_axis',(-0.85063571924591,-0.525755526022308,0.)); #40853=DIRECTION('',(0.,0.,-1.)); #40854=DIRECTION('center_axis',(0.,0.,-1.)); #40855=DIRECTION('ref_axis',(-0.447213595499962,0.894427190999914,0.)); #40856=DIRECTION('center_axis',(0.,0.,-1.)); #40857=DIRECTION('ref_axis',(-0.447213595499962,0.894427190999914,0.)); #40858=DIRECTION('center_axis',(0.,0.,1.)); #40859=DIRECTION('ref_axis',(-0.447213595499962,0.894427190999914,0.)); #40860=DIRECTION('',(0.,0.,-1.)); #40861=DIRECTION('center_axis',(0.447213595499961,-0.894427190999914,0.)); #40862=DIRECTION('ref_axis',(-0.894427190999914,-0.447213595499961,0.)); #40863=DIRECTION('',(0.894427190999914,0.447213595499961,0.)); #40864=DIRECTION('',(-0.894427190999914,-0.447213595499961,0.)); #40865=DIRECTION('',(0.,0.,-1.)); #40866=DIRECTION('center_axis',(0.,0.,-1.)); #40867=DIRECTION('ref_axis',(0.849503272435492,0.527583348980414,0.)); #40868=DIRECTION('center_axis',(0.,0.,-1.)); #40869=DIRECTION('ref_axis',(0.849503272435492,0.527583348980414,0.)); #40870=DIRECTION('center_axis',(0.,0.,1.)); #40871=DIRECTION('ref_axis',(0.849503272435492,0.527583348980414,0.)); #40872=DIRECTION('center_axis',(0.,1.,0.)); #40873=DIRECTION('ref_axis',(1.,0.,0.)); #40874=DIRECTION('',(-1.,0.,0.)); #40875=DIRECTION('',(0.,0.,-1.)); #40876=DIRECTION('',(1.,0.,0.)); #40877=DIRECTION('',(0.,0.,-1.)); #40878=DIRECTION('center_axis',(1.,0.,0.)); #40879=DIRECTION('ref_axis',(0.,-1.,0.)); #40880=DIRECTION('',(0.,1.,0.)); #40881=DIRECTION('',(0.,-1.,0.)); #40882=DIRECTION('',(0.,0.,-1.)); #40883=DIRECTION('center_axis',(0.,-1.,0.)); #40884=DIRECTION('ref_axis',(-1.,0.,0.)); #40885=DIRECTION('',(1.,0.,0.)); #40886=DIRECTION('',(-1.,0.,0.)); #40887=DIRECTION('',(0.,0.,-1.)); #40888=DIRECTION('center_axis',(-1.,0.,0.)); #40889=DIRECTION('ref_axis',(0.,1.,0.)); #40890=DIRECTION('',(0.,-1.,0.)); #40891=DIRECTION('',(0.,1.,0.)); #40892=DIRECTION('center_axis',(0.,0.,-1.)); #40893=DIRECTION('ref_axis',(1.,0.,0.)); #40894=DIRECTION('center_axis',(0.,0.,1.)); #40895=DIRECTION('ref_axis',(1.,0.,0.)); #40896=DIRECTION('',(0.,0.,1.)); #40897=DIRECTION('center_axis',(0.,0.,-1.)); #40898=DIRECTION('ref_axis',(1.,0.,0.)); #40899=DIRECTION('center_axis',(0.,1.,0.)); #40900=DIRECTION('ref_axis',(1.,0.,0.)); #40901=DIRECTION('',(-1.,0.,0.)); #40902=DIRECTION('',(0.,0.,-1.)); #40903=DIRECTION('',(1.,0.,0.)); #40904=DIRECTION('',(0.,0.,-1.)); #40905=DIRECTION('center_axis',(1.,0.,0.)); #40906=DIRECTION('ref_axis',(0.,-1.,0.)); #40907=DIRECTION('',(0.,1.,0.)); #40908=DIRECTION('',(0.,-1.,0.)); #40909=DIRECTION('',(0.,0.,-1.)); #40910=DIRECTION('center_axis',(0.,-1.,0.)); #40911=DIRECTION('ref_axis',(-1.,0.,0.)); #40912=DIRECTION('',(1.,0.,0.)); #40913=DIRECTION('',(-1.,0.,0.)); #40914=DIRECTION('',(0.,0.,-1.)); #40915=DIRECTION('center_axis',(-1.,0.,0.)); #40916=DIRECTION('ref_axis',(0.,1.,0.)); #40917=DIRECTION('',(0.,-1.,0.)); #40918=DIRECTION('',(0.,1.,0.)); #40919=DIRECTION('center_axis',(-1.,0.,0.)); #40920=DIRECTION('ref_axis',(0.,1.,0.)); #40921=DIRECTION('',(0.,-1.,0.)); #40922=DIRECTION('',(0.,0.,-1.)); #40923=DIRECTION('',(0.,1.,0.)); #40924=DIRECTION('',(0.,0.,-1.)); #40925=DIRECTION('center_axis',(0.,1.,0.)); #40926=DIRECTION('ref_axis',(1.,0.,0.)); #40927=DIRECTION('',(-1.,0.,0.)); #40928=DIRECTION('',(1.,0.,0.)); #40929=DIRECTION('',(0.,0.,-1.)); #40930=DIRECTION('center_axis',(1.,0.,0.)); #40931=DIRECTION('ref_axis',(0.,-1.,0.)); #40932=DIRECTION('',(0.,1.,0.)); #40933=DIRECTION('',(0.,-1.,0.)); #40934=DIRECTION('',(0.,0.,-1.)); #40935=DIRECTION('center_axis',(0.,-1.,0.)); #40936=DIRECTION('ref_axis',(-1.,0.,0.)); #40937=DIRECTION('',(1.,0.,0.)); #40938=DIRECTION('',(-1.,0.,0.)); #40939=DIRECTION('center_axis',(0.,1.,0.)); #40940=DIRECTION('ref_axis',(1.,0.,0.)); #40941=DIRECTION('',(-1.,0.,0.)); #40942=DIRECTION('',(0.,0.,-1.)); #40943=DIRECTION('',(1.,0.,0.)); #40944=DIRECTION('',(0.,0.,-1.)); #40945=DIRECTION('center_axis',(1.,0.,0.)); #40946=DIRECTION('ref_axis',(0.,-1.,0.)); #40947=DIRECTION('',(0.,1.,0.)); #40948=DIRECTION('',(0.,-1.,0.)); #40949=DIRECTION('',(0.,0.,-1.)); #40950=DIRECTION('center_axis',(0.,-1.,0.)); #40951=DIRECTION('ref_axis',(-1.,0.,0.)); #40952=DIRECTION('',(1.,0.,0.)); #40953=DIRECTION('',(-1.,0.,0.)); #40954=DIRECTION('',(0.,0.,-1.)); #40955=DIRECTION('center_axis',(-1.,0.,0.)); #40956=DIRECTION('ref_axis',(0.,1.,0.)); #40957=DIRECTION('',(0.,-1.,0.)); #40958=DIRECTION('',(0.,1.,0.)); #40959=DIRECTION('center_axis',(0.,0.,-1.)); #40960=DIRECTION('ref_axis',(1.,0.,0.)); #40961=DIRECTION('center_axis',(0.,0.,1.)); #40962=DIRECTION('ref_axis',(1.,0.,0.)); #40963=DIRECTION('',(0.,0.,1.)); #40964=DIRECTION('center_axis',(0.,0.,-1.)); #40965=DIRECTION('ref_axis',(1.,0.,0.)); #40966=DIRECTION('center_axis',(0.,-1.,0.)); #40967=DIRECTION('ref_axis',(-1.,0.,0.)); #40968=DIRECTION('',(1.,0.,0.)); #40969=DIRECTION('',(0.,0.,-1.)); #40970=DIRECTION('',(-1.,0.,0.)); #40971=DIRECTION('',(0.,0.,-1.)); #40972=DIRECTION('center_axis',(-1.,0.,0.)); #40973=DIRECTION('ref_axis',(0.,1.,0.)); #40974=DIRECTION('',(0.,-1.,0.)); #40975=DIRECTION('',(0.,1.,0.)); #40976=DIRECTION('',(0.,0.,-1.)); #40977=DIRECTION('center_axis',(0.,1.,0.)); #40978=DIRECTION('ref_axis',(1.,0.,0.)); #40979=DIRECTION('',(-1.,0.,0.)); #40980=DIRECTION('',(1.,0.,0.)); #40981=DIRECTION('',(0.,0.,-1.)); #40982=DIRECTION('center_axis',(-1.,0.,0.)); #40983=DIRECTION('ref_axis',(0.,1.,0.)); #40984=DIRECTION('',(0.,-1.,0.)); #40985=DIRECTION('',(0.,1.,0.)); #40986=DIRECTION('',(0.,0.,-1.)); #40987=DIRECTION('center_axis',(2.7974123455122E-15,1.,0.)); #40988=DIRECTION('ref_axis',(1.,-2.7974123455122E-15,0.)); #40989=DIRECTION('',(-1.,2.7974123455122E-15,0.)); #40990=DIRECTION('',(1.,-2.7974123455122E-15,0.)); #40991=DIRECTION('',(0.,0.,-1.)); #40992=DIRECTION('center_axis',(1.,0.,0.)); #40993=DIRECTION('ref_axis',(0.,-1.,0.)); #40994=DIRECTION('',(0.,-1.,0.)); #40995=DIRECTION('',(0.,-1.,0.)); #40996=DIRECTION('',(0.,0.,-1.)); #40997=DIRECTION('center_axis',(0.,1.,0.)); #40998=DIRECTION('ref_axis',(1.,0.,0.)); #40999=DIRECTION('',(-1.,0.,0.)); #41000=DIRECTION('',(1.,0.,0.)); #41001=DIRECTION('',(0.,0.,-1.)); #41002=DIRECTION('center_axis',(-1.,0.,0.)); #41003=DIRECTION('ref_axis',(0.,1.,0.)); #41004=DIRECTION('',(0.,1.,0.)); #41005=DIRECTION('',(0.,1.,0.)); #41006=DIRECTION('',(0.,0.,-1.)); #41007=DIRECTION('center_axis',(0.,0.,-1.)); #41008=DIRECTION('ref_axis',(-1.,0.,0.)); #41009=DIRECTION('center_axis',(0.,0.,1.)); #41010=DIRECTION('ref_axis',(-1.,0.,0.)); #41011=DIRECTION('center_axis',(0.,0.,-1.)); #41012=DIRECTION('ref_axis',(-1.,0.,0.)); #41013=DIRECTION('',(0.,0.,-1.)); #41014=DIRECTION('center_axis',(0.,-1.,0.)); #41015=DIRECTION('ref_axis',(-1.,0.,0.)); #41016=DIRECTION('',(-1.,0.,0.)); #41017=DIRECTION('',(-1.,0.,0.)); #41018=DIRECTION('',(0.,0.,-1.)); #41019=DIRECTION('center_axis',(0.,0.,-1.)); #41020=DIRECTION('ref_axis',(0.,-1.,0.)); #41021=DIRECTION('center_axis',(0.,0.,1.)); #41022=DIRECTION('ref_axis',(0.,-1.,0.)); #41023=DIRECTION('center_axis',(0.,0.,-1.)); #41024=DIRECTION('ref_axis',(0.,-1.,0.)); #41025=DIRECTION('',(0.,0.,-1.)); #41026=DIRECTION('center_axis',(1.,0.,0.)); #41027=DIRECTION('ref_axis',(0.,-1.,0.)); #41028=DIRECTION('',(0.,-1.,0.)); #41029=DIRECTION('',(0.,-1.,0.)); #41030=DIRECTION('',(0.,0.,-1.)); #41031=DIRECTION('center_axis',(0.,1.,0.)); #41032=DIRECTION('ref_axis',(1.,0.,0.)); #41033=DIRECTION('',(1.,0.,0.)); #41034=DIRECTION('',(1.,0.,0.)); #41035=DIRECTION('',(0.,0.,-1.)); #41036=DIRECTION('center_axis',(-1.,0.,0.)); #41037=DIRECTION('ref_axis',(0.,1.,0.)); #41038=DIRECTION('',(0.,-1.,0.)); #41039=DIRECTION('',(0.,1.,0.)); #41040=DIRECTION('',(0.,0.,-1.)); #41041=DIRECTION('center_axis',(-1.3987061727561E-15,1.,0.)); #41042=DIRECTION('ref_axis',(1.,1.3987061727561E-15,0.)); #41043=DIRECTION('',(1.,1.3987061727561E-15,0.)); #41044=DIRECTION('',(1.,1.3987061727561E-15,0.)); #41045=DIRECTION('',(0.,0.,-1.)); #41046=DIRECTION('center_axis',(1.,0.,0.)); #41047=DIRECTION('ref_axis',(0.,-1.,0.)); #41048=DIRECTION('',(0.,-1.,0.)); #41049=DIRECTION('',(0.,-1.,0.)); #41050=DIRECTION('',(0.,0.,-1.)); #41051=DIRECTION('center_axis',(0.,1.,0.)); #41052=DIRECTION('ref_axis',(1.,0.,0.)); #41053=DIRECTION('',(1.,0.,0.)); #41054=DIRECTION('',(1.,0.,0.)); #41055=DIRECTION('',(0.,0.,-1.)); #41056=DIRECTION('center_axis',(1.,0.,0.)); #41057=DIRECTION('ref_axis',(0.,-1.,0.)); #41058=DIRECTION('',(0.,-1.,0.)); #41059=DIRECTION('',(0.,-1.,0.)); #41060=DIRECTION('',(0.,0.,-1.)); #41061=DIRECTION('center_axis',(0.,-1.,0.)); #41062=DIRECTION('ref_axis',(-1.,0.,0.)); #41063=DIRECTION('',(-1.,0.,0.)); #41064=DIRECTION('',(-1.,0.,0.)); #41065=DIRECTION('',(0.,0.,-1.)); #41066=DIRECTION('center_axis',(1.,0.,0.)); #41067=DIRECTION('ref_axis',(0.,-1.,0.)); #41068=DIRECTION('',(0.,-1.,0.)); #41069=DIRECTION('',(0.,-1.,0.)); #41070=DIRECTION('',(0.,0.,-1.)); #41071=DIRECTION('center_axis',(2.62257407391769E-16,-1.,0.)); #41072=DIRECTION('ref_axis',(-1.,-2.62257407391769E-16,0.)); #41073=DIRECTION('',(1.,2.62257407391769E-16,0.)); #41074=DIRECTION('',(-1.,-2.62257407391769E-16,0.)); #41075=DIRECTION('',(0.,0.,-1.)); #41076=DIRECTION('center_axis',(-1.,0.,0.)); #41077=DIRECTION('ref_axis',(0.,1.,0.)); #41078=DIRECTION('',(0.,-1.,0.)); #41079=DIRECTION('',(0.,1.,0.)); #41080=DIRECTION('',(0.,0.,-1.)); #41081=DIRECTION('center_axis',(0.,-1.,0.)); #41082=DIRECTION('ref_axis',(-1.,0.,0.)); #41083=DIRECTION('',(-1.,0.,0.)); #41084=DIRECTION('',(-1.,0.,0.)); #41085=DIRECTION('',(0.,0.,-1.)); #41086=DIRECTION('center_axis',(1.,0.,0.)); #41087=DIRECTION('ref_axis',(0.,-1.,0.)); #41088=DIRECTION('',(0.,-1.,0.)); #41089=DIRECTION('',(0.,-1.,0.)); #41090=DIRECTION('',(0.,0.,-1.)); #41091=DIRECTION('center_axis',(0.,0.,-1.)); #41092=DIRECTION('ref_axis',(1.,0.,0.)); #41093=DIRECTION('center_axis',(0.,0.,1.)); #41094=DIRECTION('ref_axis',(1.,0.,0.)); #41095=DIRECTION('center_axis',(0.,0.,-1.)); #41096=DIRECTION('ref_axis',(1.,0.,0.)); #41097=DIRECTION('',(0.,0.,-1.)); #41098=DIRECTION('center_axis',(0.,1.,0.)); #41099=DIRECTION('ref_axis',(1.,0.,0.)); #41100=DIRECTION('',(1.,0.,0.)); #41101=DIRECTION('',(1.,0.,0.)); #41102=DIRECTION('',(0.,0.,-1.)); #41103=DIRECTION('center_axis',(0.,0.,-1.)); #41104=DIRECTION('ref_axis',(0.,1.,0.)); #41105=DIRECTION('center_axis',(0.,0.,1.)); #41106=DIRECTION('ref_axis',(0.,1.,0.)); #41107=DIRECTION('center_axis',(0.,0.,-1.)); #41108=DIRECTION('ref_axis',(0.,1.,0.)); #41109=DIRECTION('',(0.,0.,-1.)); #41110=DIRECTION('center_axis',(-1.,0.,0.)); #41111=DIRECTION('ref_axis',(0.,1.,0.)); #41112=DIRECTION('',(0.,1.,0.)); #41113=DIRECTION('',(0.,1.,0.)); #41114=DIRECTION('',(0.,0.,-1.)); #41115=DIRECTION('center_axis',(0.,-1.,0.)); #41116=DIRECTION('ref_axis',(-1.,0.,0.)); #41117=DIRECTION('',(1.,0.,0.)); #41118=DIRECTION('',(-1.,0.,0.)); #41119=DIRECTION('',(0.,0.,-1.)); #41120=DIRECTION('center_axis',(1.,0.,0.)); #41121=DIRECTION('ref_axis',(0.,-1.,0.)); #41122=DIRECTION('',(0.,-1.,0.)); #41123=DIRECTION('',(0.,-1.,0.)); #41124=DIRECTION('',(0.,0.,-1.)); #41125=DIRECTION('center_axis',(0.,-1.,0.)); #41126=DIRECTION('ref_axis',(-1.,0.,0.)); #41127=DIRECTION('',(-1.,0.,0.)); #41128=DIRECTION('',(-1.,0.,0.)); #41129=DIRECTION('',(0.,0.,-1.)); #41130=DIRECTION('center_axis',(-1.,0.,0.)); #41131=DIRECTION('ref_axis',(0.,1.,0.)); #41132=DIRECTION('',(0.,-1.,0.)); #41133=DIRECTION('',(0.,1.,0.)); #41134=DIRECTION('center_axis',(0.,0.,1.)); #41135=DIRECTION('ref_axis',(1.,0.,0.)); #41136=DIRECTION('center_axis',(0.,0.,1.)); #41137=DIRECTION('ref_axis',(1.,0.,0.)); #41138=DIRECTION('center_axis',(0.,0.,-1.)); #41139=DIRECTION('ref_axis',(1.,0.,0.)); #41140=DIRECTION('center_axis',(0.,0.,1.)); #41141=DIRECTION('ref_axis',(1.,0.,0.)); #41142=DIRECTION('',(0.,0.,1.)); #41143=DIRECTION('center_axis',(0.,0.,1.)); #41144=DIRECTION('ref_axis',(1.,0.,0.)); #41145=DIRECTION('center_axis',(0.,0.,-1.)); #41146=DIRECTION('ref_axis',(1.,0.,0.)); #41147=DIRECTION('center_axis',(0.,0.,1.)); #41148=DIRECTION('ref_axis',(1.,0.,0.)); #41149=DIRECTION('',(0.,0.,1.)); #41150=DIRECTION('center_axis',(0.,0.,1.)); #41151=DIRECTION('ref_axis',(1.,0.,0.)); #41152=DIRECTION('center_axis',(0.,0.,-1.)); #41153=DIRECTION('ref_axis',(1.,0.,0.)); #41154=DIRECTION('center_axis',(0.,0.,1.)); #41155=DIRECTION('ref_axis',(1.,0.,0.)); #41156=DIRECTION('',(0.,0.,1.)); #41157=DIRECTION('center_axis',(0.,0.,1.)); #41158=DIRECTION('ref_axis',(1.,0.,0.)); #41159=DIRECTION('center_axis',(-0.680849909064104,-0.732422966138693,0.)); #41160=DIRECTION('ref_axis',(-0.732422966138693,0.680849909064104,0.)); #41161=DIRECTION('',(-0.732422966138693,0.680849909064104,0.)); #41162=DIRECTION('',(0.,0.,-1.)); #41163=DIRECTION('',(-0.732422966138693,0.680849909064104,0.)); #41164=DIRECTION('',(0.,0.,-1.)); #41165=DIRECTION('center_axis',(0.,0.,-1.)); #41166=DIRECTION('ref_axis',(-0.680852218589547,-0.732420819230101,0.)); #41167=DIRECTION('center_axis',(0.,0.,-1.)); #41168=DIRECTION('ref_axis',(-0.680852218589547,-0.732420819230101,0.)); #41169=DIRECTION('center_axis',(0.,0.,-1.)); #41170=DIRECTION('ref_axis',(-0.680852218589547,-0.732420819230101,0.)); #41171=DIRECTION('',(0.,0.,-1.)); #41172=DIRECTION('center_axis',(0.680851063829782,-0.732421892683346,0.)); #41173=DIRECTION('ref_axis',(-0.732421892683346,-0.680851063829782,0.)); #41174=DIRECTION('',(-0.732421892683346,-0.680851063829782,0.)); #41175=DIRECTION('',(-0.732421892683346,-0.680851063829782,0.)); #41176=DIRECTION('',(0.,0.,-1.)); #41177=DIRECTION('center_axis',(0.,0.,-1.)); #41178=DIRECTION('ref_axis',(0.680851063829684,-0.732421892683436,0.)); #41179=DIRECTION('center_axis',(0.,0.,-1.)); #41180=DIRECTION('ref_axis',(0.680851063829684,-0.732421892683436,0.)); #41181=DIRECTION('center_axis',(0.,0.,-1.)); #41182=DIRECTION('ref_axis',(0.680851063829684,-0.732421892683436,0.)); #41183=DIRECTION('',(0.,0.,-1.)); #41184=DIRECTION('center_axis',(0.680851063829782,0.732421892683346,0.)); #41185=DIRECTION('ref_axis',(0.732421892683346,-0.680851063829782,0.)); #41186=DIRECTION('',(0.732421892683346,-0.680851063829782,0.)); #41187=DIRECTION('',(0.732421892683346,-0.680851063829782,0.)); #41188=DIRECTION('',(0.,0.,-1.)); #41189=DIRECTION('center_axis',(0.,0.,-1.)); #41190=DIRECTION('ref_axis',(0.680852218589547,0.732420819230101,0.)); #41191=DIRECTION('center_axis',(0.,0.,-1.)); #41192=DIRECTION('ref_axis',(0.680852218589547,0.732420819230101,0.)); #41193=DIRECTION('center_axis',(0.,0.,-1.)); #41194=DIRECTION('ref_axis',(0.680852218589547,0.732420819230101,0.)); #41195=DIRECTION('',(0.,0.,-1.)); #41196=DIRECTION('center_axis',(-0.680852218589547,0.732420819230101,0.)); #41197=DIRECTION('ref_axis',(0.732420819230101,0.680852218589547,0.)); #41198=DIRECTION('',(0.732420819230101,0.680852218589547,0.)); #41199=DIRECTION('',(0.732420819230101,0.680852218589547,0.)); #41200=DIRECTION('',(0.,0.,-1.)); #41201=DIRECTION('center_axis',(0.,0.,-1.)); #41202=DIRECTION('ref_axis',(-0.680852218589547,0.732420819230101,0.)); #41203=DIRECTION('center_axis',(0.,0.,-1.)); #41204=DIRECTION('ref_axis',(-0.680852218589547,0.732420819230101,0.)); #41205=DIRECTION('center_axis',(0.,0.,-1.)); #41206=DIRECTION('ref_axis',(-0.680852218589547,0.732420819230101,0.)); #41207=DIRECTION('center_axis',(0.,0.,1.)); #41208=DIRECTION('ref_axis',(1.,0.,0.)); #41209=DIRECTION('center_axis',(0.,0.,1.)); #41210=DIRECTION('ref_axis',(1.,0.,0.)); #41211=DIRECTION('',(0.,0.,1.)); #41212=DIRECTION('',(1.,0.,0.)); #41213=DIRECTION('axis',(0.,0.,1.)); #41214=DIRECTION('refdir',(1.,0.,0.)); #41215=DIRECTION('center_axis',(0.,-1.,0.)); #41216=DIRECTION('ref_axis',(1.,0.,0.)); #41217=DIRECTION('center_axis',(0.,1.,0.)); #41218=DIRECTION('ref_axis',(1.,0.,0.)); #41219=DIRECTION('',(0.,1.,0.)); #41220=DIRECTION('center_axis',(0.,1.,0.)); #41221=DIRECTION('ref_axis',(1.,0.,0.)); #41222=DIRECTION('center_axis',(0.,-1.,0.)); #41223=DIRECTION('ref_axis',(1.,0.,0.)); #41224=DIRECTION('center_axis',(0.,1.,0.)); #41225=DIRECTION('ref_axis',(1.,0.,0.)); #41226=DIRECTION('',(0.,1.,0.)); #41227=DIRECTION('center_axis',(0.,1.,0.)); #41228=DIRECTION('ref_axis',(1.,0.,0.)); #41229=DIRECTION('center_axis',(1.,0.,0.)); #41230=DIRECTION('ref_axis',(0.,0.,1.)); #41231=DIRECTION('',(0.,0.,-1.)); #41232=DIRECTION('',(0.,-1.,0.)); #41233=DIRECTION('',(0.,0.,1.)); #41234=DIRECTION('',(0.,-1.,0.)); #41235=DIRECTION('center_axis',(0.,0.,-1.)); #41236=DIRECTION('ref_axis',(1.,0.,0.)); #41237=DIRECTION('',(-1.,0.,0.)); #41238=DIRECTION('',(1.,0.,0.)); #41239=DIRECTION('',(0.,-1.,0.)); #41240=DIRECTION('center_axis',(-1.,0.,0.)); #41241=DIRECTION('ref_axis',(0.,0.,-1.)); #41242=DIRECTION('',(0.,0.,1.)); #41243=DIRECTION('',(0.,0.,-1.)); #41244=DIRECTION('',(0.,-1.,0.)); #41245=DIRECTION('center_axis',(0.,0.,-1.)); #41246=DIRECTION('ref_axis',(1.,0.,0.)); #41247=DIRECTION('',(-1.,0.,0.)); #41248=DIRECTION('',(1.,0.,0.)); #41249=DIRECTION('',(0.,-1.,0.)); #41250=DIRECTION('center_axis',(-1.,0.,0.)); #41251=DIRECTION('ref_axis',(0.,0.,-1.)); #41252=DIRECTION('',(0.,0.,1.)); #41253=DIRECTION('',(0.,0.,-1.)); #41254=DIRECTION('',(0.,-1.,0.)); #41255=DIRECTION('center_axis',(1.8022191247721E-7,0.,0.999999999999984)); #41256=DIRECTION('ref_axis',(-0.999999999999984,0.,1.8022191247721E-7)); #41257=DIRECTION('',(-0.999999999999984,0.,1.8022191247721E-7)); #41258=DIRECTION('',(-0.999999999999984,0.,1.8022191247721E-7)); #41259=DIRECTION('',(0.,-1.,0.)); #41260=DIRECTION('center_axis',(-1.,0.,0.)); #41261=DIRECTION('ref_axis',(0.,0.,-1.)); #41262=DIRECTION('',(0.,0.,-1.)); #41263=DIRECTION('',(0.,0.,-1.)); #41264=DIRECTION('',(0.,-1.,0.)); #41265=DIRECTION('center_axis',(0.,0.,1.)); #41266=DIRECTION('ref_axis',(-1.,0.,0.)); #41267=DIRECTION('',(-1.,0.,0.)); #41268=DIRECTION('',(-1.,0.,0.)); #41269=DIRECTION('',(0.,-1.,0.)); #41270=DIRECTION('center_axis',(1.,0.,0.)); #41271=DIRECTION('ref_axis',(0.,0.,1.)); #41272=DIRECTION('',(0.,0.,1.)); #41273=DIRECTION('',(0.,0.,1.)); #41274=DIRECTION('',(0.,-1.,0.)); #41275=DIRECTION('center_axis',(0.,0.,1.)); #41276=DIRECTION('ref_axis',(-1.,0.,0.)); #41277=DIRECTION('',(-1.,0.,0.)); #41278=DIRECTION('',(-1.,0.,0.)); #41279=DIRECTION('',(0.,-1.,0.)); #41280=DIRECTION('center_axis',(1.,0.,0.)); #41281=DIRECTION('ref_axis',(0.,0.,1.)); #41282=DIRECTION('',(0.,0.,1.)); #41283=DIRECTION('',(0.,0.,1.)); #41284=DIRECTION('',(0.,-1.,0.)); #41285=DIRECTION('center_axis',(0.,0.,-1.)); #41286=DIRECTION('ref_axis',(1.,0.,0.)); #41287=DIRECTION('',(1.,0.,0.)); #41288=DIRECTION('',(1.,0.,0.)); #41289=DIRECTION('center_axis',(0.,-1.,0.)); #41290=DIRECTION('ref_axis',(1.,0.,0.)); #41291=DIRECTION('center_axis',(0.,-1.,0.)); #41292=DIRECTION('ref_axis',(1.,0.,0.)); #41293=DIRECTION('center_axis',(0.,-1.,0.)); #41294=DIRECTION('ref_axis',(1.,0.,0.)); #41295=DIRECTION('center_axis',(0.,1.,0.)); #41296=DIRECTION('ref_axis',(1.,0.,0.)); #41297=DIRECTION('',(0.,1.,0.)); #41298=DIRECTION('center_axis',(0.,1.,0.)); #41299=DIRECTION('ref_axis',(1.,0.,0.)); #41300=DIRECTION('center_axis',(0.,-1.,0.)); #41301=DIRECTION('ref_axis',(1.,0.,0.)); #41302=DIRECTION('center_axis',(0.,1.,0.)); #41303=DIRECTION('ref_axis',(1.,0.,0.)); #41304=DIRECTION('',(0.,1.,0.)); #41305=DIRECTION('center_axis',(0.,1.,0.)); #41306=DIRECTION('ref_axis',(1.,0.,0.)); #41307=DIRECTION('center_axis',(0.,0.,-1.)); #41308=DIRECTION('ref_axis',(1.,0.,0.)); #41309=DIRECTION('',(-1.,0.,0.)); #41310=DIRECTION('',(0.,-1.,0.)); #41311=DIRECTION('',(1.,0.,0.)); #41312=DIRECTION('',(0.,-1.,0.)); #41313=DIRECTION('center_axis',(-1.,0.,0.)); #41314=DIRECTION('ref_axis',(0.,0.,-1.)); #41315=DIRECTION('',(0.,0.,1.)); #41316=DIRECTION('',(0.,0.,-1.)); #41317=DIRECTION('',(0.,-1.,0.)); #41318=DIRECTION('center_axis',(0.,0.,1.)); #41319=DIRECTION('ref_axis',(-1.,0.,0.)); #41320=DIRECTION('',(1.,0.,0.)); #41321=DIRECTION('',(-1.,0.,0.)); #41322=DIRECTION('',(0.,-1.,0.)); #41323=DIRECTION('center_axis',(-1.,0.,0.)); #41324=DIRECTION('ref_axis',(0.,0.,-1.)); #41325=DIRECTION('',(0.,0.,1.)); #41326=DIRECTION('',(0.,0.,-1.)); #41327=DIRECTION('',(0.,-1.,0.)); #41328=DIRECTION('center_axis',(0.,0.,1.)); #41329=DIRECTION('ref_axis',(-1.,0.,0.)); #41330=DIRECTION('',(1.,0.,0.)); #41331=DIRECTION('',(-1.,0.,0.)); #41332=DIRECTION('',(0.,-1.,0.)); #41333=DIRECTION('center_axis',(1.,0.,0.)); #41334=DIRECTION('ref_axis',(0.,0.,1.)); #41335=DIRECTION('',(0.,0.,-1.)); #41336=DIRECTION('',(0.,0.,1.)); #41337=DIRECTION('',(0.,-1.,0.)); #41338=DIRECTION('center_axis',(-1.8022191247721E-7,0.,0.999999999999984)); #41339=DIRECTION('ref_axis',(-0.999999999999984,0.,-1.8022191247721E-7)); #41340=DIRECTION('',(0.999999999999984,0.,1.8022191247721E-7)); #41341=DIRECTION('',(-0.999999999999984,0.,-1.8022191247721E-7)); #41342=DIRECTION('',(0.,-1.,0.)); #41343=DIRECTION('center_axis',(1.,0.,0.)); #41344=DIRECTION('ref_axis',(0.,0.,1.)); #41345=DIRECTION('',(0.,0.,1.)); #41346=DIRECTION('',(0.,0.,1.)); #41347=DIRECTION('',(0.,-1.,0.)); #41348=DIRECTION('center_axis',(0.,0.,-1.)); #41349=DIRECTION('ref_axis',(1.,0.,0.)); #41350=DIRECTION('',(1.,0.,0.)); #41351=DIRECTION('',(1.,0.,0.)); #41352=DIRECTION('',(0.,-1.,0.)); #41353=DIRECTION('center_axis',(1.,0.,0.)); #41354=DIRECTION('ref_axis',(0.,0.,1.)); #41355=DIRECTION('',(0.,0.,1.)); #41356=DIRECTION('',(0.,0.,1.)); #41357=DIRECTION('',(0.,-1.,0.)); #41358=DIRECTION('center_axis',(0.,0.,-1.)); #41359=DIRECTION('ref_axis',(1.,0.,0.)); #41360=DIRECTION('',(1.,0.,0.)); #41361=DIRECTION('',(1.,0.,0.)); #41362=DIRECTION('',(0.,-1.,0.)); #41363=DIRECTION('center_axis',(-1.,0.,0.)); #41364=DIRECTION('ref_axis',(0.,0.,-1.)); #41365=DIRECTION('',(0.,0.,-1.)); #41366=DIRECTION('',(0.,0.,-1.)); #41367=DIRECTION('center_axis',(0.,-1.,0.)); #41368=DIRECTION('ref_axis',(1.,0.,0.)); #41369=DIRECTION('center_axis',(0.,-1.,0.)); #41370=DIRECTION('ref_axis',(1.,0.,0.)); #41371=DIRECTION('center_axis',(0.,-1.,0.)); #41372=DIRECTION('ref_axis',(1.,0.,0.)); #41373=DIRECTION('center_axis',(0.,1.,0.)); #41374=DIRECTION('ref_axis',(1.,0.,0.)); #41375=DIRECTION('',(0.,-1.,0.)); #41376=DIRECTION('center_axis',(0.,1.,0.)); #41377=DIRECTION('ref_axis',(1.,0.,0.)); #41378=DIRECTION('center_axis',(0.,-1.,0.)); #41379=DIRECTION('ref_axis',(1.,0.,0.)); #41380=DIRECTION('center_axis',(0.,1.,0.)); #41381=DIRECTION('ref_axis',(1.,0.,0.)); #41382=DIRECTION('',(0.,-1.,0.)); #41383=DIRECTION('center_axis',(0.,1.,0.)); #41384=DIRECTION('ref_axis',(1.,0.,0.)); #41385=DIRECTION('center_axis',(-1.,0.,0.)); #41386=DIRECTION('ref_axis',(0.,0.,1.)); #41387=DIRECTION('',(0.,0.,-1.)); #41388=DIRECTION('',(0.,1.,0.)); #41389=DIRECTION('',(0.,0.,1.)); #41390=DIRECTION('',(0.,1.,0.)); #41391=DIRECTION('center_axis',(0.,0.,1.)); #41392=DIRECTION('ref_axis',(1.,0.,0.)); #41393=DIRECTION('',(-1.,0.,0.)); #41394=DIRECTION('',(0.,1.,0.)); #41395=DIRECTION('',(1.,0.,0.)); #41396=DIRECTION('center_axis',(1.,0.,0.)); #41397=DIRECTION('ref_axis',(0.,0.,-1.)); #41398=DIRECTION('',(0.,0.,1.)); #41399=DIRECTION('',(0.,1.,0.)); #41400=DIRECTION('',(0.,0.,-1.)); #41401=DIRECTION('center_axis',(0.,0.,1.)); #41402=DIRECTION('ref_axis',(1.,0.,0.)); #41403=DIRECTION('',(-1.,0.,0.)); #41404=DIRECTION('',(0.,1.,0.)); #41405=DIRECTION('',(1.,0.,0.)); #41406=DIRECTION('center_axis',(1.,0.,0.)); #41407=DIRECTION('ref_axis',(0.,0.,-1.)); #41408=DIRECTION('',(0.,0.,1.)); #41409=DIRECTION('',(0.,1.,0.)); #41410=DIRECTION('',(0.,0.,-1.)); #41411=DIRECTION('center_axis',(-1.8022191247721E-7,0.,-0.999999999999984)); #41412=DIRECTION('ref_axis',(-0.999999999999984,0.,1.8022191247721E-7)); #41413=DIRECTION('',(-0.999999999999984,0.,1.8022191247721E-7)); #41414=DIRECTION('',(0.,1.,0.)); #41415=DIRECTION('',(-0.999999999999984,0.,1.8022191247721E-7)); #41416=DIRECTION('center_axis',(1.,0.,0.)); #41417=DIRECTION('ref_axis',(0.,0.,-1.)); #41418=DIRECTION('',(0.,0.,-1.)); #41419=DIRECTION('',(0.,1.,0.)); #41420=DIRECTION('',(0.,0.,-1.)); #41421=DIRECTION('center_axis',(0.,0.,-1.)); #41422=DIRECTION('ref_axis',(-1.,0.,0.)); #41423=DIRECTION('',(-1.,0.,0.)); #41424=DIRECTION('',(0.,1.,0.)); #41425=DIRECTION('',(-1.,0.,0.)); #41426=DIRECTION('center_axis',(-1.,0.,0.)); #41427=DIRECTION('ref_axis',(0.,0.,1.)); #41428=DIRECTION('',(0.,0.,1.)); #41429=DIRECTION('',(0.,1.,0.)); #41430=DIRECTION('',(0.,0.,1.)); #41431=DIRECTION('center_axis',(0.,0.,-1.)); #41432=DIRECTION('ref_axis',(-1.,0.,0.)); #41433=DIRECTION('',(-1.,0.,0.)); #41434=DIRECTION('',(0.,1.,0.)); #41435=DIRECTION('',(-1.,0.,0.)); #41436=DIRECTION('center_axis',(-1.,0.,0.)); #41437=DIRECTION('ref_axis',(0.,0.,1.)); #41438=DIRECTION('',(0.,0.,1.)); #41439=DIRECTION('',(0.,1.,0.)); #41440=DIRECTION('',(0.,0.,1.)); #41441=DIRECTION('center_axis',(0.,0.,1.)); #41442=DIRECTION('ref_axis',(1.,0.,0.)); #41443=DIRECTION('',(1.,0.,0.)); #41444=DIRECTION('',(1.,0.,0.)); #41445=DIRECTION('center_axis',(0.,-1.,0.)); #41446=DIRECTION('ref_axis',(1.,0.,0.)); #41447=DIRECTION('center_axis',(0.,-1.,0.)); #41448=DIRECTION('ref_axis',(1.,0.,0.)); #41449=DIRECTION('center_axis',(0.,-1.,0.)); #41450=DIRECTION('ref_axis',(1.,0.,0.)); #41451=DIRECTION('center_axis',(0.,1.,0.)); #41452=DIRECTION('ref_axis',(1.,0.,0.)); #41453=DIRECTION('',(0.,-1.,0.)); #41454=DIRECTION('center_axis',(0.,1.,0.)); #41455=DIRECTION('ref_axis',(1.,0.,0.)); #41456=DIRECTION('center_axis',(0.,-1.,0.)); #41457=DIRECTION('ref_axis',(1.,0.,0.)); #41458=DIRECTION('center_axis',(0.,1.,0.)); #41459=DIRECTION('ref_axis',(1.,0.,0.)); #41460=DIRECTION('',(0.,-1.,0.)); #41461=DIRECTION('center_axis',(0.,1.,0.)); #41462=DIRECTION('ref_axis',(1.,0.,0.)); #41463=DIRECTION('center_axis',(0.,0.,1.)); #41464=DIRECTION('ref_axis',(1.,0.,0.)); #41465=DIRECTION('',(-1.,0.,0.)); #41466=DIRECTION('',(0.,1.,0.)); #41467=DIRECTION('',(1.,0.,0.)); #41468=DIRECTION('',(0.,1.,0.)); #41469=DIRECTION('center_axis',(1.,0.,0.)); #41470=DIRECTION('ref_axis',(0.,0.,-1.)); #41471=DIRECTION('',(0.,0.,1.)); #41472=DIRECTION('',(0.,1.,0.)); #41473=DIRECTION('',(0.,0.,-1.)); #41474=DIRECTION('center_axis',(0.,0.,-1.)); #41475=DIRECTION('ref_axis',(-1.,0.,0.)); #41476=DIRECTION('',(1.,0.,0.)); #41477=DIRECTION('',(0.,1.,0.)); #41478=DIRECTION('',(-1.,0.,0.)); #41479=DIRECTION('center_axis',(1.,0.,0.)); #41480=DIRECTION('ref_axis',(0.,0.,-1.)); #41481=DIRECTION('',(0.,0.,1.)); #41482=DIRECTION('',(0.,1.,0.)); #41483=DIRECTION('',(0.,0.,-1.)); #41484=DIRECTION('center_axis',(0.,0.,-1.)); #41485=DIRECTION('ref_axis',(-1.,0.,0.)); #41486=DIRECTION('',(1.,0.,0.)); #41487=DIRECTION('',(0.,1.,0.)); #41488=DIRECTION('',(-1.,0.,0.)); #41489=DIRECTION('center_axis',(-1.,0.,0.)); #41490=DIRECTION('ref_axis',(0.,0.,1.)); #41491=DIRECTION('',(0.,0.,-1.)); #41492=DIRECTION('',(0.,1.,0.)); #41493=DIRECTION('',(0.,0.,1.)); #41494=DIRECTION('center_axis',(1.8022191247721E-7,0.,-0.999999999999984)); #41495=DIRECTION('ref_axis',(-0.999999999999984,0.,-1.8022191247721E-7)); #41496=DIRECTION('',(0.999999999999984,0.,1.8022191247721E-7)); #41497=DIRECTION('',(0.,1.,0.)); #41498=DIRECTION('',(-0.999999999999984,0.,-1.8022191247721E-7)); #41499=DIRECTION('center_axis',(-1.,0.,0.)); #41500=DIRECTION('ref_axis',(0.,0.,1.)); #41501=DIRECTION('',(0.,0.,1.)); #41502=DIRECTION('',(0.,1.,0.)); #41503=DIRECTION('',(0.,0.,1.)); #41504=DIRECTION('center_axis',(0.,0.,1.)); #41505=DIRECTION('ref_axis',(1.,0.,0.)); #41506=DIRECTION('',(1.,0.,0.)); #41507=DIRECTION('',(0.,1.,0.)); #41508=DIRECTION('',(1.,0.,0.)); #41509=DIRECTION('center_axis',(-1.,0.,0.)); #41510=DIRECTION('ref_axis',(0.,0.,1.)); #41511=DIRECTION('',(0.,0.,1.)); #41512=DIRECTION('',(0.,1.,0.)); #41513=DIRECTION('',(0.,0.,1.)); #41514=DIRECTION('center_axis',(0.,0.,1.)); #41515=DIRECTION('ref_axis',(1.,0.,0.)); #41516=DIRECTION('',(1.,0.,0.)); #41517=DIRECTION('',(0.,1.,0.)); #41518=DIRECTION('',(1.,0.,0.)); #41519=DIRECTION('center_axis',(1.,0.,0.)); #41520=DIRECTION('ref_axis',(0.,0.,-1.)); #41521=DIRECTION('',(0.,0.,-1.)); #41522=DIRECTION('',(0.,0.,-1.)); #41523=DIRECTION('center_axis',(0.,-1.,0.)); #41524=DIRECTION('ref_axis',(1.,0.,0.)); #41525=DIRECTION('center_axis',(0.,-1.,0.)); #41526=DIRECTION('ref_axis',(1.,0.,0.)); #41527=DIRECTION('center_axis',(1.,0.,0.)); #41528=DIRECTION('ref_axis',(0.,1.,0.)); #41529=DIRECTION('center_axis',(-1.,0.,0.)); #41530=DIRECTION('ref_axis',(0.,1.,0.)); #41531=DIRECTION('',(-1.,0.,0.)); #41532=DIRECTION('center_axis',(-1.,0.,0.)); #41533=DIRECTION('ref_axis',(0.,1.,0.)); #41534=DIRECTION('center_axis',(1.,0.,0.)); #41535=DIRECTION('ref_axis',(0.,1.,0.)); #41536=DIRECTION('center_axis',(-1.,0.,0.)); #41537=DIRECTION('ref_axis',(0.,1.,0.)); #41538=DIRECTION('',(-1.,0.,0.)); #41539=DIRECTION('center_axis',(-1.,0.,0.)); #41540=DIRECTION('ref_axis',(0.,1.,0.)); #41541=DIRECTION('center_axis',(0.,0.,-1.)); #41542=DIRECTION('ref_axis',(0.,1.,0.)); #41543=DIRECTION('',(0.,-1.,0.)); #41544=DIRECTION('',(1.,0.,0.)); #41545=DIRECTION('',(0.,1.,0.)); #41546=DIRECTION('',(1.,0.,0.)); #41547=DIRECTION('center_axis',(0.,-1.,0.)); #41548=DIRECTION('ref_axis',(0.,0.,-1.)); #41549=DIRECTION('',(0.,0.,1.)); #41550=DIRECTION('',(0.,0.,-1.)); #41551=DIRECTION('',(1.,0.,0.)); #41552=DIRECTION('center_axis',(0.,0.,-1.)); #41553=DIRECTION('ref_axis',(0.,1.,0.)); #41554=DIRECTION('',(0.,-1.,0.)); #41555=DIRECTION('',(0.,1.,0.)); #41556=DIRECTION('',(1.,0.,0.)); #41557=DIRECTION('center_axis',(0.,-1.,0.)); #41558=DIRECTION('ref_axis',(0.,0.,-1.)); #41559=DIRECTION('',(0.,0.,1.)); #41560=DIRECTION('',(0.,0.,-1.)); #41561=DIRECTION('',(1.,0.,0.)); #41562=DIRECTION('center_axis',(0.,0.,1.)); #41563=DIRECTION('ref_axis',(0.,-1.,0.)); #41564=DIRECTION('',(0.,1.,0.)); #41565=DIRECTION('',(0.,-1.,0.)); #41566=DIRECTION('',(1.,0.,0.)); #41567=DIRECTION('center_axis',(0.,-1.,0.)); #41568=DIRECTION('ref_axis',(0.,0.,-1.)); #41569=DIRECTION('',(0.,0.,1.)); #41570=DIRECTION('',(0.,0.,-1.)); #41571=DIRECTION('',(1.,0.,0.)); #41572=DIRECTION('center_axis',(0.,0.,1.)); #41573=DIRECTION('ref_axis',(0.,-1.,0.)); #41574=DIRECTION('',(0.,1.,0.)); #41575=DIRECTION('',(0.,-1.,0.)); #41576=DIRECTION('',(1.,0.,0.)); #41577=DIRECTION('center_axis',(0.,1.,0.)); #41578=DIRECTION('ref_axis',(0.,0.,1.)); #41579=DIRECTION('',(0.,0.,-1.)); #41580=DIRECTION('',(0.,0.,1.)); #41581=DIRECTION('',(1.,0.,0.)); #41582=DIRECTION('center_axis',(0.,-1.45022320229288E-7,0.99999999999999)); #41583=DIRECTION('ref_axis',(0.,-0.99999999999999,-1.45022320229288E-7)); #41584=DIRECTION('',(0.,0.99999999999999,1.45022320229288E-7)); #41585=DIRECTION('',(0.,-0.99999999999999,-1.45022320229288E-7)); #41586=DIRECTION('',(1.,0.,0.)); #41587=DIRECTION('center_axis',(0.,1.,0.)); #41588=DIRECTION('ref_axis',(0.,0.,1.)); #41589=DIRECTION('',(0.,0.,1.)); #41590=DIRECTION('',(0.,0.,1.)); #41591=DIRECTION('',(1.,0.,0.)); #41592=DIRECTION('center_axis',(0.,0.,-1.)); #41593=DIRECTION('ref_axis',(0.,1.,0.)); #41594=DIRECTION('',(0.,1.,0.)); #41595=DIRECTION('',(0.,1.,0.)); #41596=DIRECTION('',(1.,0.,0.)); #41597=DIRECTION('center_axis',(0.,1.,0.)); #41598=DIRECTION('ref_axis',(0.,0.,1.)); #41599=DIRECTION('',(0.,0.,1.)); #41600=DIRECTION('',(0.,0.,1.)); #41601=DIRECTION('center_axis',(1.,0.,0.)); #41602=DIRECTION('ref_axis',(0.,1.,0.)); #41603=DIRECTION('center_axis',(1.,0.,0.)); #41604=DIRECTION('ref_axis',(0.,1.,0.)); #41605=DIRECTION('center_axis',(1.,0.,0.)); #41606=DIRECTION('ref_axis',(0.,1.,0.)); #41607=DIRECTION('center_axis',(-1.,0.,0.)); #41608=DIRECTION('ref_axis',(0.,1.,0.)); #41609=DIRECTION('',(1.,0.,0.)); #41610=DIRECTION('center_axis',(-1.,0.,0.)); #41611=DIRECTION('ref_axis',(0.,1.,0.)); #41612=DIRECTION('center_axis',(1.,0.,0.)); #41613=DIRECTION('ref_axis',(0.,1.,0.)); #41614=DIRECTION('center_axis',(-1.,0.,0.)); #41615=DIRECTION('ref_axis',(0.,1.,0.)); #41616=DIRECTION('',(1.,0.,0.)); #41617=DIRECTION('center_axis',(-1.,0.,0.)); #41618=DIRECTION('ref_axis',(0.,1.,0.)); #41619=DIRECTION('center_axis',(0.,0.,1.)); #41620=DIRECTION('ref_axis',(0.,1.,0.)); #41621=DIRECTION('',(0.,-1.,0.)); #41622=DIRECTION('',(-1.,0.,0.)); #41623=DIRECTION('',(0.,1.,0.)); #41624=DIRECTION('',(-1.,0.,0.)); #41625=DIRECTION('center_axis',(0.,1.,0.)); #41626=DIRECTION('ref_axis',(0.,0.,-1.)); #41627=DIRECTION('',(0.,0.,1.)); #41628=DIRECTION('',(-1.,0.,0.)); #41629=DIRECTION('',(0.,0.,-1.)); #41630=DIRECTION('center_axis',(0.,0.,1.)); #41631=DIRECTION('ref_axis',(0.,1.,0.)); #41632=DIRECTION('',(0.,-1.,0.)); #41633=DIRECTION('',(-1.,0.,0.)); #41634=DIRECTION('',(0.,1.,0.)); #41635=DIRECTION('center_axis',(0.,1.,0.)); #41636=DIRECTION('ref_axis',(0.,0.,-1.)); #41637=DIRECTION('',(0.,0.,1.)); #41638=DIRECTION('',(-1.,0.,0.)); #41639=DIRECTION('',(0.,0.,-1.)); #41640=DIRECTION('center_axis',(0.,0.,-1.)); #41641=DIRECTION('ref_axis',(0.,-1.,0.)); #41642=DIRECTION('',(0.,1.,0.)); #41643=DIRECTION('',(-1.,0.,0.)); #41644=DIRECTION('',(0.,-1.,0.)); #41645=DIRECTION('center_axis',(0.,1.,0.)); #41646=DIRECTION('ref_axis',(0.,0.,-1.)); #41647=DIRECTION('',(0.,0.,1.)); #41648=DIRECTION('',(-1.,0.,0.)); #41649=DIRECTION('',(0.,0.,-1.)); #41650=DIRECTION('center_axis',(0.,0.,-1.)); #41651=DIRECTION('ref_axis',(0.,-1.,0.)); #41652=DIRECTION('',(0.,1.,0.)); #41653=DIRECTION('',(-1.,0.,0.)); #41654=DIRECTION('',(0.,-1.,0.)); #41655=DIRECTION('center_axis',(0.,-1.,0.)); #41656=DIRECTION('ref_axis',(0.,0.,1.)); #41657=DIRECTION('',(0.,0.,-1.)); #41658=DIRECTION('',(-1.,0.,0.)); #41659=DIRECTION('',(0.,0.,1.)); #41660=DIRECTION('center_axis',(0.,1.45022320229288E-7,-0.99999999999999)); #41661=DIRECTION('ref_axis',(0.,-0.99999999999999,-1.45022320229288E-7)); #41662=DIRECTION('',(0.,0.99999999999999,1.45022320229288E-7)); #41663=DIRECTION('',(-1.,0.,0.)); #41664=DIRECTION('',(0.,-0.99999999999999,-1.45022320229288E-7)); #41665=DIRECTION('center_axis',(0.,-1.,0.)); #41666=DIRECTION('ref_axis',(0.,0.,1.)); #41667=DIRECTION('',(0.,0.,1.)); #41668=DIRECTION('',(-1.,0.,0.)); #41669=DIRECTION('',(0.,0.,1.)); #41670=DIRECTION('center_axis',(0.,0.,1.)); #41671=DIRECTION('ref_axis',(0.,1.,0.)); #41672=DIRECTION('',(0.,1.,0.)); #41673=DIRECTION('',(-1.,0.,0.)); #41674=DIRECTION('',(0.,1.,0.)); #41675=DIRECTION('center_axis',(0.,-1.,0.)); #41676=DIRECTION('ref_axis',(0.,0.,1.)); #41677=DIRECTION('',(0.,0.,1.)); #41678=DIRECTION('',(0.,0.,1.)); #41679=DIRECTION('center_axis',(1.,0.,0.)); #41680=DIRECTION('ref_axis',(0.,1.,0.)); #41681=DIRECTION('center_axis',(1.,0.,0.)); #41682=DIRECTION('ref_axis',(0.,1.,0.)); #41683=DIRECTION('axis',(0.,0.,1.)); #41684=DIRECTION('refdir',(1.,0.,0.)); #41685=DIRECTION('center_axis',(0.,1.,0.)); #41686=DIRECTION('ref_axis',(-1.,0.,0.)); #41687=DIRECTION('',(-1.,0.,0.)); #41688=DIRECTION('',(0.,0.,1.)); #41689=DIRECTION('',(-1.,0.,0.)); #41690=DIRECTION('',(0.,0.,1.)); #41691=DIRECTION('center_axis',(0.,0.,1.)); #41692=DIRECTION('ref_axis',(0.,-1.,0.)); #41693=DIRECTION('center_axis',(0.,0.,-1.)); #41694=DIRECTION('ref_axis',(0.,-1.,0.)); #41695=DIRECTION('center_axis',(0.,0.,-1.)); #41696=DIRECTION('ref_axis',(0.,-1.,0.)); #41697=DIRECTION('',(0.,0.,1.)); #41698=DIRECTION('center_axis',(-1.,0.,0.)); #41699=DIRECTION('ref_axis',(0.,-1.,0.)); #41700=DIRECTION('',(0.,-1.,0.)); #41701=DIRECTION('',(0.,-1.,0.)); #41702=DIRECTION('',(0.,0.,1.)); #41703=DIRECTION('center_axis',(0.,0.,1.)); #41704=DIRECTION('ref_axis',(1.,0.,0.)); #41705=DIRECTION('center_axis',(0.,0.,-1.)); #41706=DIRECTION('ref_axis',(1.,0.,0.)); #41707=DIRECTION('center_axis',(0.,0.,-1.)); #41708=DIRECTION('ref_axis',(1.,0.,0.)); #41709=DIRECTION('',(0.,0.,1.)); #41710=DIRECTION('center_axis',(0.,-1.,0.)); #41711=DIRECTION('ref_axis',(1.,0.,0.)); #41712=DIRECTION('',(1.,0.,0.)); #41713=DIRECTION('',(1.,0.,0.)); #41714=DIRECTION('',(0.,0.,1.)); #41715=DIRECTION('center_axis',(0.,0.,1.)); #41716=DIRECTION('ref_axis',(0.,1.,0.)); #41717=DIRECTION('center_axis',(0.,0.,-1.)); #41718=DIRECTION('ref_axis',(0.,1.,0.)); #41719=DIRECTION('center_axis',(0.,0.,-1.)); #41720=DIRECTION('ref_axis',(0.,1.,0.)); #41721=DIRECTION('',(0.,0.,1.)); #41722=DIRECTION('center_axis',(1.,0.,0.)); #41723=DIRECTION('ref_axis',(0.,1.,0.)); #41724=DIRECTION('',(0.,1.,0.)); #41725=DIRECTION('',(0.,1.,0.)); #41726=DIRECTION('',(0.,0.,1.)); #41727=DIRECTION('center_axis',(0.,0.,1.)); #41728=DIRECTION('ref_axis',(-1.,0.,0.)); #41729=DIRECTION('center_axis',(0.,0.,-1.)); #41730=DIRECTION('ref_axis',(-1.,0.,0.)); #41731=DIRECTION('center_axis',(0.,0.,-1.)); #41732=DIRECTION('ref_axis',(-1.,0.,0.)); #41733=DIRECTION('center_axis',(0.,1.,0.)); #41734=DIRECTION('ref_axis',(-1.,0.,0.)); #41735=DIRECTION('',(-1.,0.,0.)); #41736=DIRECTION('',(0.,0.,1.)); #41737=DIRECTION('',(-1.,0.,0.)); #41738=DIRECTION('',(0.,0.,1.)); #41739=DIRECTION('center_axis',(0.,0.,1.)); #41740=DIRECTION('ref_axis',(0.,-1.,0.)); #41741=DIRECTION('center_axis',(0.,0.,-1.)); #41742=DIRECTION('ref_axis',(0.,-1.,0.)); #41743=DIRECTION('center_axis',(0.,0.,-1.)); #41744=DIRECTION('ref_axis',(0.,-1.,0.)); #41745=DIRECTION('',(0.,0.,1.)); #41746=DIRECTION('center_axis',(-1.,0.,0.)); #41747=DIRECTION('ref_axis',(0.,-1.,0.)); #41748=DIRECTION('',(0.,-1.,0.)); #41749=DIRECTION('',(0.,-1.,0.)); #41750=DIRECTION('',(0.,0.,1.)); #41751=DIRECTION('center_axis',(0.,0.,1.)); #41752=DIRECTION('ref_axis',(1.,0.,0.)); #41753=DIRECTION('center_axis',(0.,0.,-1.)); #41754=DIRECTION('ref_axis',(1.,0.,0.)); #41755=DIRECTION('center_axis',(0.,0.,-1.)); #41756=DIRECTION('ref_axis',(1.,0.,0.)); #41757=DIRECTION('',(0.,0.,1.)); #41758=DIRECTION('center_axis',(0.,-1.,0.)); #41759=DIRECTION('ref_axis',(1.,0.,0.)); #41760=DIRECTION('',(1.,0.,0.)); #41761=DIRECTION('',(1.,0.,0.)); #41762=DIRECTION('',(0.,0.,1.)); #41763=DIRECTION('center_axis',(0.,0.,1.)); #41764=DIRECTION('ref_axis',(0.,1.,0.)); #41765=DIRECTION('center_axis',(0.,0.,-1.)); #41766=DIRECTION('ref_axis',(0.,1.,0.)); #41767=DIRECTION('center_axis',(0.,0.,-1.)); #41768=DIRECTION('ref_axis',(0.,1.,0.)); #41769=DIRECTION('',(0.,0.,1.)); #41770=DIRECTION('center_axis',(1.,0.,0.)); #41771=DIRECTION('ref_axis',(0.,1.,0.)); #41772=DIRECTION('',(0.,1.,0.)); #41773=DIRECTION('',(0.,1.,0.)); #41774=DIRECTION('',(0.,0.,1.)); #41775=DIRECTION('center_axis',(0.,0.,1.)); #41776=DIRECTION('ref_axis',(-1.,0.,0.)); #41777=DIRECTION('center_axis',(0.,0.,-1.)); #41778=DIRECTION('ref_axis',(-1.,0.,0.)); #41779=DIRECTION('center_axis',(0.,0.,-1.)); #41780=DIRECTION('ref_axis',(-1.,0.,0.)); #41781=DIRECTION('center_axis',(0.,1.,0.)); #41782=DIRECTION('ref_axis',(-1.,0.,0.)); #41783=DIRECTION('',(-1.,0.,0.)); #41784=DIRECTION('',(0.,0.,1.)); #41785=DIRECTION('',(-1.,0.,0.)); #41786=DIRECTION('',(0.,0.,1.)); #41787=DIRECTION('center_axis',(0.,0.,1.)); #41788=DIRECTION('ref_axis',(0.,-1.,0.)); #41789=DIRECTION('center_axis',(0.,0.,-1.)); #41790=DIRECTION('ref_axis',(0.,-1.,0.)); #41791=DIRECTION('center_axis',(0.,0.,-1.)); #41792=DIRECTION('ref_axis',(0.,-1.,0.)); #41793=DIRECTION('',(0.,0.,1.)); #41794=DIRECTION('center_axis',(-1.,0.,0.)); #41795=DIRECTION('ref_axis',(0.,-1.,0.)); #41796=DIRECTION('',(0.,-1.,0.)); #41797=DIRECTION('',(0.,-1.,0.)); #41798=DIRECTION('',(0.,0.,1.)); #41799=DIRECTION('center_axis',(0.,0.,1.)); #41800=DIRECTION('ref_axis',(1.,0.,0.)); #41801=DIRECTION('center_axis',(0.,0.,-1.)); #41802=DIRECTION('ref_axis',(1.,0.,0.)); #41803=DIRECTION('center_axis',(0.,0.,-1.)); #41804=DIRECTION('ref_axis',(1.,0.,0.)); #41805=DIRECTION('',(0.,0.,1.)); #41806=DIRECTION('center_axis',(0.,-1.,0.)); #41807=DIRECTION('ref_axis',(1.,0.,0.)); #41808=DIRECTION('',(1.,0.,0.)); #41809=DIRECTION('',(1.,0.,0.)); #41810=DIRECTION('',(0.,0.,1.)); #41811=DIRECTION('center_axis',(0.,0.,1.)); #41812=DIRECTION('ref_axis',(0.,1.,0.)); #41813=DIRECTION('center_axis',(0.,0.,-1.)); #41814=DIRECTION('ref_axis',(0.,1.,0.)); #41815=DIRECTION('center_axis',(0.,0.,-1.)); #41816=DIRECTION('ref_axis',(0.,1.,0.)); #41817=DIRECTION('',(0.,0.,1.)); #41818=DIRECTION('center_axis',(1.,0.,0.)); #41819=DIRECTION('ref_axis',(0.,1.,0.)); #41820=DIRECTION('',(0.,1.,0.)); #41821=DIRECTION('',(0.,1.,0.)); #41822=DIRECTION('',(0.,0.,1.)); #41823=DIRECTION('center_axis',(0.,0.,1.)); #41824=DIRECTION('ref_axis',(-1.,0.,0.)); #41825=DIRECTION('center_axis',(0.,0.,-1.)); #41826=DIRECTION('ref_axis',(-1.,0.,0.)); #41827=DIRECTION('center_axis',(0.,0.,-1.)); #41828=DIRECTION('ref_axis',(-1.,0.,0.)); #41829=DIRECTION('center_axis',(0.,1.,0.)); #41830=DIRECTION('ref_axis',(-1.,0.,0.)); #41831=DIRECTION('',(-1.,0.,0.)); #41832=DIRECTION('',(0.,0.,1.)); #41833=DIRECTION('',(-1.,0.,0.)); #41834=DIRECTION('',(0.,0.,1.)); #41835=DIRECTION('center_axis',(0.,0.,1.)); #41836=DIRECTION('ref_axis',(0.,-1.,0.)); #41837=DIRECTION('center_axis',(0.,0.,-1.)); #41838=DIRECTION('ref_axis',(0.,-1.,0.)); #41839=DIRECTION('center_axis',(0.,0.,-1.)); #41840=DIRECTION('ref_axis',(0.,-1.,0.)); #41841=DIRECTION('',(0.,0.,1.)); #41842=DIRECTION('center_axis',(-1.,0.,0.)); #41843=DIRECTION('ref_axis',(0.,-1.,0.)); #41844=DIRECTION('',(0.,-1.,0.)); #41845=DIRECTION('',(0.,-1.,0.)); #41846=DIRECTION('',(0.,0.,1.)); #41847=DIRECTION('center_axis',(0.,0.,1.)); #41848=DIRECTION('ref_axis',(1.,0.,0.)); #41849=DIRECTION('center_axis',(0.,0.,-1.)); #41850=DIRECTION('ref_axis',(1.,0.,0.)); #41851=DIRECTION('center_axis',(0.,0.,-1.)); #41852=DIRECTION('ref_axis',(1.,0.,0.)); #41853=DIRECTION('',(0.,0.,1.)); #41854=DIRECTION('center_axis',(0.,-1.,0.)); #41855=DIRECTION('ref_axis',(1.,0.,0.)); #41856=DIRECTION('',(1.,0.,0.)); #41857=DIRECTION('',(1.,0.,0.)); #41858=DIRECTION('',(0.,0.,1.)); #41859=DIRECTION('center_axis',(0.,0.,1.)); #41860=DIRECTION('ref_axis',(0.,1.,0.)); #41861=DIRECTION('center_axis',(0.,0.,-1.)); #41862=DIRECTION('ref_axis',(0.,1.,0.)); #41863=DIRECTION('center_axis',(0.,0.,-1.)); #41864=DIRECTION('ref_axis',(0.,1.,0.)); #41865=DIRECTION('',(0.,0.,1.)); #41866=DIRECTION('center_axis',(1.,0.,0.)); #41867=DIRECTION('ref_axis',(0.,1.,0.)); #41868=DIRECTION('',(0.,1.,0.)); #41869=DIRECTION('',(0.,1.,0.)); #41870=DIRECTION('',(0.,0.,1.)); #41871=DIRECTION('center_axis',(0.,0.,1.)); #41872=DIRECTION('ref_axis',(-1.,0.,0.)); #41873=DIRECTION('center_axis',(0.,0.,-1.)); #41874=DIRECTION('ref_axis',(-1.,0.,0.)); #41875=DIRECTION('center_axis',(0.,0.,-1.)); #41876=DIRECTION('ref_axis',(-1.,0.,0.)); #41877=DIRECTION('center_axis',(0.,1.,0.)); #41878=DIRECTION('ref_axis',(-1.,0.,0.)); #41879=DIRECTION('',(-1.,0.,0.)); #41880=DIRECTION('',(0.,0.,1.)); #41881=DIRECTION('',(-1.,0.,0.)); #41882=DIRECTION('',(0.,0.,1.)); #41883=DIRECTION('center_axis',(0.,0.,1.)); #41884=DIRECTION('ref_axis',(0.,-1.,0.)); #41885=DIRECTION('center_axis',(0.,0.,-1.)); #41886=DIRECTION('ref_axis',(0.,-1.,0.)); #41887=DIRECTION('center_axis',(0.,0.,-1.)); #41888=DIRECTION('ref_axis',(0.,-1.,0.)); #41889=DIRECTION('',(0.,0.,1.)); #41890=DIRECTION('center_axis',(-1.,0.,0.)); #41891=DIRECTION('ref_axis',(0.,-1.,0.)); #41892=DIRECTION('',(0.,-1.,0.)); #41893=DIRECTION('',(0.,-1.,0.)); #41894=DIRECTION('',(0.,0.,1.)); #41895=DIRECTION('center_axis',(0.,0.,1.)); #41896=DIRECTION('ref_axis',(1.,0.,0.)); #41897=DIRECTION('center_axis',(0.,0.,-1.)); #41898=DIRECTION('ref_axis',(1.,0.,0.)); #41899=DIRECTION('center_axis',(0.,0.,-1.)); #41900=DIRECTION('ref_axis',(1.,0.,0.)); #41901=DIRECTION('',(0.,0.,1.)); #41902=DIRECTION('center_axis',(0.,-1.,0.)); #41903=DIRECTION('ref_axis',(1.,0.,0.)); #41904=DIRECTION('',(1.,0.,0.)); #41905=DIRECTION('',(1.,0.,0.)); #41906=DIRECTION('',(0.,0.,1.)); #41907=DIRECTION('center_axis',(0.,0.,1.)); #41908=DIRECTION('ref_axis',(0.,1.,0.)); #41909=DIRECTION('center_axis',(0.,0.,-1.)); #41910=DIRECTION('ref_axis',(0.,1.,0.)); #41911=DIRECTION('center_axis',(0.,0.,-1.)); #41912=DIRECTION('ref_axis',(0.,1.,0.)); #41913=DIRECTION('',(0.,0.,1.)); #41914=DIRECTION('center_axis',(1.,0.,0.)); #41915=DIRECTION('ref_axis',(0.,1.,0.)); #41916=DIRECTION('',(0.,1.,0.)); #41917=DIRECTION('',(0.,1.,0.)); #41918=DIRECTION('',(0.,0.,1.)); #41919=DIRECTION('center_axis',(0.,0.,1.)); #41920=DIRECTION('ref_axis',(-1.,0.,0.)); #41921=DIRECTION('center_axis',(0.,0.,-1.)); #41922=DIRECTION('ref_axis',(-1.,0.,0.)); #41923=DIRECTION('center_axis',(0.,0.,-1.)); #41924=DIRECTION('ref_axis',(-1.,0.,0.)); #41925=DIRECTION('center_axis',(0.,1.,0.)); #41926=DIRECTION('ref_axis',(-1.,0.,0.)); #41927=DIRECTION('',(-1.,0.,0.)); #41928=DIRECTION('',(0.,0.,1.)); #41929=DIRECTION('',(-1.,0.,0.)); #41930=DIRECTION('',(0.,0.,1.)); #41931=DIRECTION('center_axis',(0.,0.,1.)); #41932=DIRECTION('ref_axis',(0.,-1.,0.)); #41933=DIRECTION('center_axis',(0.,0.,-1.)); #41934=DIRECTION('ref_axis',(0.,-1.,0.)); #41935=DIRECTION('center_axis',(0.,0.,-1.)); #41936=DIRECTION('ref_axis',(0.,-1.,0.)); #41937=DIRECTION('',(0.,0.,1.)); #41938=DIRECTION('center_axis',(-1.,0.,0.)); #41939=DIRECTION('ref_axis',(0.,-1.,0.)); #41940=DIRECTION('',(0.,-1.,0.)); #41941=DIRECTION('',(0.,-1.,0.)); #41942=DIRECTION('',(0.,0.,1.)); #41943=DIRECTION('center_axis',(0.,0.,1.)); #41944=DIRECTION('ref_axis',(1.,0.,0.)); #41945=DIRECTION('center_axis',(0.,0.,-1.)); #41946=DIRECTION('ref_axis',(1.,0.,0.)); #41947=DIRECTION('center_axis',(0.,0.,-1.)); #41948=DIRECTION('ref_axis',(1.,0.,0.)); #41949=DIRECTION('',(0.,0.,1.)); #41950=DIRECTION('center_axis',(0.,-1.,0.)); #41951=DIRECTION('ref_axis',(1.,0.,0.)); #41952=DIRECTION('',(1.,0.,0.)); #41953=DIRECTION('',(1.,0.,0.)); #41954=DIRECTION('',(0.,0.,1.)); #41955=DIRECTION('center_axis',(0.,0.,1.)); #41956=DIRECTION('ref_axis',(0.,1.,0.)); #41957=DIRECTION('center_axis',(0.,0.,-1.)); #41958=DIRECTION('ref_axis',(0.,1.,0.)); #41959=DIRECTION('center_axis',(0.,0.,-1.)); #41960=DIRECTION('ref_axis',(0.,1.,0.)); #41961=DIRECTION('',(0.,0.,1.)); #41962=DIRECTION('center_axis',(1.,0.,0.)); #41963=DIRECTION('ref_axis',(0.,1.,0.)); #41964=DIRECTION('',(0.,1.,0.)); #41965=DIRECTION('',(0.,1.,0.)); #41966=DIRECTION('',(0.,0.,1.)); #41967=DIRECTION('center_axis',(0.,0.,1.)); #41968=DIRECTION('ref_axis',(-1.,0.,0.)); #41969=DIRECTION('center_axis',(0.,0.,-1.)); #41970=DIRECTION('ref_axis',(-1.,0.,0.)); #41971=DIRECTION('center_axis',(0.,0.,-1.)); #41972=DIRECTION('ref_axis',(-1.,0.,0.)); #41973=DIRECTION('center_axis',(0.,1.,0.)); #41974=DIRECTION('ref_axis',(-1.,0.,0.)); #41975=DIRECTION('',(-1.,0.,0.)); #41976=DIRECTION('',(0.,0.,1.)); #41977=DIRECTION('',(-1.,0.,0.)); #41978=DIRECTION('',(0.,0.,1.)); #41979=DIRECTION('center_axis',(0.,0.,1.)); #41980=DIRECTION('ref_axis',(0.,-1.,0.)); #41981=DIRECTION('center_axis',(0.,0.,-1.)); #41982=DIRECTION('ref_axis',(0.,-1.,0.)); #41983=DIRECTION('center_axis',(0.,0.,-1.)); #41984=DIRECTION('ref_axis',(0.,-1.,0.)); #41985=DIRECTION('',(0.,0.,1.)); #41986=DIRECTION('center_axis',(-1.,0.,0.)); #41987=DIRECTION('ref_axis',(0.,-1.,0.)); #41988=DIRECTION('',(0.,-1.,0.)); #41989=DIRECTION('',(0.,-1.,0.)); #41990=DIRECTION('',(0.,0.,1.)); #41991=DIRECTION('center_axis',(0.,0.,1.)); #41992=DIRECTION('ref_axis',(1.,0.,0.)); #41993=DIRECTION('center_axis',(0.,0.,-1.)); #41994=DIRECTION('ref_axis',(1.,0.,0.)); #41995=DIRECTION('center_axis',(0.,0.,-1.)); #41996=DIRECTION('ref_axis',(1.,0.,0.)); #41997=DIRECTION('',(0.,0.,1.)); #41998=DIRECTION('center_axis',(0.,-1.,0.)); #41999=DIRECTION('ref_axis',(1.,0.,0.)); #42000=DIRECTION('',(1.,0.,0.)); #42001=DIRECTION('',(1.,0.,0.)); #42002=DIRECTION('',(0.,0.,1.)); #42003=DIRECTION('center_axis',(0.,0.,1.)); #42004=DIRECTION('ref_axis',(0.,1.,0.)); #42005=DIRECTION('center_axis',(0.,0.,-1.)); #42006=DIRECTION('ref_axis',(0.,1.,0.)); #42007=DIRECTION('center_axis',(0.,0.,-1.)); #42008=DIRECTION('ref_axis',(0.,1.,0.)); #42009=DIRECTION('',(0.,0.,1.)); #42010=DIRECTION('center_axis',(1.,0.,0.)); #42011=DIRECTION('ref_axis',(0.,1.,0.)); #42012=DIRECTION('',(0.,1.,0.)); #42013=DIRECTION('',(0.,1.,0.)); #42014=DIRECTION('',(0.,0.,1.)); #42015=DIRECTION('center_axis',(0.,0.,1.)); #42016=DIRECTION('ref_axis',(-1.,0.,0.)); #42017=DIRECTION('center_axis',(0.,0.,-1.)); #42018=DIRECTION('ref_axis',(-1.,0.,0.)); #42019=DIRECTION('center_axis',(0.,0.,-1.)); #42020=DIRECTION('ref_axis',(-1.,0.,0.)); #42021=DIRECTION('center_axis',(0.,1.,0.)); #42022=DIRECTION('ref_axis',(-1.,0.,0.)); #42023=DIRECTION('',(-1.,0.,0.)); #42024=DIRECTION('',(0.,0.,1.)); #42025=DIRECTION('',(-1.,0.,0.)); #42026=DIRECTION('',(0.,0.,1.)); #42027=DIRECTION('center_axis',(0.,0.,1.)); #42028=DIRECTION('ref_axis',(0.,-1.,0.)); #42029=DIRECTION('center_axis',(0.,0.,-1.)); #42030=DIRECTION('ref_axis',(0.,-1.,0.)); #42031=DIRECTION('center_axis',(0.,0.,-1.)); #42032=DIRECTION('ref_axis',(0.,-1.,0.)); #42033=DIRECTION('',(0.,0.,1.)); #42034=DIRECTION('center_axis',(-1.,0.,0.)); #42035=DIRECTION('ref_axis',(0.,-1.,0.)); #42036=DIRECTION('',(0.,-1.,0.)); #42037=DIRECTION('',(0.,-1.,0.)); #42038=DIRECTION('',(0.,0.,1.)); #42039=DIRECTION('center_axis',(0.,0.,1.)); #42040=DIRECTION('ref_axis',(1.,0.,0.)); #42041=DIRECTION('center_axis',(0.,0.,-1.)); #42042=DIRECTION('ref_axis',(1.,0.,0.)); #42043=DIRECTION('center_axis',(0.,0.,-1.)); #42044=DIRECTION('ref_axis',(1.,0.,0.)); #42045=DIRECTION('',(0.,0.,1.)); #42046=DIRECTION('center_axis',(0.,-1.,0.)); #42047=DIRECTION('ref_axis',(1.,0.,0.)); #42048=DIRECTION('',(1.,0.,0.)); #42049=DIRECTION('',(1.,0.,0.)); #42050=DIRECTION('',(0.,0.,1.)); #42051=DIRECTION('center_axis',(0.,0.,1.)); #42052=DIRECTION('ref_axis',(0.,1.,0.)); #42053=DIRECTION('center_axis',(0.,0.,-1.)); #42054=DIRECTION('ref_axis',(0.,1.,0.)); #42055=DIRECTION('center_axis',(0.,0.,-1.)); #42056=DIRECTION('ref_axis',(0.,1.,0.)); #42057=DIRECTION('',(0.,0.,1.)); #42058=DIRECTION('center_axis',(1.,0.,0.)); #42059=DIRECTION('ref_axis',(0.,1.,0.)); #42060=DIRECTION('',(0.,1.,0.)); #42061=DIRECTION('',(0.,1.,0.)); #42062=DIRECTION('',(0.,0.,1.)); #42063=DIRECTION('center_axis',(0.,0.,1.)); #42064=DIRECTION('ref_axis',(-1.,0.,0.)); #42065=DIRECTION('center_axis',(0.,0.,-1.)); #42066=DIRECTION('ref_axis',(-1.,0.,0.)); #42067=DIRECTION('center_axis',(0.,0.,-1.)); #42068=DIRECTION('ref_axis',(-1.,0.,0.)); #42069=DIRECTION('center_axis',(0.,1.,0.)); #42070=DIRECTION('ref_axis',(-1.,0.,0.)); #42071=DIRECTION('',(-1.,0.,0.)); #42072=DIRECTION('',(0.,0.,1.)); #42073=DIRECTION('',(-1.,0.,0.)); #42074=DIRECTION('',(0.,0.,1.)); #42075=DIRECTION('center_axis',(0.,0.,1.)); #42076=DIRECTION('ref_axis',(0.,-1.,0.)); #42077=DIRECTION('center_axis',(0.,0.,-1.)); #42078=DIRECTION('ref_axis',(0.,-1.,0.)); #42079=DIRECTION('center_axis',(0.,0.,-1.)); #42080=DIRECTION('ref_axis',(0.,-1.,0.)); #42081=DIRECTION('',(0.,0.,1.)); #42082=DIRECTION('center_axis',(-1.,0.,0.)); #42083=DIRECTION('ref_axis',(0.,-1.,0.)); #42084=DIRECTION('',(0.,-1.,0.)); #42085=DIRECTION('',(0.,-1.,0.)); #42086=DIRECTION('',(0.,0.,1.)); #42087=DIRECTION('center_axis',(0.,0.,1.)); #42088=DIRECTION('ref_axis',(1.,0.,0.)); #42089=DIRECTION('center_axis',(0.,0.,-1.)); #42090=DIRECTION('ref_axis',(1.,0.,0.)); #42091=DIRECTION('center_axis',(0.,0.,-1.)); #42092=DIRECTION('ref_axis',(1.,0.,0.)); #42093=DIRECTION('',(0.,0.,1.)); #42094=DIRECTION('center_axis',(0.,-1.,0.)); #42095=DIRECTION('ref_axis',(1.,0.,0.)); #42096=DIRECTION('',(1.,0.,0.)); #42097=DIRECTION('',(1.,0.,0.)); #42098=DIRECTION('',(0.,0.,1.)); #42099=DIRECTION('center_axis',(0.,0.,1.)); #42100=DIRECTION('ref_axis',(0.,1.,0.)); #42101=DIRECTION('center_axis',(0.,0.,-1.)); #42102=DIRECTION('ref_axis',(0.,1.,0.)); #42103=DIRECTION('center_axis',(0.,0.,-1.)); #42104=DIRECTION('ref_axis',(0.,1.,0.)); #42105=DIRECTION('',(0.,0.,1.)); #42106=DIRECTION('center_axis',(1.,0.,0.)); #42107=DIRECTION('ref_axis',(0.,1.,0.)); #42108=DIRECTION('',(0.,1.,0.)); #42109=DIRECTION('',(0.,1.,0.)); #42110=DIRECTION('',(0.,0.,1.)); #42111=DIRECTION('center_axis',(0.,0.,1.)); #42112=DIRECTION('ref_axis',(-1.,0.,0.)); #42113=DIRECTION('center_axis',(0.,0.,-1.)); #42114=DIRECTION('ref_axis',(-1.,0.,0.)); #42115=DIRECTION('center_axis',(0.,0.,-1.)); #42116=DIRECTION('ref_axis',(-1.,0.,0.)); #42117=DIRECTION('center_axis',(0.,1.,0.)); #42118=DIRECTION('ref_axis',(-1.,0.,0.)); #42119=DIRECTION('',(-1.,0.,0.)); #42120=DIRECTION('',(0.,0.,1.)); #42121=DIRECTION('',(-1.,0.,0.)); #42122=DIRECTION('',(0.,0.,1.)); #42123=DIRECTION('center_axis',(0.,0.,1.)); #42124=DIRECTION('ref_axis',(0.,-1.,0.)); #42125=DIRECTION('center_axis',(0.,0.,-1.)); #42126=DIRECTION('ref_axis',(0.,-1.,0.)); #42127=DIRECTION('center_axis',(0.,0.,-1.)); #42128=DIRECTION('ref_axis',(0.,-1.,0.)); #42129=DIRECTION('',(0.,0.,1.)); #42130=DIRECTION('center_axis',(-1.,0.,0.)); #42131=DIRECTION('ref_axis',(0.,-1.,0.)); #42132=DIRECTION('',(0.,-1.,0.)); #42133=DIRECTION('',(0.,-1.,0.)); #42134=DIRECTION('',(0.,0.,1.)); #42135=DIRECTION('center_axis',(0.,0.,1.)); #42136=DIRECTION('ref_axis',(1.,0.,0.)); #42137=DIRECTION('center_axis',(0.,0.,-1.)); #42138=DIRECTION('ref_axis',(1.,0.,0.)); #42139=DIRECTION('center_axis',(0.,0.,-1.)); #42140=DIRECTION('ref_axis',(1.,0.,0.)); #42141=DIRECTION('',(0.,0.,1.)); #42142=DIRECTION('center_axis',(0.,-1.,0.)); #42143=DIRECTION('ref_axis',(1.,0.,0.)); #42144=DIRECTION('',(1.,0.,0.)); #42145=DIRECTION('',(1.,0.,0.)); #42146=DIRECTION('',(0.,0.,1.)); #42147=DIRECTION('center_axis',(0.,0.,1.)); #42148=DIRECTION('ref_axis',(0.,1.,0.)); #42149=DIRECTION('center_axis',(0.,0.,-1.)); #42150=DIRECTION('ref_axis',(0.,1.,0.)); #42151=DIRECTION('center_axis',(0.,0.,-1.)); #42152=DIRECTION('ref_axis',(0.,1.,0.)); #42153=DIRECTION('',(0.,0.,1.)); #42154=DIRECTION('center_axis',(1.,0.,0.)); #42155=DIRECTION('ref_axis',(0.,1.,0.)); #42156=DIRECTION('',(0.,1.,0.)); #42157=DIRECTION('',(0.,1.,0.)); #42158=DIRECTION('',(0.,0.,1.)); #42159=DIRECTION('center_axis',(0.,0.,1.)); #42160=DIRECTION('ref_axis',(-1.,0.,0.)); #42161=DIRECTION('center_axis',(0.,0.,-1.)); #42162=DIRECTION('ref_axis',(-1.,0.,0.)); #42163=DIRECTION('center_axis',(0.,0.,-1.)); #42164=DIRECTION('ref_axis',(-1.,0.,0.)); #42165=DIRECTION('center_axis',(0.,1.,0.)); #42166=DIRECTION('ref_axis',(-1.,0.,0.)); #42167=DIRECTION('',(-1.,0.,0.)); #42168=DIRECTION('',(0.,0.,1.)); #42169=DIRECTION('',(-1.,0.,0.)); #42170=DIRECTION('',(0.,0.,1.)); #42171=DIRECTION('center_axis',(0.,0.,1.)); #42172=DIRECTION('ref_axis',(0.,-1.,0.)); #42173=DIRECTION('center_axis',(0.,0.,-1.)); #42174=DIRECTION('ref_axis',(0.,-1.,0.)); #42175=DIRECTION('center_axis',(0.,0.,-1.)); #42176=DIRECTION('ref_axis',(0.,-1.,0.)); #42177=DIRECTION('',(0.,0.,1.)); #42178=DIRECTION('center_axis',(-1.,0.,0.)); #42179=DIRECTION('ref_axis',(0.,-1.,0.)); #42180=DIRECTION('',(0.,-1.,0.)); #42181=DIRECTION('',(0.,-1.,0.)); #42182=DIRECTION('',(0.,0.,1.)); #42183=DIRECTION('center_axis',(0.,0.,1.)); #42184=DIRECTION('ref_axis',(1.,0.,0.)); #42185=DIRECTION('center_axis',(0.,0.,-1.)); #42186=DIRECTION('ref_axis',(1.,0.,0.)); #42187=DIRECTION('center_axis',(0.,0.,-1.)); #42188=DIRECTION('ref_axis',(1.,0.,0.)); #42189=DIRECTION('',(0.,0.,1.)); #42190=DIRECTION('center_axis',(0.,-1.,0.)); #42191=DIRECTION('ref_axis',(1.,0.,0.)); #42192=DIRECTION('',(1.,0.,0.)); #42193=DIRECTION('',(1.,0.,0.)); #42194=DIRECTION('',(0.,0.,1.)); #42195=DIRECTION('center_axis',(0.,0.,1.)); #42196=DIRECTION('ref_axis',(0.,1.,0.)); #42197=DIRECTION('center_axis',(0.,0.,-1.)); #42198=DIRECTION('ref_axis',(0.,1.,0.)); #42199=DIRECTION('center_axis',(0.,0.,-1.)); #42200=DIRECTION('ref_axis',(0.,1.,0.)); #42201=DIRECTION('',(0.,0.,1.)); #42202=DIRECTION('center_axis',(1.,0.,0.)); #42203=DIRECTION('ref_axis',(0.,1.,0.)); #42204=DIRECTION('',(0.,1.,0.)); #42205=DIRECTION('',(0.,1.,0.)); #42206=DIRECTION('',(0.,0.,1.)); #42207=DIRECTION('center_axis',(0.,0.,1.)); #42208=DIRECTION('ref_axis',(-1.,0.,0.)); #42209=DIRECTION('center_axis',(0.,0.,-1.)); #42210=DIRECTION('ref_axis',(-1.,0.,0.)); #42211=DIRECTION('center_axis',(0.,0.,-1.)); #42212=DIRECTION('ref_axis',(-1.,0.,0.)); #42213=DIRECTION('center_axis',(0.,1.,0.)); #42214=DIRECTION('ref_axis',(-1.,0.,0.)); #42215=DIRECTION('',(-1.,0.,0.)); #42216=DIRECTION('',(0.,0.,1.)); #42217=DIRECTION('',(-1.,0.,0.)); #42218=DIRECTION('',(0.,0.,1.)); #42219=DIRECTION('center_axis',(0.,0.,1.)); #42220=DIRECTION('ref_axis',(0.,-1.,0.)); #42221=DIRECTION('center_axis',(0.,0.,-1.)); #42222=DIRECTION('ref_axis',(0.,-1.,0.)); #42223=DIRECTION('center_axis',(0.,0.,-1.)); #42224=DIRECTION('ref_axis',(0.,-1.,0.)); #42225=DIRECTION('',(0.,0.,1.)); #42226=DIRECTION('center_axis',(-1.,0.,0.)); #42227=DIRECTION('ref_axis',(0.,-1.,0.)); #42228=DIRECTION('',(0.,-1.,0.)); #42229=DIRECTION('',(0.,-1.,0.)); #42230=DIRECTION('',(0.,0.,1.)); #42231=DIRECTION('center_axis',(0.,0.,1.)); #42232=DIRECTION('ref_axis',(1.,0.,0.)); #42233=DIRECTION('center_axis',(0.,0.,-1.)); #42234=DIRECTION('ref_axis',(1.,0.,0.)); #42235=DIRECTION('center_axis',(0.,0.,-1.)); #42236=DIRECTION('ref_axis',(1.,0.,0.)); #42237=DIRECTION('',(0.,0.,1.)); #42238=DIRECTION('center_axis',(0.,-1.,0.)); #42239=DIRECTION('ref_axis',(1.,0.,0.)); #42240=DIRECTION('',(1.,0.,0.)); #42241=DIRECTION('',(1.,0.,0.)); #42242=DIRECTION('',(0.,0.,1.)); #42243=DIRECTION('center_axis',(0.,0.,1.)); #42244=DIRECTION('ref_axis',(0.,1.,0.)); #42245=DIRECTION('center_axis',(0.,0.,-1.)); #42246=DIRECTION('ref_axis',(0.,1.,0.)); #42247=DIRECTION('center_axis',(0.,0.,-1.)); #42248=DIRECTION('ref_axis',(0.,1.,0.)); #42249=DIRECTION('',(0.,0.,1.)); #42250=DIRECTION('center_axis',(1.,0.,0.)); #42251=DIRECTION('ref_axis',(0.,1.,0.)); #42252=DIRECTION('',(0.,1.,0.)); #42253=DIRECTION('',(0.,1.,0.)); #42254=DIRECTION('',(0.,0.,1.)); #42255=DIRECTION('center_axis',(0.,0.,1.)); #42256=DIRECTION('ref_axis',(-1.,0.,0.)); #42257=DIRECTION('center_axis',(0.,0.,-1.)); #42258=DIRECTION('ref_axis',(-1.,0.,0.)); #42259=DIRECTION('center_axis',(0.,0.,-1.)); #42260=DIRECTION('ref_axis',(-1.,0.,0.)); #42261=DIRECTION('center_axis',(0.,1.,0.)); #42262=DIRECTION('ref_axis',(-1.,0.,0.)); #42263=DIRECTION('',(-1.,0.,0.)); #42264=DIRECTION('',(0.,0.,1.)); #42265=DIRECTION('',(-1.,0.,0.)); #42266=DIRECTION('',(0.,0.,1.)); #42267=DIRECTION('center_axis',(0.,0.,1.)); #42268=DIRECTION('ref_axis',(0.,-1.,0.)); #42269=DIRECTION('center_axis',(0.,0.,-1.)); #42270=DIRECTION('ref_axis',(0.,-1.,0.)); #42271=DIRECTION('center_axis',(0.,0.,-1.)); #42272=DIRECTION('ref_axis',(0.,-1.,0.)); #42273=DIRECTION('',(0.,0.,1.)); #42274=DIRECTION('center_axis',(-1.,0.,0.)); #42275=DIRECTION('ref_axis',(0.,-1.,0.)); #42276=DIRECTION('',(0.,-1.,0.)); #42277=DIRECTION('',(0.,-1.,0.)); #42278=DIRECTION('',(0.,0.,1.)); #42279=DIRECTION('center_axis',(0.,0.,1.)); #42280=DIRECTION('ref_axis',(1.,0.,0.)); #42281=DIRECTION('center_axis',(0.,0.,-1.)); #42282=DIRECTION('ref_axis',(1.,0.,0.)); #42283=DIRECTION('center_axis',(0.,0.,-1.)); #42284=DIRECTION('ref_axis',(1.,0.,0.)); #42285=DIRECTION('',(0.,0.,1.)); #42286=DIRECTION('center_axis',(0.,-1.,0.)); #42287=DIRECTION('ref_axis',(1.,0.,0.)); #42288=DIRECTION('',(1.,0.,0.)); #42289=DIRECTION('',(1.,0.,0.)); #42290=DIRECTION('',(0.,0.,1.)); #42291=DIRECTION('center_axis',(0.,0.,1.)); #42292=DIRECTION('ref_axis',(0.,1.,0.)); #42293=DIRECTION('center_axis',(0.,0.,-1.)); #42294=DIRECTION('ref_axis',(0.,1.,0.)); #42295=DIRECTION('center_axis',(0.,0.,-1.)); #42296=DIRECTION('ref_axis',(0.,1.,0.)); #42297=DIRECTION('',(0.,0.,1.)); #42298=DIRECTION('center_axis',(1.,0.,0.)); #42299=DIRECTION('ref_axis',(0.,1.,0.)); #42300=DIRECTION('',(0.,1.,0.)); #42301=DIRECTION('',(0.,1.,0.)); #42302=DIRECTION('',(0.,0.,1.)); #42303=DIRECTION('center_axis',(0.,0.,1.)); #42304=DIRECTION('ref_axis',(-1.,0.,0.)); #42305=DIRECTION('center_axis',(0.,0.,-1.)); #42306=DIRECTION('ref_axis',(-1.,0.,0.)); #42307=DIRECTION('center_axis',(0.,0.,-1.)); #42308=DIRECTION('ref_axis',(-1.,0.,0.)); #42309=DIRECTION('center_axis',(0.,1.,0.)); #42310=DIRECTION('ref_axis',(-1.,0.,0.)); #42311=DIRECTION('',(-1.,0.,0.)); #42312=DIRECTION('',(0.,0.,1.)); #42313=DIRECTION('',(-1.,0.,0.)); #42314=DIRECTION('',(0.,0.,1.)); #42315=DIRECTION('center_axis',(0.,0.,1.)); #42316=DIRECTION('ref_axis',(0.,-1.,0.)); #42317=DIRECTION('center_axis',(0.,0.,-1.)); #42318=DIRECTION('ref_axis',(0.,-1.,0.)); #42319=DIRECTION('center_axis',(0.,0.,-1.)); #42320=DIRECTION('ref_axis',(0.,-1.,0.)); #42321=DIRECTION('',(0.,0.,1.)); #42322=DIRECTION('center_axis',(-1.,0.,0.)); #42323=DIRECTION('ref_axis',(0.,-1.,0.)); #42324=DIRECTION('',(0.,-1.,0.)); #42325=DIRECTION('',(0.,-1.,0.)); #42326=DIRECTION('',(0.,0.,1.)); #42327=DIRECTION('center_axis',(0.,0.,1.)); #42328=DIRECTION('ref_axis',(1.,0.,0.)); #42329=DIRECTION('center_axis',(0.,0.,-1.)); #42330=DIRECTION('ref_axis',(1.,0.,0.)); #42331=DIRECTION('center_axis',(0.,0.,-1.)); #42332=DIRECTION('ref_axis',(1.,0.,0.)); #42333=DIRECTION('',(0.,0.,1.)); #42334=DIRECTION('center_axis',(0.,-1.,0.)); #42335=DIRECTION('ref_axis',(1.,0.,0.)); #42336=DIRECTION('',(1.,0.,0.)); #42337=DIRECTION('',(1.,0.,0.)); #42338=DIRECTION('',(0.,0.,1.)); #42339=DIRECTION('center_axis',(0.,0.,1.)); #42340=DIRECTION('ref_axis',(0.,1.,0.)); #42341=DIRECTION('center_axis',(0.,0.,-1.)); #42342=DIRECTION('ref_axis',(0.,1.,0.)); #42343=DIRECTION('center_axis',(0.,0.,-1.)); #42344=DIRECTION('ref_axis',(0.,1.,0.)); #42345=DIRECTION('',(0.,0.,1.)); #42346=DIRECTION('center_axis',(1.,0.,0.)); #42347=DIRECTION('ref_axis',(0.,1.,0.)); #42348=DIRECTION('',(0.,1.,0.)); #42349=DIRECTION('',(0.,1.,0.)); #42350=DIRECTION('',(0.,0.,1.)); #42351=DIRECTION('center_axis',(0.,0.,1.)); #42352=DIRECTION('ref_axis',(-1.,0.,0.)); #42353=DIRECTION('center_axis',(0.,0.,-1.)); #42354=DIRECTION('ref_axis',(-1.,0.,0.)); #42355=DIRECTION('center_axis',(0.,0.,-1.)); #42356=DIRECTION('ref_axis',(-1.,0.,0.)); #42357=DIRECTION('center_axis',(0.,1.,0.)); #42358=DIRECTION('ref_axis',(-1.,0.,0.)); #42359=DIRECTION('',(-1.,0.,0.)); #42360=DIRECTION('',(0.,0.,1.)); #42361=DIRECTION('',(-1.,0.,0.)); #42362=DIRECTION('',(0.,0.,1.)); #42363=DIRECTION('center_axis',(0.,0.,1.)); #42364=DIRECTION('ref_axis',(0.,-1.,0.)); #42365=DIRECTION('center_axis',(0.,0.,-1.)); #42366=DIRECTION('ref_axis',(0.,-1.,0.)); #42367=DIRECTION('center_axis',(0.,0.,-1.)); #42368=DIRECTION('ref_axis',(0.,-1.,0.)); #42369=DIRECTION('',(0.,0.,1.)); #42370=DIRECTION('center_axis',(-1.,0.,0.)); #42371=DIRECTION('ref_axis',(0.,-1.,0.)); #42372=DIRECTION('',(0.,-1.,0.)); #42373=DIRECTION('',(0.,-1.,0.)); #42374=DIRECTION('',(0.,0.,1.)); #42375=DIRECTION('center_axis',(0.,0.,1.)); #42376=DIRECTION('ref_axis',(1.,0.,0.)); #42377=DIRECTION('center_axis',(0.,0.,-1.)); #42378=DIRECTION('ref_axis',(1.,0.,0.)); #42379=DIRECTION('center_axis',(0.,0.,-1.)); #42380=DIRECTION('ref_axis',(1.,0.,0.)); #42381=DIRECTION('',(0.,0.,1.)); #42382=DIRECTION('center_axis',(0.,-1.,0.)); #42383=DIRECTION('ref_axis',(1.,0.,0.)); #42384=DIRECTION('',(1.,0.,0.)); #42385=DIRECTION('',(1.,0.,0.)); #42386=DIRECTION('',(0.,0.,1.)); #42387=DIRECTION('center_axis',(0.,0.,1.)); #42388=DIRECTION('ref_axis',(0.,1.,0.)); #42389=DIRECTION('center_axis',(0.,0.,-1.)); #42390=DIRECTION('ref_axis',(0.,1.,0.)); #42391=DIRECTION('center_axis',(0.,0.,-1.)); #42392=DIRECTION('ref_axis',(0.,1.,0.)); #42393=DIRECTION('',(0.,0.,1.)); #42394=DIRECTION('center_axis',(1.,0.,0.)); #42395=DIRECTION('ref_axis',(0.,1.,0.)); #42396=DIRECTION('',(0.,1.,0.)); #42397=DIRECTION('',(0.,1.,0.)); #42398=DIRECTION('',(0.,0.,1.)); #42399=DIRECTION('center_axis',(0.,0.,1.)); #42400=DIRECTION('ref_axis',(-1.,0.,0.)); #42401=DIRECTION('center_axis',(0.,0.,-1.)); #42402=DIRECTION('ref_axis',(-1.,0.,0.)); #42403=DIRECTION('center_axis',(0.,0.,-1.)); #42404=DIRECTION('ref_axis',(-1.,0.,0.)); #42405=DIRECTION('center_axis',(0.,1.,0.)); #42406=DIRECTION('ref_axis',(-1.,0.,0.)); #42407=DIRECTION('',(-1.,0.,0.)); #42408=DIRECTION('',(0.,0.,1.)); #42409=DIRECTION('',(-1.,0.,0.)); #42410=DIRECTION('',(0.,0.,1.)); #42411=DIRECTION('center_axis',(0.,0.,1.)); #42412=DIRECTION('ref_axis',(0.,-1.,0.)); #42413=DIRECTION('center_axis',(0.,0.,-1.)); #42414=DIRECTION('ref_axis',(0.,-1.,0.)); #42415=DIRECTION('center_axis',(0.,0.,-1.)); #42416=DIRECTION('ref_axis',(0.,-1.,0.)); #42417=DIRECTION('',(0.,0.,1.)); #42418=DIRECTION('center_axis',(-1.,0.,0.)); #42419=DIRECTION('ref_axis',(0.,-1.,0.)); #42420=DIRECTION('',(0.,-1.,0.)); #42421=DIRECTION('',(0.,-1.,0.)); #42422=DIRECTION('',(0.,0.,1.)); #42423=DIRECTION('center_axis',(0.,0.,1.)); #42424=DIRECTION('ref_axis',(1.,0.,0.)); #42425=DIRECTION('center_axis',(0.,0.,-1.)); #42426=DIRECTION('ref_axis',(1.,0.,0.)); #42427=DIRECTION('center_axis',(0.,0.,-1.)); #42428=DIRECTION('ref_axis',(1.,0.,0.)); #42429=DIRECTION('',(0.,0.,1.)); #42430=DIRECTION('center_axis',(0.,-1.,0.)); #42431=DIRECTION('ref_axis',(1.,0.,0.)); #42432=DIRECTION('',(1.,0.,0.)); #42433=DIRECTION('',(1.,0.,0.)); #42434=DIRECTION('',(0.,0.,1.)); #42435=DIRECTION('center_axis',(0.,0.,1.)); #42436=DIRECTION('ref_axis',(0.,1.,0.)); #42437=DIRECTION('center_axis',(0.,0.,-1.)); #42438=DIRECTION('ref_axis',(0.,1.,0.)); #42439=DIRECTION('center_axis',(0.,0.,-1.)); #42440=DIRECTION('ref_axis',(0.,1.,0.)); #42441=DIRECTION('',(0.,0.,1.)); #42442=DIRECTION('center_axis',(1.,0.,0.)); #42443=DIRECTION('ref_axis',(0.,1.,0.)); #42444=DIRECTION('',(0.,1.,0.)); #42445=DIRECTION('',(0.,1.,0.)); #42446=DIRECTION('',(0.,0.,1.)); #42447=DIRECTION('center_axis',(0.,0.,1.)); #42448=DIRECTION('ref_axis',(-1.,0.,0.)); #42449=DIRECTION('center_axis',(0.,0.,-1.)); #42450=DIRECTION('ref_axis',(-1.,0.,0.)); #42451=DIRECTION('center_axis',(0.,0.,-1.)); #42452=DIRECTION('ref_axis',(-1.,0.,0.)); #42453=DIRECTION('center_axis',(0.,1.,0.)); #42454=DIRECTION('ref_axis',(-1.,0.,0.)); #42455=DIRECTION('',(-1.,0.,0.)); #42456=DIRECTION('',(0.,0.,1.)); #42457=DIRECTION('',(-1.,0.,0.)); #42458=DIRECTION('',(0.,0.,1.)); #42459=DIRECTION('center_axis',(0.,0.,1.)); #42460=DIRECTION('ref_axis',(0.,-1.,0.)); #42461=DIRECTION('center_axis',(0.,0.,-1.)); #42462=DIRECTION('ref_axis',(0.,-1.,0.)); #42463=DIRECTION('center_axis',(0.,0.,-1.)); #42464=DIRECTION('ref_axis',(0.,-1.,0.)); #42465=DIRECTION('',(0.,0.,1.)); #42466=DIRECTION('center_axis',(-1.,0.,0.)); #42467=DIRECTION('ref_axis',(0.,-1.,0.)); #42468=DIRECTION('',(0.,-1.,0.)); #42469=DIRECTION('',(0.,-1.,0.)); #42470=DIRECTION('',(0.,0.,1.)); #42471=DIRECTION('center_axis',(0.,0.,1.)); #42472=DIRECTION('ref_axis',(1.,0.,0.)); #42473=DIRECTION('center_axis',(0.,0.,-1.)); #42474=DIRECTION('ref_axis',(1.,0.,0.)); #42475=DIRECTION('center_axis',(0.,0.,-1.)); #42476=DIRECTION('ref_axis',(1.,0.,0.)); #42477=DIRECTION('',(0.,0.,1.)); #42478=DIRECTION('center_axis',(0.,-1.,0.)); #42479=DIRECTION('ref_axis',(1.,0.,0.)); #42480=DIRECTION('',(1.,0.,0.)); #42481=DIRECTION('',(1.,0.,0.)); #42482=DIRECTION('',(0.,0.,1.)); #42483=DIRECTION('center_axis',(0.,0.,1.)); #42484=DIRECTION('ref_axis',(0.,1.,0.)); #42485=DIRECTION('center_axis',(0.,0.,-1.)); #42486=DIRECTION('ref_axis',(0.,1.,0.)); #42487=DIRECTION('center_axis',(0.,0.,-1.)); #42488=DIRECTION('ref_axis',(0.,1.,0.)); #42489=DIRECTION('',(0.,0.,1.)); #42490=DIRECTION('center_axis',(1.,0.,0.)); #42491=DIRECTION('ref_axis',(0.,1.,0.)); #42492=DIRECTION('',(0.,1.,0.)); #42493=DIRECTION('',(0.,1.,0.)); #42494=DIRECTION('',(0.,0.,1.)); #42495=DIRECTION('center_axis',(0.,0.,1.)); #42496=DIRECTION('ref_axis',(-1.,0.,0.)); #42497=DIRECTION('center_axis',(0.,0.,-1.)); #42498=DIRECTION('ref_axis',(-1.,0.,0.)); #42499=DIRECTION('center_axis',(0.,0.,-1.)); #42500=DIRECTION('ref_axis',(-1.,0.,0.)); #42501=DIRECTION('center_axis',(0.,1.,0.)); #42502=DIRECTION('ref_axis',(-1.,0.,0.)); #42503=DIRECTION('',(-1.,0.,0.)); #42504=DIRECTION('',(0.,0.,1.)); #42505=DIRECTION('',(-1.,0.,0.)); #42506=DIRECTION('',(0.,0.,1.)); #42507=DIRECTION('center_axis',(0.,0.,1.)); #42508=DIRECTION('ref_axis',(0.,-1.,0.)); #42509=DIRECTION('center_axis',(0.,0.,-1.)); #42510=DIRECTION('ref_axis',(0.,-1.,0.)); #42511=DIRECTION('center_axis',(0.,0.,-1.)); #42512=DIRECTION('ref_axis',(0.,-1.,0.)); #42513=DIRECTION('',(0.,0.,1.)); #42514=DIRECTION('center_axis',(-1.,0.,0.)); #42515=DIRECTION('ref_axis',(0.,-1.,0.)); #42516=DIRECTION('',(0.,-1.,0.)); #42517=DIRECTION('',(0.,-1.,0.)); #42518=DIRECTION('',(0.,0.,1.)); #42519=DIRECTION('center_axis',(0.,0.,1.)); #42520=DIRECTION('ref_axis',(1.,0.,0.)); #42521=DIRECTION('center_axis',(0.,0.,-1.)); #42522=DIRECTION('ref_axis',(1.,0.,0.)); #42523=DIRECTION('center_axis',(0.,0.,-1.)); #42524=DIRECTION('ref_axis',(1.,0.,0.)); #42525=DIRECTION('',(0.,0.,1.)); #42526=DIRECTION('center_axis',(0.,-1.,0.)); #42527=DIRECTION('ref_axis',(1.,0.,0.)); #42528=DIRECTION('',(1.,0.,0.)); #42529=DIRECTION('',(1.,0.,0.)); #42530=DIRECTION('',(0.,0.,1.)); #42531=DIRECTION('center_axis',(0.,0.,1.)); #42532=DIRECTION('ref_axis',(0.,1.,0.)); #42533=DIRECTION('center_axis',(0.,0.,-1.)); #42534=DIRECTION('ref_axis',(0.,1.,0.)); #42535=DIRECTION('center_axis',(0.,0.,-1.)); #42536=DIRECTION('ref_axis',(0.,1.,0.)); #42537=DIRECTION('',(0.,0.,1.)); #42538=DIRECTION('center_axis',(1.,0.,0.)); #42539=DIRECTION('ref_axis',(0.,1.,0.)); #42540=DIRECTION('',(0.,1.,0.)); #42541=DIRECTION('',(0.,1.,0.)); #42542=DIRECTION('',(0.,0.,1.)); #42543=DIRECTION('center_axis',(0.,0.,1.)); #42544=DIRECTION('ref_axis',(-1.,0.,0.)); #42545=DIRECTION('center_axis',(0.,0.,-1.)); #42546=DIRECTION('ref_axis',(-1.,0.,0.)); #42547=DIRECTION('center_axis',(0.,0.,-1.)); #42548=DIRECTION('ref_axis',(-1.,0.,0.)); #42549=DIRECTION('center_axis',(1.,0.,0.)); #42550=DIRECTION('ref_axis',(0.,1.,0.)); #42551=DIRECTION('',(0.,-1.,0.)); #42552=DIRECTION('',(0.,0.,1.)); #42553=DIRECTION('',(0.,1.,0.)); #42554=DIRECTION('',(0.,0.,1.)); #42555=DIRECTION('center_axis',(0.,1.,0.)); #42556=DIRECTION('ref_axis',(-1.,0.,0.)); #42557=DIRECTION('',(-1.,0.,0.)); #42558=DIRECTION('',(-1.,0.,0.)); #42559=DIRECTION('',(0.,0.,1.)); #42560=DIRECTION('center_axis',(-1.,0.,0.)); #42561=DIRECTION('ref_axis',(0.,-1.,0.)); #42562=DIRECTION('',(0.,-1.,0.)); #42563=DIRECTION('',(0.,-1.,0.)); #42564=DIRECTION('',(0.,0.,1.)); #42565=DIRECTION('center_axis',(0.,0.,1.)); #42566=DIRECTION('ref_axis',(1.,-1.39870617275611E-15,0.)); #42567=DIRECTION('center_axis',(0.,0.,1.)); #42568=DIRECTION('ref_axis',(1.,-1.39870617275611E-15,0.)); #42569=DIRECTION('center_axis',(0.,0.,1.)); #42570=DIRECTION('ref_axis',(1.,-1.39870617275611E-15,0.)); #42571=DIRECTION('',(0.,0.,1.)); #42572=DIRECTION('center_axis',(1.,0.,0.)); #42573=DIRECTION('ref_axis',(0.,1.,0.)); #42574=DIRECTION('',(0.,-1.,0.)); #42575=DIRECTION('',(0.,1.,0.)); #42576=DIRECTION('',(0.,0.,1.)); #42577=DIRECTION('center_axis',(0.,0.,1.)); #42578=DIRECTION('ref_axis',(-1.39870617275611E-15,-1.,0.)); #42579=DIRECTION('center_axis',(0.,0.,1.)); #42580=DIRECTION('ref_axis',(-1.39870617275611E-15,-1.,0.)); #42581=DIRECTION('center_axis',(0.,0.,1.)); #42582=DIRECTION('ref_axis',(-1.39870617275611E-15,-1.,0.)); #42583=DIRECTION('',(0.,0.,1.)); #42584=DIRECTION('center_axis',(-1.,0.,0.)); #42585=DIRECTION('ref_axis',(0.,-1.,0.)); #42586=DIRECTION('',(0.,1.,0.)); #42587=DIRECTION('',(0.,-1.,0.)); #42588=DIRECTION('',(0.,0.,1.)); #42589=DIRECTION('center_axis',(0.,-1.,0.)); #42590=DIRECTION('ref_axis',(1.,0.,0.)); #42591=DIRECTION('',(1.,0.,0.)); #42592=DIRECTION('',(1.,0.,0.)); #42593=DIRECTION('',(0.,0.,1.)); #42594=DIRECTION('center_axis',(1.,0.,0.)); #42595=DIRECTION('ref_axis',(0.,1.,0.)); #42596=DIRECTION('',(0.,1.,0.)); #42597=DIRECTION('',(0.,1.,0.)); #42598=DIRECTION('',(0.,0.,1.)); #42599=DIRECTION('center_axis',(1.39870588654316E-15,-1.,0.)); #42600=DIRECTION('ref_axis',(1.,1.39870588654316E-15,0.)); #42601=DIRECTION('',(1.,1.39870588654316E-15,0.)); #42602=DIRECTION('',(1.,1.39870588654316E-15,0.)); #42603=DIRECTION('',(0.,0.,1.)); #42604=DIRECTION('center_axis',(0.,0.,1.)); #42605=DIRECTION('ref_axis',(-1.,1.3987061727561E-15,0.)); #42606=DIRECTION('center_axis',(0.,0.,1.)); #42607=DIRECTION('ref_axis',(-1.,1.3987061727561E-15,0.)); #42608=DIRECTION('center_axis',(0.,0.,1.)); #42609=DIRECTION('ref_axis',(-1.,1.3987061727561E-15,0.)); #42610=DIRECTION('',(0.,0.,1.)); #42611=DIRECTION('center_axis',(-1.,0.,0.)); #42612=DIRECTION('ref_axis',(0.,-1.,0.)); #42613=DIRECTION('',(0.,1.,0.)); #42614=DIRECTION('',(0.,-1.,0.)); #42615=DIRECTION('',(0.,0.,1.)); #42616=DIRECTION('center_axis',(0.,1.,0.)); #42617=DIRECTION('ref_axis',(-1.,0.,0.)); #42618=DIRECTION('',(1.,0.,0.)); #42619=DIRECTION('',(-1.,0.,0.)); #42620=DIRECTION('',(0.,0.,1.)); #42621=DIRECTION('center_axis',(-1.,0.,0.)); #42622=DIRECTION('ref_axis',(0.,-1.,0.)); #42623=DIRECTION('',(0.,-1.,0.)); #42624=DIRECTION('',(0.,-1.,0.)); #42625=DIRECTION('',(0.,0.,1.)); #42626=DIRECTION('center_axis',(0.,-1.,0.)); #42627=DIRECTION('ref_axis',(1.,0.,0.)); #42628=DIRECTION('',(1.,0.,0.)); #42629=DIRECTION('',(1.,0.,0.)); #42630=DIRECTION('',(0.,0.,1.)); #42631=DIRECTION('center_axis',(-1.,0.,0.)); #42632=DIRECTION('ref_axis',(0.,-1.,0.)); #42633=DIRECTION('',(0.,-1.,0.)); #42634=DIRECTION('',(0.,-1.,0.)); #42635=DIRECTION('',(0.,0.,1.)); #42636=DIRECTION('center_axis',(0.,0.,1.)); #42637=DIRECTION('ref_axis',(6.99353086378052E-16,1.,0.)); #42638=DIRECTION('center_axis',(0.,0.,1.)); #42639=DIRECTION('ref_axis',(6.99353086378052E-16,1.,0.)); #42640=DIRECTION('center_axis',(0.,0.,1.)); #42641=DIRECTION('ref_axis',(6.99353086378052E-16,1.,0.)); #42642=DIRECTION('',(0.,0.,1.)); #42643=DIRECTION('center_axis',(1.39870588654316E-15,1.,0.)); #42644=DIRECTION('ref_axis',(-1.,1.39870588654316E-15,0.)); #42645=DIRECTION('',(1.,-1.39870588654316E-15,0.)); #42646=DIRECTION('',(-1.,1.39870588654316E-15,0.)); #42647=DIRECTION('center_axis',(0.,0.,1.)); #42648=DIRECTION('ref_axis',(1.,0.,0.)); #42649=DIRECTION('center_axis',(0.,0.,1.)); #42650=DIRECTION('ref_axis',(1.,0.,0.)); #42651=DIRECTION('center_axis',(0.,-1.,0.)); #42652=DIRECTION('ref_axis',(1.,0.,0.)); #42653=DIRECTION('',(1.,0.,0.)); #42654=DIRECTION('',(0.,0.,1.)); #42655=DIRECTION('',(1.,0.,0.)); #42656=DIRECTION('',(0.,0.,1.)); #42657=DIRECTION('center_axis',(0.,0.,-1.)); #42658=DIRECTION('ref_axis',(0.,-1.,0.)); #42659=DIRECTION('center_axis',(0.,0.,1.)); #42660=DIRECTION('ref_axis',(0.,-1.,0.)); #42661=DIRECTION('',(0.,0.,1.)); #42662=DIRECTION('center_axis',(0.,0.,1.)); #42663=DIRECTION('ref_axis',(0.,-1.,0.)); #42664=DIRECTION('center_axis',(-1.,0.,0.)); #42665=DIRECTION('ref_axis',(0.,-1.,0.)); #42666=DIRECTION('',(0.,-1.,0.)); #42667=DIRECTION('',(0.,0.,1.)); #42668=DIRECTION('',(0.,-1.,0.)); #42669=DIRECTION('center_axis',(0.,0.,-1.)); #42670=DIRECTION('ref_axis',(-1.,0.,0.)); #42671=DIRECTION('center_axis',(0.,0.,1.)); #42672=DIRECTION('ref_axis',(-1.,0.,0.)); #42673=DIRECTION('',(0.,0.,1.)); #42674=DIRECTION('center_axis',(0.,0.,1.)); #42675=DIRECTION('ref_axis',(-1.,0.,0.)); #42676=DIRECTION('center_axis',(0.,1.,0.)); #42677=DIRECTION('ref_axis',(-1.,0.,0.)); #42678=DIRECTION('',(-1.,0.,0.)); #42679=DIRECTION('',(0.,0.,1.)); #42680=DIRECTION('',(-1.,0.,0.)); #42681=DIRECTION('center_axis',(0.,0.,-1.)); #42682=DIRECTION('ref_axis',(0.,1.,0.)); #42683=DIRECTION('center_axis',(0.,0.,1.)); #42684=DIRECTION('ref_axis',(0.,1.,0.)); #42685=DIRECTION('',(0.,0.,1.)); #42686=DIRECTION('center_axis',(0.,0.,1.)); #42687=DIRECTION('ref_axis',(0.,1.,0.)); #42688=DIRECTION('center_axis',(1.,0.,0.)); #42689=DIRECTION('ref_axis',(0.,1.,0.)); #42690=DIRECTION('',(0.,1.,0.)); #42691=DIRECTION('',(0.,0.,1.)); #42692=DIRECTION('',(0.,1.,0.)); #42693=DIRECTION('center_axis',(0.,0.,-1.)); #42694=DIRECTION('ref_axis',(1.,0.,0.)); #42695=DIRECTION('center_axis',(0.,0.,1.)); #42696=DIRECTION('ref_axis',(1.,0.,0.)); #42697=DIRECTION('center_axis',(0.,0.,1.)); #42698=DIRECTION('ref_axis',(1.,0.,0.)); #42699=DIRECTION('center_axis',(0.,-1.,0.)); #42700=DIRECTION('ref_axis',(1.,0.,0.)); #42701=DIRECTION('',(1.,0.,0.)); #42702=DIRECTION('',(0.,0.,1.)); #42703=DIRECTION('',(1.,0.,0.)); #42704=DIRECTION('',(0.,0.,1.)); #42705=DIRECTION('center_axis',(0.,0.,-1.)); #42706=DIRECTION('ref_axis',(0.,-1.,0.)); #42707=DIRECTION('center_axis',(0.,0.,1.)); #42708=DIRECTION('ref_axis',(0.,-1.,0.)); #42709=DIRECTION('',(0.,0.,1.)); #42710=DIRECTION('center_axis',(0.,0.,1.)); #42711=DIRECTION('ref_axis',(0.,-1.,0.)); #42712=DIRECTION('center_axis',(-1.,0.,0.)); #42713=DIRECTION('ref_axis',(0.,-1.,0.)); #42714=DIRECTION('',(0.,-1.,0.)); #42715=DIRECTION('',(0.,0.,1.)); #42716=DIRECTION('',(0.,-1.,0.)); #42717=DIRECTION('center_axis',(0.,0.,-1.)); #42718=DIRECTION('ref_axis',(-1.,0.,0.)); #42719=DIRECTION('center_axis',(0.,0.,1.)); #42720=DIRECTION('ref_axis',(-1.,0.,0.)); #42721=DIRECTION('',(0.,0.,1.)); #42722=DIRECTION('center_axis',(0.,0.,1.)); #42723=DIRECTION('ref_axis',(-1.,0.,0.)); #42724=DIRECTION('center_axis',(0.,1.,0.)); #42725=DIRECTION('ref_axis',(-1.,0.,0.)); #42726=DIRECTION('',(-1.,0.,0.)); #42727=DIRECTION('',(0.,0.,1.)); #42728=DIRECTION('',(-1.,0.,0.)); #42729=DIRECTION('center_axis',(0.,0.,-1.)); #42730=DIRECTION('ref_axis',(0.,1.,0.)); #42731=DIRECTION('center_axis',(0.,0.,1.)); #42732=DIRECTION('ref_axis',(0.,1.,0.)); #42733=DIRECTION('',(0.,0.,1.)); #42734=DIRECTION('center_axis',(0.,0.,1.)); #42735=DIRECTION('ref_axis',(0.,1.,0.)); #42736=DIRECTION('center_axis',(1.,0.,0.)); #42737=DIRECTION('ref_axis',(0.,1.,0.)); #42738=DIRECTION('',(0.,1.,0.)); #42739=DIRECTION('',(0.,0.,1.)); #42740=DIRECTION('',(0.,1.,0.)); #42741=DIRECTION('center_axis',(0.,0.,-1.)); #42742=DIRECTION('ref_axis',(1.,0.,0.)); #42743=DIRECTION('center_axis',(0.,0.,1.)); #42744=DIRECTION('ref_axis',(1.,0.,0.)); #42745=DIRECTION('center_axis',(0.,0.,1.)); #42746=DIRECTION('ref_axis',(1.,0.,0.)); #42747=DIRECTION('center_axis',(0.,-1.,0.)); #42748=DIRECTION('ref_axis',(1.,0.,0.)); #42749=DIRECTION('',(1.,0.,0.)); #42750=DIRECTION('',(0.,0.,1.)); #42751=DIRECTION('',(1.,0.,0.)); #42752=DIRECTION('',(0.,0.,1.)); #42753=DIRECTION('center_axis',(0.,0.,-1.)); #42754=DIRECTION('ref_axis',(0.,-1.,0.)); #42755=DIRECTION('center_axis',(0.,0.,1.)); #42756=DIRECTION('ref_axis',(0.,-1.,0.)); #42757=DIRECTION('',(0.,0.,1.)); #42758=DIRECTION('center_axis',(0.,0.,1.)); #42759=DIRECTION('ref_axis',(0.,-1.,0.)); #42760=DIRECTION('center_axis',(-1.,0.,0.)); #42761=DIRECTION('ref_axis',(0.,-1.,0.)); #42762=DIRECTION('',(0.,-1.,0.)); #42763=DIRECTION('',(0.,0.,1.)); #42764=DIRECTION('',(0.,-1.,0.)); #42765=DIRECTION('center_axis',(0.,0.,-1.)); #42766=DIRECTION('ref_axis',(-1.,0.,0.)); #42767=DIRECTION('center_axis',(0.,0.,1.)); #42768=DIRECTION('ref_axis',(-1.,0.,0.)); #42769=DIRECTION('',(0.,0.,1.)); #42770=DIRECTION('center_axis',(0.,0.,1.)); #42771=DIRECTION('ref_axis',(-1.,0.,0.)); #42772=DIRECTION('center_axis',(0.,1.,0.)); #42773=DIRECTION('ref_axis',(-1.,0.,0.)); #42774=DIRECTION('',(-1.,0.,0.)); #42775=DIRECTION('',(0.,0.,1.)); #42776=DIRECTION('',(-1.,0.,0.)); #42777=DIRECTION('center_axis',(0.,0.,-1.)); #42778=DIRECTION('ref_axis',(0.,1.,0.)); #42779=DIRECTION('center_axis',(0.,0.,1.)); #42780=DIRECTION('ref_axis',(0.,1.,0.)); #42781=DIRECTION('',(0.,0.,1.)); #42782=DIRECTION('center_axis',(0.,0.,1.)); #42783=DIRECTION('ref_axis',(0.,1.,0.)); #42784=DIRECTION('center_axis',(1.,0.,0.)); #42785=DIRECTION('ref_axis',(0.,1.,0.)); #42786=DIRECTION('',(0.,1.,0.)); #42787=DIRECTION('',(0.,0.,1.)); #42788=DIRECTION('',(0.,1.,0.)); #42789=DIRECTION('center_axis',(0.,0.,-1.)); #42790=DIRECTION('ref_axis',(1.,0.,0.)); #42791=DIRECTION('center_axis',(0.,0.,1.)); #42792=DIRECTION('ref_axis',(1.,0.,0.)); #42793=DIRECTION('center_axis',(0.,0.,1.)); #42794=DIRECTION('ref_axis',(1.,0.,0.)); #42795=DIRECTION('center_axis',(0.,-1.,0.)); #42796=DIRECTION('ref_axis',(1.,0.,0.)); #42797=DIRECTION('',(1.,0.,0.)); #42798=DIRECTION('',(0.,0.,1.)); #42799=DIRECTION('',(1.,0.,0.)); #42800=DIRECTION('',(0.,0.,1.)); #42801=DIRECTION('center_axis',(0.,0.,-1.)); #42802=DIRECTION('ref_axis',(0.,-1.,0.)); #42803=DIRECTION('center_axis',(0.,0.,1.)); #42804=DIRECTION('ref_axis',(0.,-1.,0.)); #42805=DIRECTION('',(0.,0.,1.)); #42806=DIRECTION('center_axis',(0.,0.,1.)); #42807=DIRECTION('ref_axis',(0.,-1.,0.)); #42808=DIRECTION('center_axis',(-1.,0.,0.)); #42809=DIRECTION('ref_axis',(0.,-1.,0.)); #42810=DIRECTION('',(0.,-1.,0.)); #42811=DIRECTION('',(0.,0.,1.)); #42812=DIRECTION('',(0.,-1.,0.)); #42813=DIRECTION('center_axis',(0.,0.,-1.)); #42814=DIRECTION('ref_axis',(-1.,0.,0.)); #42815=DIRECTION('center_axis',(0.,0.,1.)); #42816=DIRECTION('ref_axis',(-1.,0.,0.)); #42817=DIRECTION('',(0.,0.,1.)); #42818=DIRECTION('center_axis',(0.,0.,1.)); #42819=DIRECTION('ref_axis',(-1.,0.,0.)); #42820=DIRECTION('center_axis',(0.,1.,0.)); #42821=DIRECTION('ref_axis',(-1.,0.,0.)); #42822=DIRECTION('',(-1.,0.,0.)); #42823=DIRECTION('',(0.,0.,1.)); #42824=DIRECTION('',(-1.,0.,0.)); #42825=DIRECTION('center_axis',(0.,0.,-1.)); #42826=DIRECTION('ref_axis',(0.,1.,0.)); #42827=DIRECTION('center_axis',(0.,0.,1.)); #42828=DIRECTION('ref_axis',(0.,1.,0.)); #42829=DIRECTION('',(0.,0.,1.)); #42830=DIRECTION('center_axis',(0.,0.,1.)); #42831=DIRECTION('ref_axis',(0.,1.,0.)); #42832=DIRECTION('center_axis',(1.,0.,0.)); #42833=DIRECTION('ref_axis',(0.,1.,0.)); #42834=DIRECTION('',(0.,1.,0.)); #42835=DIRECTION('',(0.,0.,1.)); #42836=DIRECTION('',(0.,1.,0.)); #42837=DIRECTION('center_axis',(0.,0.,-1.)); #42838=DIRECTION('ref_axis',(1.,0.,0.)); #42839=DIRECTION('center_axis',(0.,0.,1.)); #42840=DIRECTION('ref_axis',(1.,0.,0.)); #42841=DIRECTION('center_axis',(0.,0.,1.)); #42842=DIRECTION('ref_axis',(1.,0.,0.)); #42843=DIRECTION('center_axis',(0.,-1.,0.)); #42844=DIRECTION('ref_axis',(1.,0.,0.)); #42845=DIRECTION('',(1.,0.,0.)); #42846=DIRECTION('',(0.,0.,1.)); #42847=DIRECTION('',(1.,0.,0.)); #42848=DIRECTION('',(0.,0.,1.)); #42849=DIRECTION('center_axis',(0.,0.,-1.)); #42850=DIRECTION('ref_axis',(0.,-1.,0.)); #42851=DIRECTION('center_axis',(0.,0.,1.)); #42852=DIRECTION('ref_axis',(0.,-1.,0.)); #42853=DIRECTION('',(0.,0.,1.)); #42854=DIRECTION('center_axis',(0.,0.,1.)); #42855=DIRECTION('ref_axis',(0.,-1.,0.)); #42856=DIRECTION('center_axis',(-1.,0.,0.)); #42857=DIRECTION('ref_axis',(0.,-1.,0.)); #42858=DIRECTION('',(0.,-1.,0.)); #42859=DIRECTION('',(0.,0.,1.)); #42860=DIRECTION('',(0.,-1.,0.)); #42861=DIRECTION('center_axis',(0.,0.,-1.)); #42862=DIRECTION('ref_axis',(-1.,0.,0.)); #42863=DIRECTION('center_axis',(0.,0.,1.)); #42864=DIRECTION('ref_axis',(-1.,0.,0.)); #42865=DIRECTION('',(0.,0.,1.)); #42866=DIRECTION('center_axis',(0.,0.,1.)); #42867=DIRECTION('ref_axis',(-1.,0.,0.)); #42868=DIRECTION('center_axis',(0.,1.,0.)); #42869=DIRECTION('ref_axis',(-1.,0.,0.)); #42870=DIRECTION('',(-1.,0.,0.)); #42871=DIRECTION('',(0.,0.,1.)); #42872=DIRECTION('',(-1.,0.,0.)); #42873=DIRECTION('center_axis',(0.,0.,-1.)); #42874=DIRECTION('ref_axis',(0.,1.,0.)); #42875=DIRECTION('center_axis',(0.,0.,1.)); #42876=DIRECTION('ref_axis',(0.,1.,0.)); #42877=DIRECTION('',(0.,0.,1.)); #42878=DIRECTION('center_axis',(0.,0.,1.)); #42879=DIRECTION('ref_axis',(0.,1.,0.)); #42880=DIRECTION('center_axis',(1.,0.,0.)); #42881=DIRECTION('ref_axis',(0.,1.,0.)); #42882=DIRECTION('',(0.,1.,0.)); #42883=DIRECTION('',(0.,0.,1.)); #42884=DIRECTION('',(0.,1.,0.)); #42885=DIRECTION('center_axis',(0.,0.,-1.)); #42886=DIRECTION('ref_axis',(1.,0.,0.)); #42887=DIRECTION('center_axis',(0.,0.,1.)); #42888=DIRECTION('ref_axis',(1.,0.,0.)); #42889=DIRECTION('center_axis',(0.,0.,1.)); #42890=DIRECTION('ref_axis',(1.,0.,0.)); #42891=DIRECTION('center_axis',(0.,-1.,0.)); #42892=DIRECTION('ref_axis',(1.,0.,0.)); #42893=DIRECTION('',(1.,0.,0.)); #42894=DIRECTION('',(0.,0.,1.)); #42895=DIRECTION('',(1.,0.,0.)); #42896=DIRECTION('',(0.,0.,1.)); #42897=DIRECTION('center_axis',(0.,0.,-1.)); #42898=DIRECTION('ref_axis',(0.,-1.,0.)); #42899=DIRECTION('center_axis',(0.,0.,1.)); #42900=DIRECTION('ref_axis',(0.,-1.,0.)); #42901=DIRECTION('',(0.,0.,1.)); #42902=DIRECTION('center_axis',(0.,0.,1.)); #42903=DIRECTION('ref_axis',(0.,-1.,0.)); #42904=DIRECTION('center_axis',(-1.,0.,0.)); #42905=DIRECTION('ref_axis',(0.,-1.,0.)); #42906=DIRECTION('',(0.,-1.,0.)); #42907=DIRECTION('',(0.,0.,1.)); #42908=DIRECTION('',(0.,-1.,0.)); #42909=DIRECTION('center_axis',(0.,0.,-1.)); #42910=DIRECTION('ref_axis',(-1.,0.,0.)); #42911=DIRECTION('center_axis',(0.,0.,1.)); #42912=DIRECTION('ref_axis',(-1.,0.,0.)); #42913=DIRECTION('',(0.,0.,1.)); #42914=DIRECTION('center_axis',(0.,0.,1.)); #42915=DIRECTION('ref_axis',(-1.,0.,0.)); #42916=DIRECTION('center_axis',(0.,1.,0.)); #42917=DIRECTION('ref_axis',(-1.,0.,0.)); #42918=DIRECTION('',(-1.,0.,0.)); #42919=DIRECTION('',(0.,0.,1.)); #42920=DIRECTION('',(-1.,0.,0.)); #42921=DIRECTION('center_axis',(0.,0.,-1.)); #42922=DIRECTION('ref_axis',(0.,1.,0.)); #42923=DIRECTION('center_axis',(0.,0.,1.)); #42924=DIRECTION('ref_axis',(0.,1.,0.)); #42925=DIRECTION('',(0.,0.,1.)); #42926=DIRECTION('center_axis',(0.,0.,1.)); #42927=DIRECTION('ref_axis',(0.,1.,0.)); #42928=DIRECTION('center_axis',(1.,0.,0.)); #42929=DIRECTION('ref_axis',(0.,1.,0.)); #42930=DIRECTION('',(0.,1.,0.)); #42931=DIRECTION('',(0.,0.,1.)); #42932=DIRECTION('',(0.,1.,0.)); #42933=DIRECTION('center_axis',(0.,0.,-1.)); #42934=DIRECTION('ref_axis',(1.,0.,0.)); #42935=DIRECTION('center_axis',(0.,0.,1.)); #42936=DIRECTION('ref_axis',(1.,0.,0.)); #42937=DIRECTION('center_axis',(0.,0.,1.)); #42938=DIRECTION('ref_axis',(1.,0.,0.)); #42939=DIRECTION('center_axis',(0.,-1.,0.)); #42940=DIRECTION('ref_axis',(1.,0.,0.)); #42941=DIRECTION('',(1.,0.,0.)); #42942=DIRECTION('',(0.,0.,1.)); #42943=DIRECTION('',(1.,0.,0.)); #42944=DIRECTION('',(0.,0.,1.)); #42945=DIRECTION('center_axis',(0.,0.,-1.)); #42946=DIRECTION('ref_axis',(0.,-1.,0.)); #42947=DIRECTION('center_axis',(0.,0.,1.)); #42948=DIRECTION('ref_axis',(0.,-1.,0.)); #42949=DIRECTION('',(0.,0.,1.)); #42950=DIRECTION('center_axis',(0.,0.,1.)); #42951=DIRECTION('ref_axis',(0.,-1.,0.)); #42952=DIRECTION('center_axis',(-1.,0.,0.)); #42953=DIRECTION('ref_axis',(0.,-1.,0.)); #42954=DIRECTION('',(0.,-1.,0.)); #42955=DIRECTION('',(0.,0.,1.)); #42956=DIRECTION('',(0.,-1.,0.)); #42957=DIRECTION('center_axis',(0.,0.,-1.)); #42958=DIRECTION('ref_axis',(-1.,0.,0.)); #42959=DIRECTION('center_axis',(0.,0.,1.)); #42960=DIRECTION('ref_axis',(-1.,0.,0.)); #42961=DIRECTION('',(0.,0.,1.)); #42962=DIRECTION('center_axis',(0.,0.,1.)); #42963=DIRECTION('ref_axis',(-1.,0.,0.)); #42964=DIRECTION('center_axis',(0.,1.,0.)); #42965=DIRECTION('ref_axis',(-1.,0.,0.)); #42966=DIRECTION('',(-1.,0.,0.)); #42967=DIRECTION('',(0.,0.,1.)); #42968=DIRECTION('',(-1.,0.,0.)); #42969=DIRECTION('center_axis',(0.,0.,-1.)); #42970=DIRECTION('ref_axis',(0.,1.,0.)); #42971=DIRECTION('center_axis',(0.,0.,1.)); #42972=DIRECTION('ref_axis',(0.,1.,0.)); #42973=DIRECTION('',(0.,0.,1.)); #42974=DIRECTION('center_axis',(0.,0.,1.)); #42975=DIRECTION('ref_axis',(0.,1.,0.)); #42976=DIRECTION('center_axis',(1.,0.,0.)); #42977=DIRECTION('ref_axis',(0.,1.,0.)); #42978=DIRECTION('',(0.,1.,0.)); #42979=DIRECTION('',(0.,0.,1.)); #42980=DIRECTION('',(0.,1.,0.)); #42981=DIRECTION('center_axis',(0.,0.,-1.)); #42982=DIRECTION('ref_axis',(1.,0.,0.)); #42983=DIRECTION('center_axis',(0.,0.,1.)); #42984=DIRECTION('ref_axis',(1.,0.,0.)); #42985=DIRECTION('center_axis',(0.,0.,1.)); #42986=DIRECTION('ref_axis',(1.,0.,0.)); #42987=DIRECTION('center_axis',(0.,-1.,0.)); #42988=DIRECTION('ref_axis',(1.,0.,0.)); #42989=DIRECTION('',(1.,0.,0.)); #42990=DIRECTION('',(0.,0.,1.)); #42991=DIRECTION('',(1.,0.,0.)); #42992=DIRECTION('',(0.,0.,1.)); #42993=DIRECTION('center_axis',(0.,0.,-1.)); #42994=DIRECTION('ref_axis',(0.,-1.,0.)); #42995=DIRECTION('center_axis',(0.,0.,1.)); #42996=DIRECTION('ref_axis',(0.,-1.,0.)); #42997=DIRECTION('',(0.,0.,1.)); #42998=DIRECTION('center_axis',(0.,0.,1.)); #42999=DIRECTION('ref_axis',(0.,-1.,0.)); #43000=DIRECTION('center_axis',(-1.,0.,0.)); #43001=DIRECTION('ref_axis',(0.,-1.,0.)); #43002=DIRECTION('',(0.,-1.,0.)); #43003=DIRECTION('',(0.,0.,1.)); #43004=DIRECTION('',(0.,-1.,0.)); #43005=DIRECTION('center_axis',(0.,0.,-1.)); #43006=DIRECTION('ref_axis',(-1.,0.,0.)); #43007=DIRECTION('center_axis',(0.,0.,1.)); #43008=DIRECTION('ref_axis',(-1.,0.,0.)); #43009=DIRECTION('',(0.,0.,1.)); #43010=DIRECTION('center_axis',(0.,0.,1.)); #43011=DIRECTION('ref_axis',(-1.,0.,0.)); #43012=DIRECTION('center_axis',(0.,1.,0.)); #43013=DIRECTION('ref_axis',(-1.,0.,0.)); #43014=DIRECTION('',(-1.,0.,0.)); #43015=DIRECTION('',(0.,0.,1.)); #43016=DIRECTION('',(-1.,0.,0.)); #43017=DIRECTION('center_axis',(0.,0.,-1.)); #43018=DIRECTION('ref_axis',(0.,1.,0.)); #43019=DIRECTION('center_axis',(0.,0.,1.)); #43020=DIRECTION('ref_axis',(0.,1.,0.)); #43021=DIRECTION('',(0.,0.,1.)); #43022=DIRECTION('center_axis',(0.,0.,1.)); #43023=DIRECTION('ref_axis',(0.,1.,0.)); #43024=DIRECTION('center_axis',(1.,0.,0.)); #43025=DIRECTION('ref_axis',(0.,1.,0.)); #43026=DIRECTION('',(0.,1.,0.)); #43027=DIRECTION('',(0.,0.,1.)); #43028=DIRECTION('',(0.,1.,0.)); #43029=DIRECTION('center_axis',(0.,0.,-1.)); #43030=DIRECTION('ref_axis',(1.,0.,0.)); #43031=DIRECTION('center_axis',(0.,0.,1.)); #43032=DIRECTION('ref_axis',(1.,0.,0.)); #43033=DIRECTION('center_axis',(0.,0.,1.)); #43034=DIRECTION('ref_axis',(1.,0.,0.)); #43035=DIRECTION('center_axis',(0.,-1.,0.)); #43036=DIRECTION('ref_axis',(1.,0.,0.)); #43037=DIRECTION('',(1.,0.,0.)); #43038=DIRECTION('',(0.,0.,1.)); #43039=DIRECTION('',(1.,0.,0.)); #43040=DIRECTION('',(0.,0.,1.)); #43041=DIRECTION('center_axis',(0.,0.,-1.)); #43042=DIRECTION('ref_axis',(0.,-1.,0.)); #43043=DIRECTION('center_axis',(0.,0.,1.)); #43044=DIRECTION('ref_axis',(0.,-1.,0.)); #43045=DIRECTION('',(0.,0.,1.)); #43046=DIRECTION('center_axis',(0.,0.,1.)); #43047=DIRECTION('ref_axis',(0.,-1.,0.)); #43048=DIRECTION('center_axis',(-1.,0.,0.)); #43049=DIRECTION('ref_axis',(0.,-1.,0.)); #43050=DIRECTION('',(0.,-1.,0.)); #43051=DIRECTION('',(0.,0.,1.)); #43052=DIRECTION('',(0.,-1.,0.)); #43053=DIRECTION('center_axis',(0.,0.,-1.)); #43054=DIRECTION('ref_axis',(-1.,0.,0.)); #43055=DIRECTION('center_axis',(0.,0.,1.)); #43056=DIRECTION('ref_axis',(-1.,0.,0.)); #43057=DIRECTION('',(0.,0.,1.)); #43058=DIRECTION('center_axis',(0.,0.,1.)); #43059=DIRECTION('ref_axis',(-1.,0.,0.)); #43060=DIRECTION('center_axis',(0.,1.,0.)); #43061=DIRECTION('ref_axis',(-1.,0.,0.)); #43062=DIRECTION('',(-1.,0.,0.)); #43063=DIRECTION('',(0.,0.,1.)); #43064=DIRECTION('',(-1.,0.,0.)); #43065=DIRECTION('center_axis',(0.,0.,-1.)); #43066=DIRECTION('ref_axis',(0.,1.,0.)); #43067=DIRECTION('center_axis',(0.,0.,1.)); #43068=DIRECTION('ref_axis',(0.,1.,0.)); #43069=DIRECTION('',(0.,0.,1.)); #43070=DIRECTION('center_axis',(0.,0.,1.)); #43071=DIRECTION('ref_axis',(0.,1.,0.)); #43072=DIRECTION('center_axis',(1.,0.,0.)); #43073=DIRECTION('ref_axis',(0.,1.,0.)); #43074=DIRECTION('',(0.,1.,0.)); #43075=DIRECTION('',(0.,0.,1.)); #43076=DIRECTION('',(0.,1.,0.)); #43077=DIRECTION('center_axis',(0.,0.,-1.)); #43078=DIRECTION('ref_axis',(1.,0.,0.)); #43079=DIRECTION('center_axis',(0.,0.,1.)); #43080=DIRECTION('ref_axis',(1.,0.,0.)); #43081=DIRECTION('center_axis',(0.,0.,1.)); #43082=DIRECTION('ref_axis',(1.,0.,0.)); #43083=DIRECTION('center_axis',(0.,-1.,0.)); #43084=DIRECTION('ref_axis',(1.,0.,0.)); #43085=DIRECTION('',(1.,0.,0.)); #43086=DIRECTION('',(0.,0.,1.)); #43087=DIRECTION('',(1.,0.,0.)); #43088=DIRECTION('',(0.,0.,1.)); #43089=DIRECTION('center_axis',(0.,0.,-1.)); #43090=DIRECTION('ref_axis',(0.,-1.,0.)); #43091=DIRECTION('center_axis',(0.,0.,1.)); #43092=DIRECTION('ref_axis',(0.,-1.,0.)); #43093=DIRECTION('',(0.,0.,1.)); #43094=DIRECTION('center_axis',(0.,0.,1.)); #43095=DIRECTION('ref_axis',(0.,-1.,0.)); #43096=DIRECTION('center_axis',(-1.,0.,0.)); #43097=DIRECTION('ref_axis',(0.,-1.,0.)); #43098=DIRECTION('',(0.,-1.,0.)); #43099=DIRECTION('',(0.,0.,1.)); #43100=DIRECTION('',(0.,-1.,0.)); #43101=DIRECTION('center_axis',(0.,0.,-1.)); #43102=DIRECTION('ref_axis',(-1.,0.,0.)); #43103=DIRECTION('center_axis',(0.,0.,1.)); #43104=DIRECTION('ref_axis',(-1.,0.,0.)); #43105=DIRECTION('',(0.,0.,1.)); #43106=DIRECTION('center_axis',(0.,0.,1.)); #43107=DIRECTION('ref_axis',(-1.,0.,0.)); #43108=DIRECTION('center_axis',(0.,1.,0.)); #43109=DIRECTION('ref_axis',(-1.,0.,0.)); #43110=DIRECTION('',(-1.,0.,0.)); #43111=DIRECTION('',(0.,0.,1.)); #43112=DIRECTION('',(-1.,0.,0.)); #43113=DIRECTION('center_axis',(0.,0.,-1.)); #43114=DIRECTION('ref_axis',(0.,1.,0.)); #43115=DIRECTION('center_axis',(0.,0.,1.)); #43116=DIRECTION('ref_axis',(0.,1.,0.)); #43117=DIRECTION('',(0.,0.,1.)); #43118=DIRECTION('center_axis',(0.,0.,1.)); #43119=DIRECTION('ref_axis',(0.,1.,0.)); #43120=DIRECTION('center_axis',(1.,0.,0.)); #43121=DIRECTION('ref_axis',(0.,1.,0.)); #43122=DIRECTION('',(0.,1.,0.)); #43123=DIRECTION('',(0.,0.,1.)); #43124=DIRECTION('',(0.,1.,0.)); #43125=DIRECTION('center_axis',(0.,0.,-1.)); #43126=DIRECTION('ref_axis',(1.,0.,0.)); #43127=DIRECTION('center_axis',(0.,0.,1.)); #43128=DIRECTION('ref_axis',(1.,0.,0.)); #43129=DIRECTION('center_axis',(0.,0.,1.)); #43130=DIRECTION('ref_axis',(1.,0.,0.)); #43131=DIRECTION('center_axis',(0.,-1.,0.)); #43132=DIRECTION('ref_axis',(1.,0.,0.)); #43133=DIRECTION('',(1.,0.,0.)); #43134=DIRECTION('',(0.,0.,1.)); #43135=DIRECTION('',(1.,0.,0.)); #43136=DIRECTION('',(0.,0.,1.)); #43137=DIRECTION('center_axis',(0.,0.,-1.)); #43138=DIRECTION('ref_axis',(0.,-1.,0.)); #43139=DIRECTION('center_axis',(0.,0.,1.)); #43140=DIRECTION('ref_axis',(0.,-1.,0.)); #43141=DIRECTION('',(0.,0.,1.)); #43142=DIRECTION('center_axis',(0.,0.,1.)); #43143=DIRECTION('ref_axis',(0.,-1.,0.)); #43144=DIRECTION('center_axis',(-1.,0.,0.)); #43145=DIRECTION('ref_axis',(0.,-1.,0.)); #43146=DIRECTION('',(0.,-1.,0.)); #43147=DIRECTION('',(0.,0.,1.)); #43148=DIRECTION('',(0.,-1.,0.)); #43149=DIRECTION('center_axis',(0.,0.,-1.)); #43150=DIRECTION('ref_axis',(-1.,0.,0.)); #43151=DIRECTION('center_axis',(0.,0.,1.)); #43152=DIRECTION('ref_axis',(-1.,0.,0.)); #43153=DIRECTION('',(0.,0.,1.)); #43154=DIRECTION('center_axis',(0.,0.,1.)); #43155=DIRECTION('ref_axis',(-1.,0.,0.)); #43156=DIRECTION('center_axis',(0.,1.,0.)); #43157=DIRECTION('ref_axis',(-1.,0.,0.)); #43158=DIRECTION('',(-1.,0.,0.)); #43159=DIRECTION('',(0.,0.,1.)); #43160=DIRECTION('',(-1.,0.,0.)); #43161=DIRECTION('center_axis',(0.,0.,-1.)); #43162=DIRECTION('ref_axis',(0.,1.,0.)); #43163=DIRECTION('center_axis',(0.,0.,1.)); #43164=DIRECTION('ref_axis',(0.,1.,0.)); #43165=DIRECTION('',(0.,0.,1.)); #43166=DIRECTION('center_axis',(0.,0.,1.)); #43167=DIRECTION('ref_axis',(0.,1.,0.)); #43168=DIRECTION('center_axis',(1.,0.,0.)); #43169=DIRECTION('ref_axis',(0.,1.,0.)); #43170=DIRECTION('',(0.,1.,0.)); #43171=DIRECTION('',(0.,0.,1.)); #43172=DIRECTION('',(0.,1.,0.)); #43173=DIRECTION('center_axis',(0.,0.,-1.)); #43174=DIRECTION('ref_axis',(1.,0.,0.)); #43175=DIRECTION('center_axis',(0.,0.,1.)); #43176=DIRECTION('ref_axis',(1.,0.,0.)); #43177=DIRECTION('center_axis',(0.,0.,1.)); #43178=DIRECTION('ref_axis',(1.,0.,0.)); #43179=DIRECTION('center_axis',(0.,-1.,0.)); #43180=DIRECTION('ref_axis',(1.,0.,0.)); #43181=DIRECTION('',(1.,0.,0.)); #43182=DIRECTION('',(0.,0.,1.)); #43183=DIRECTION('',(1.,0.,0.)); #43184=DIRECTION('',(0.,0.,1.)); #43185=DIRECTION('center_axis',(0.,0.,-1.)); #43186=DIRECTION('ref_axis',(0.,-1.,0.)); #43187=DIRECTION('center_axis',(0.,0.,1.)); #43188=DIRECTION('ref_axis',(0.,-1.,0.)); #43189=DIRECTION('',(0.,0.,1.)); #43190=DIRECTION('center_axis',(0.,0.,1.)); #43191=DIRECTION('ref_axis',(0.,-1.,0.)); #43192=DIRECTION('center_axis',(-1.,0.,0.)); #43193=DIRECTION('ref_axis',(0.,-1.,0.)); #43194=DIRECTION('',(0.,-1.,0.)); #43195=DIRECTION('',(0.,0.,1.)); #43196=DIRECTION('',(0.,-1.,0.)); #43197=DIRECTION('center_axis',(0.,0.,-1.)); #43198=DIRECTION('ref_axis',(-1.,0.,0.)); #43199=DIRECTION('center_axis',(0.,0.,1.)); #43200=DIRECTION('ref_axis',(-1.,0.,0.)); #43201=DIRECTION('',(0.,0.,1.)); #43202=DIRECTION('center_axis',(0.,0.,1.)); #43203=DIRECTION('ref_axis',(-1.,0.,0.)); #43204=DIRECTION('center_axis',(0.,1.,0.)); #43205=DIRECTION('ref_axis',(-1.,0.,0.)); #43206=DIRECTION('',(-1.,0.,0.)); #43207=DIRECTION('',(0.,0.,1.)); #43208=DIRECTION('',(-1.,0.,0.)); #43209=DIRECTION('center_axis',(0.,0.,-1.)); #43210=DIRECTION('ref_axis',(0.,1.,0.)); #43211=DIRECTION('center_axis',(0.,0.,1.)); #43212=DIRECTION('ref_axis',(0.,1.,0.)); #43213=DIRECTION('',(0.,0.,1.)); #43214=DIRECTION('center_axis',(0.,0.,1.)); #43215=DIRECTION('ref_axis',(0.,1.,0.)); #43216=DIRECTION('center_axis',(1.,0.,0.)); #43217=DIRECTION('ref_axis',(0.,1.,0.)); #43218=DIRECTION('',(0.,1.,0.)); #43219=DIRECTION('',(0.,0.,1.)); #43220=DIRECTION('',(0.,1.,0.)); #43221=DIRECTION('center_axis',(0.,0.,-1.)); #43222=DIRECTION('ref_axis',(1.,0.,0.)); #43223=DIRECTION('center_axis',(0.,0.,1.)); #43224=DIRECTION('ref_axis',(1.,0.,0.)); #43225=DIRECTION('center_axis',(0.,0.,1.)); #43226=DIRECTION('ref_axis',(1.,0.,0.)); #43227=DIRECTION('center_axis',(0.,-1.,0.)); #43228=DIRECTION('ref_axis',(1.,0.,0.)); #43229=DIRECTION('',(1.,0.,0.)); #43230=DIRECTION('',(0.,0.,1.)); #43231=DIRECTION('',(1.,0.,0.)); #43232=DIRECTION('',(0.,0.,1.)); #43233=DIRECTION('center_axis',(0.,0.,-1.)); #43234=DIRECTION('ref_axis',(0.,-1.,0.)); #43235=DIRECTION('center_axis',(0.,0.,1.)); #43236=DIRECTION('ref_axis',(0.,-1.,0.)); #43237=DIRECTION('',(0.,0.,1.)); #43238=DIRECTION('center_axis',(0.,0.,1.)); #43239=DIRECTION('ref_axis',(0.,-1.,0.)); #43240=DIRECTION('center_axis',(-1.,0.,0.)); #43241=DIRECTION('ref_axis',(0.,-1.,0.)); #43242=DIRECTION('',(0.,-1.,0.)); #43243=DIRECTION('',(0.,0.,1.)); #43244=DIRECTION('',(0.,-1.,0.)); #43245=DIRECTION('center_axis',(0.,0.,-1.)); #43246=DIRECTION('ref_axis',(-1.,0.,0.)); #43247=DIRECTION('center_axis',(0.,0.,1.)); #43248=DIRECTION('ref_axis',(-1.,0.,0.)); #43249=DIRECTION('',(0.,0.,1.)); #43250=DIRECTION('center_axis',(0.,0.,1.)); #43251=DIRECTION('ref_axis',(-1.,0.,0.)); #43252=DIRECTION('center_axis',(0.,1.,0.)); #43253=DIRECTION('ref_axis',(-1.,0.,0.)); #43254=DIRECTION('',(-1.,0.,0.)); #43255=DIRECTION('',(0.,0.,1.)); #43256=DIRECTION('',(-1.,0.,0.)); #43257=DIRECTION('center_axis',(0.,0.,-1.)); #43258=DIRECTION('ref_axis',(0.,1.,0.)); #43259=DIRECTION('center_axis',(0.,0.,1.)); #43260=DIRECTION('ref_axis',(0.,1.,0.)); #43261=DIRECTION('',(0.,0.,1.)); #43262=DIRECTION('center_axis',(0.,0.,1.)); #43263=DIRECTION('ref_axis',(0.,1.,0.)); #43264=DIRECTION('center_axis',(1.,0.,0.)); #43265=DIRECTION('ref_axis',(0.,1.,0.)); #43266=DIRECTION('',(0.,1.,0.)); #43267=DIRECTION('',(0.,0.,1.)); #43268=DIRECTION('',(0.,1.,0.)); #43269=DIRECTION('center_axis',(0.,0.,-1.)); #43270=DIRECTION('ref_axis',(1.,0.,0.)); #43271=DIRECTION('center_axis',(0.,0.,1.)); #43272=DIRECTION('ref_axis',(1.,0.,0.)); #43273=DIRECTION('center_axis',(0.,0.,1.)); #43274=DIRECTION('ref_axis',(1.,0.,0.)); #43275=DIRECTION('center_axis',(0.,-1.,0.)); #43276=DIRECTION('ref_axis',(1.,0.,0.)); #43277=DIRECTION('',(1.,0.,0.)); #43278=DIRECTION('',(0.,0.,1.)); #43279=DIRECTION('',(1.,0.,0.)); #43280=DIRECTION('',(0.,0.,1.)); #43281=DIRECTION('center_axis',(0.,0.,-1.)); #43282=DIRECTION('ref_axis',(0.,-1.,0.)); #43283=DIRECTION('center_axis',(0.,0.,1.)); #43284=DIRECTION('ref_axis',(0.,-1.,0.)); #43285=DIRECTION('',(0.,0.,1.)); #43286=DIRECTION('center_axis',(0.,0.,1.)); #43287=DIRECTION('ref_axis',(0.,-1.,0.)); #43288=DIRECTION('center_axis',(-1.,0.,0.)); #43289=DIRECTION('ref_axis',(0.,-1.,0.)); #43290=DIRECTION('',(0.,-1.,0.)); #43291=DIRECTION('',(0.,0.,1.)); #43292=DIRECTION('',(0.,-1.,0.)); #43293=DIRECTION('center_axis',(0.,0.,-1.)); #43294=DIRECTION('ref_axis',(-1.,0.,0.)); #43295=DIRECTION('center_axis',(0.,0.,1.)); #43296=DIRECTION('ref_axis',(-1.,0.,0.)); #43297=DIRECTION('',(0.,0.,1.)); #43298=DIRECTION('center_axis',(0.,0.,1.)); #43299=DIRECTION('ref_axis',(-1.,0.,0.)); #43300=DIRECTION('center_axis',(0.,1.,0.)); #43301=DIRECTION('ref_axis',(-1.,0.,0.)); #43302=DIRECTION('',(-1.,0.,0.)); #43303=DIRECTION('',(0.,0.,1.)); #43304=DIRECTION('',(-1.,0.,0.)); #43305=DIRECTION('center_axis',(0.,0.,-1.)); #43306=DIRECTION('ref_axis',(0.,1.,0.)); #43307=DIRECTION('center_axis',(0.,0.,1.)); #43308=DIRECTION('ref_axis',(0.,1.,0.)); #43309=DIRECTION('',(0.,0.,1.)); #43310=DIRECTION('center_axis',(0.,0.,1.)); #43311=DIRECTION('ref_axis',(0.,1.,0.)); #43312=DIRECTION('center_axis',(1.,0.,0.)); #43313=DIRECTION('ref_axis',(0.,1.,0.)); #43314=DIRECTION('',(0.,1.,0.)); #43315=DIRECTION('',(0.,0.,1.)); #43316=DIRECTION('',(0.,1.,0.)); #43317=DIRECTION('center_axis',(0.,0.,-1.)); #43318=DIRECTION('ref_axis',(1.,0.,0.)); #43319=DIRECTION('center_axis',(0.,0.,1.)); #43320=DIRECTION('ref_axis',(1.,0.,0.)); #43321=DIRECTION('center_axis',(0.,0.,1.)); #43322=DIRECTION('ref_axis',(1.,0.,0.)); #43323=DIRECTION('center_axis',(0.,-1.,0.)); #43324=DIRECTION('ref_axis',(1.,0.,0.)); #43325=DIRECTION('',(1.,0.,0.)); #43326=DIRECTION('',(0.,0.,1.)); #43327=DIRECTION('',(1.,0.,0.)); #43328=DIRECTION('',(0.,0.,1.)); #43329=DIRECTION('center_axis',(0.,0.,-1.)); #43330=DIRECTION('ref_axis',(0.,-1.,0.)); #43331=DIRECTION('center_axis',(0.,0.,1.)); #43332=DIRECTION('ref_axis',(0.,-1.,0.)); #43333=DIRECTION('',(0.,0.,1.)); #43334=DIRECTION('center_axis',(0.,0.,1.)); #43335=DIRECTION('ref_axis',(0.,-1.,0.)); #43336=DIRECTION('center_axis',(-1.,0.,0.)); #43337=DIRECTION('ref_axis',(0.,-1.,0.)); #43338=DIRECTION('',(0.,-1.,0.)); #43339=DIRECTION('',(0.,0.,1.)); #43340=DIRECTION('',(0.,-1.,0.)); #43341=DIRECTION('center_axis',(0.,0.,-1.)); #43342=DIRECTION('ref_axis',(-1.,0.,0.)); #43343=DIRECTION('center_axis',(0.,0.,1.)); #43344=DIRECTION('ref_axis',(-1.,0.,0.)); #43345=DIRECTION('',(0.,0.,1.)); #43346=DIRECTION('center_axis',(0.,0.,1.)); #43347=DIRECTION('ref_axis',(-1.,0.,0.)); #43348=DIRECTION('center_axis',(0.,1.,0.)); #43349=DIRECTION('ref_axis',(-1.,0.,0.)); #43350=DIRECTION('',(-1.,0.,0.)); #43351=DIRECTION('',(0.,0.,1.)); #43352=DIRECTION('',(-1.,0.,0.)); #43353=DIRECTION('center_axis',(0.,0.,-1.)); #43354=DIRECTION('ref_axis',(0.,1.,0.)); #43355=DIRECTION('center_axis',(0.,0.,1.)); #43356=DIRECTION('ref_axis',(0.,1.,0.)); #43357=DIRECTION('',(0.,0.,1.)); #43358=DIRECTION('center_axis',(0.,0.,1.)); #43359=DIRECTION('ref_axis',(0.,1.,0.)); #43360=DIRECTION('center_axis',(1.,0.,0.)); #43361=DIRECTION('ref_axis',(0.,1.,0.)); #43362=DIRECTION('',(0.,1.,0.)); #43363=DIRECTION('',(0.,0.,1.)); #43364=DIRECTION('',(0.,1.,0.)); #43365=DIRECTION('center_axis',(0.,0.,-1.)); #43366=DIRECTION('ref_axis',(1.,0.,0.)); #43367=DIRECTION('center_axis',(0.,0.,1.)); #43368=DIRECTION('ref_axis',(1.,0.,0.)); #43369=DIRECTION('center_axis',(0.,0.,1.)); #43370=DIRECTION('ref_axis',(1.,0.,0.)); #43371=DIRECTION('center_axis',(0.,-1.,0.)); #43372=DIRECTION('ref_axis',(1.,0.,0.)); #43373=DIRECTION('',(1.,0.,0.)); #43374=DIRECTION('',(0.,0.,1.)); #43375=DIRECTION('',(1.,0.,0.)); #43376=DIRECTION('',(0.,0.,1.)); #43377=DIRECTION('center_axis',(0.,0.,-1.)); #43378=DIRECTION('ref_axis',(0.,-1.,0.)); #43379=DIRECTION('center_axis',(0.,0.,1.)); #43380=DIRECTION('ref_axis',(0.,-1.,0.)); #43381=DIRECTION('',(0.,0.,1.)); #43382=DIRECTION('center_axis',(0.,0.,1.)); #43383=DIRECTION('ref_axis',(0.,-1.,0.)); #43384=DIRECTION('center_axis',(-1.,0.,0.)); #43385=DIRECTION('ref_axis',(0.,-1.,0.)); #43386=DIRECTION('',(0.,-1.,0.)); #43387=DIRECTION('',(0.,0.,1.)); #43388=DIRECTION('',(0.,-1.,0.)); #43389=DIRECTION('center_axis',(0.,0.,-1.)); #43390=DIRECTION('ref_axis',(-1.,0.,0.)); #43391=DIRECTION('center_axis',(0.,0.,1.)); #43392=DIRECTION('ref_axis',(-1.,0.,0.)); #43393=DIRECTION('',(0.,0.,1.)); #43394=DIRECTION('center_axis',(0.,0.,1.)); #43395=DIRECTION('ref_axis',(-1.,0.,0.)); #43396=DIRECTION('center_axis',(0.,1.,0.)); #43397=DIRECTION('ref_axis',(-1.,0.,0.)); #43398=DIRECTION('',(-1.,0.,0.)); #43399=DIRECTION('',(0.,0.,1.)); #43400=DIRECTION('',(-1.,0.,0.)); #43401=DIRECTION('center_axis',(0.,0.,-1.)); #43402=DIRECTION('ref_axis',(0.,1.,0.)); #43403=DIRECTION('center_axis',(0.,0.,1.)); #43404=DIRECTION('ref_axis',(0.,1.,0.)); #43405=DIRECTION('',(0.,0.,1.)); #43406=DIRECTION('center_axis',(0.,0.,1.)); #43407=DIRECTION('ref_axis',(0.,1.,0.)); #43408=DIRECTION('center_axis',(1.,0.,0.)); #43409=DIRECTION('ref_axis',(0.,1.,0.)); #43410=DIRECTION('',(0.,1.,0.)); #43411=DIRECTION('',(0.,0.,1.)); #43412=DIRECTION('',(0.,1.,0.)); #43413=DIRECTION('center_axis',(0.,0.,-1.)); #43414=DIRECTION('ref_axis',(1.,0.,0.)); #43415=DIRECTION('center_axis',(0.,0.,1.)); #43416=DIRECTION('ref_axis',(1.,0.,0.)); #43417=DIRECTION('center_axis',(0.,0.,1.)); #43418=DIRECTION('ref_axis',(1.,0.,0.)); #43419=DIRECTION('center_axis',(0.,-1.,0.)); #43420=DIRECTION('ref_axis',(1.,0.,0.)); #43421=DIRECTION('',(1.,0.,0.)); #43422=DIRECTION('',(0.,0.,1.)); #43423=DIRECTION('',(1.,0.,0.)); #43424=DIRECTION('',(0.,0.,1.)); #43425=DIRECTION('center_axis',(0.,0.,-1.)); #43426=DIRECTION('ref_axis',(0.,-1.,0.)); #43427=DIRECTION('center_axis',(0.,0.,1.)); #43428=DIRECTION('ref_axis',(0.,-1.,0.)); #43429=DIRECTION('',(0.,0.,1.)); #43430=DIRECTION('center_axis',(0.,0.,1.)); #43431=DIRECTION('ref_axis',(0.,-1.,0.)); #43432=DIRECTION('center_axis',(-1.,0.,0.)); #43433=DIRECTION('ref_axis',(0.,-1.,0.)); #43434=DIRECTION('',(0.,-1.,0.)); #43435=DIRECTION('',(0.,0.,1.)); #43436=DIRECTION('',(0.,-1.,0.)); #43437=DIRECTION('center_axis',(0.,0.,-1.)); #43438=DIRECTION('ref_axis',(-1.,0.,0.)); #43439=DIRECTION('center_axis',(0.,0.,1.)); #43440=DIRECTION('ref_axis',(-1.,0.,0.)); #43441=DIRECTION('',(0.,0.,1.)); #43442=DIRECTION('center_axis',(0.,0.,1.)); #43443=DIRECTION('ref_axis',(-1.,0.,0.)); #43444=DIRECTION('center_axis',(0.,1.,0.)); #43445=DIRECTION('ref_axis',(-1.,0.,0.)); #43446=DIRECTION('',(-1.,0.,0.)); #43447=DIRECTION('',(0.,0.,1.)); #43448=DIRECTION('',(-1.,0.,0.)); #43449=DIRECTION('center_axis',(0.,0.,-1.)); #43450=DIRECTION('ref_axis',(0.,1.,0.)); #43451=DIRECTION('center_axis',(0.,0.,1.)); #43452=DIRECTION('ref_axis',(0.,1.,0.)); #43453=DIRECTION('',(0.,0.,1.)); #43454=DIRECTION('center_axis',(0.,0.,1.)); #43455=DIRECTION('ref_axis',(0.,1.,0.)); #43456=DIRECTION('center_axis',(1.,0.,0.)); #43457=DIRECTION('ref_axis',(0.,1.,0.)); #43458=DIRECTION('',(0.,1.,0.)); #43459=DIRECTION('',(0.,0.,1.)); #43460=DIRECTION('',(0.,1.,0.)); #43461=DIRECTION('center_axis',(0.,0.,-1.)); #43462=DIRECTION('ref_axis',(1.,0.,0.)); #43463=DIRECTION('center_axis',(0.,0.,1.)); #43464=DIRECTION('ref_axis',(1.,0.,0.)); #43465=DIRECTION('center_axis',(0.,0.,1.)); #43466=DIRECTION('ref_axis',(1.,0.,0.)); #43467=DIRECTION('center_axis',(0.,-1.,0.)); #43468=DIRECTION('ref_axis',(1.,0.,0.)); #43469=DIRECTION('',(1.,0.,0.)); #43470=DIRECTION('',(0.,0.,1.)); #43471=DIRECTION('',(1.,0.,0.)); #43472=DIRECTION('',(0.,0.,1.)); #43473=DIRECTION('center_axis',(0.,0.,-1.)); #43474=DIRECTION('ref_axis',(0.,-1.,0.)); #43475=DIRECTION('center_axis',(0.,0.,1.)); #43476=DIRECTION('ref_axis',(0.,-1.,0.)); #43477=DIRECTION('',(0.,0.,1.)); #43478=DIRECTION('center_axis',(0.,0.,1.)); #43479=DIRECTION('ref_axis',(0.,-1.,0.)); #43480=DIRECTION('center_axis',(-1.,0.,0.)); #43481=DIRECTION('ref_axis',(0.,-1.,0.)); #43482=DIRECTION('',(0.,-1.,0.)); #43483=DIRECTION('',(0.,0.,1.)); #43484=DIRECTION('',(0.,-1.,0.)); #43485=DIRECTION('center_axis',(0.,0.,-1.)); #43486=DIRECTION('ref_axis',(-1.,0.,0.)); #43487=DIRECTION('center_axis',(0.,0.,1.)); #43488=DIRECTION('ref_axis',(-1.,0.,0.)); #43489=DIRECTION('',(0.,0.,1.)); #43490=DIRECTION('center_axis',(0.,0.,1.)); #43491=DIRECTION('ref_axis',(-1.,0.,0.)); #43492=DIRECTION('center_axis',(0.,1.,0.)); #43493=DIRECTION('ref_axis',(-1.,0.,0.)); #43494=DIRECTION('',(-1.,0.,0.)); #43495=DIRECTION('',(0.,0.,1.)); #43496=DIRECTION('',(-1.,0.,0.)); #43497=DIRECTION('center_axis',(0.,0.,-1.)); #43498=DIRECTION('ref_axis',(0.,1.,0.)); #43499=DIRECTION('center_axis',(0.,0.,1.)); #43500=DIRECTION('ref_axis',(0.,1.,0.)); #43501=DIRECTION('',(0.,0.,1.)); #43502=DIRECTION('center_axis',(0.,0.,1.)); #43503=DIRECTION('ref_axis',(0.,1.,0.)); #43504=DIRECTION('center_axis',(1.,0.,0.)); #43505=DIRECTION('ref_axis',(0.,1.,0.)); #43506=DIRECTION('',(0.,1.,0.)); #43507=DIRECTION('',(0.,0.,1.)); #43508=DIRECTION('',(0.,1.,0.)); #43509=DIRECTION('center_axis',(0.,0.,-1.)); #43510=DIRECTION('ref_axis',(1.,0.,0.)); #43511=DIRECTION('center_axis',(0.,0.,1.)); #43512=DIRECTION('ref_axis',(1.,0.,0.)); #43513=DIRECTION('center_axis',(0.,0.,1.)); #43514=DIRECTION('ref_axis',(1.,0.,0.)); #43515=DIRECTION('center_axis',(1.,0.,0.)); #43516=DIRECTION('ref_axis',(0.,1.,0.)); #43517=DIRECTION('',(0.,-1.,0.)); #43518=DIRECTION('',(0.,0.,1.)); #43519=DIRECTION('',(0.,1.,0.)); #43520=DIRECTION('',(0.,0.,1.)); #43521=DIRECTION('center_axis',(0.,-1.,0.)); #43522=DIRECTION('ref_axis',(1.,0.,0.)); #43523=DIRECTION('',(1.,0.,0.)); #43524=DIRECTION('',(0.,0.,1.)); #43525=DIRECTION('',(1.,0.,0.)); #43526=DIRECTION('center_axis',(-1.,0.,0.)); #43527=DIRECTION('ref_axis',(0.,-1.,0.)); #43528=DIRECTION('',(0.,-1.,0.)); #43529=DIRECTION('',(0.,0.,1.)); #43530=DIRECTION('',(0.,-1.,0.)); #43531=DIRECTION('center_axis',(0.,0.,-1.)); #43532=DIRECTION('ref_axis',(-1.,-1.39870617275611E-15,0.)); #43533=DIRECTION('center_axis',(0.,0.,-1.)); #43534=DIRECTION('ref_axis',(-1.,-1.39870617275611E-15,0.)); #43535=DIRECTION('',(0.,0.,1.)); #43536=DIRECTION('center_axis',(0.,0.,-1.)); #43537=DIRECTION('ref_axis',(-1.,-1.39870617275611E-15,0.)); #43538=DIRECTION('center_axis',(1.,0.,0.)); #43539=DIRECTION('ref_axis',(0.,1.,0.)); #43540=DIRECTION('',(0.,-1.,0.)); #43541=DIRECTION('',(0.,0.,1.)); #43542=DIRECTION('',(0.,1.,0.)); #43543=DIRECTION('center_axis',(0.,0.,-1.)); #43544=DIRECTION('ref_axis',(1.39870617275611E-15,-1.,0.)); #43545=DIRECTION('center_axis',(0.,0.,-1.)); #43546=DIRECTION('ref_axis',(1.39870617275611E-15,-1.,0.)); #43547=DIRECTION('',(0.,0.,1.)); #43548=DIRECTION('center_axis',(0.,0.,-1.)); #43549=DIRECTION('ref_axis',(1.39870617275611E-15,-1.,0.)); #43550=DIRECTION('center_axis',(-1.,0.,0.)); #43551=DIRECTION('ref_axis',(0.,-1.,0.)); #43552=DIRECTION('',(0.,1.,0.)); #43553=DIRECTION('',(0.,0.,1.)); #43554=DIRECTION('',(0.,-1.,0.)); #43555=DIRECTION('center_axis',(0.,1.,0.)); #43556=DIRECTION('ref_axis',(-1.,0.,0.)); #43557=DIRECTION('',(-1.,0.,0.)); #43558=DIRECTION('',(0.,0.,1.)); #43559=DIRECTION('',(-1.,0.,0.)); #43560=DIRECTION('center_axis',(1.,0.,0.)); #43561=DIRECTION('ref_axis',(0.,1.,0.)); #43562=DIRECTION('',(0.,1.,0.)); #43563=DIRECTION('',(0.,0.,1.)); #43564=DIRECTION('',(0.,1.,0.)); #43565=DIRECTION('center_axis',(1.39870588654316E-15,1.,0.)); #43566=DIRECTION('ref_axis',(-1.,1.39870588654316E-15,0.)); #43567=DIRECTION('',(-1.,1.39870588654316E-15,0.)); #43568=DIRECTION('',(0.,0.,1.)); #43569=DIRECTION('',(-1.,1.39870588654316E-15,0.)); #43570=DIRECTION('center_axis',(0.,0.,-1.)); #43571=DIRECTION('ref_axis',(1.,1.3987061727561E-15,0.)); #43572=DIRECTION('center_axis',(0.,0.,-1.)); #43573=DIRECTION('ref_axis',(1.,1.3987061727561E-15,0.)); #43574=DIRECTION('',(0.,0.,1.)); #43575=DIRECTION('center_axis',(0.,0.,-1.)); #43576=DIRECTION('ref_axis',(1.,1.3987061727561E-15,0.)); #43577=DIRECTION('center_axis',(-1.,0.,0.)); #43578=DIRECTION('ref_axis',(0.,-1.,0.)); #43579=DIRECTION('',(0.,1.,0.)); #43580=DIRECTION('',(0.,0.,1.)); #43581=DIRECTION('',(0.,-1.,0.)); #43582=DIRECTION('center_axis',(0.,-1.,0.)); #43583=DIRECTION('ref_axis',(1.,0.,0.)); #43584=DIRECTION('',(-1.,0.,0.)); #43585=DIRECTION('',(0.,0.,1.)); #43586=DIRECTION('',(1.,0.,0.)); #43587=DIRECTION('center_axis',(-1.,0.,0.)); #43588=DIRECTION('ref_axis',(0.,-1.,0.)); #43589=DIRECTION('',(0.,-1.,0.)); #43590=DIRECTION('',(0.,0.,1.)); #43591=DIRECTION('',(0.,-1.,0.)); #43592=DIRECTION('center_axis',(0.,1.,0.)); #43593=DIRECTION('ref_axis',(-1.,0.,0.)); #43594=DIRECTION('',(-1.,0.,0.)); #43595=DIRECTION('',(0.,0.,1.)); #43596=DIRECTION('',(-1.,0.,0.)); #43597=DIRECTION('center_axis',(-1.,0.,0.)); #43598=DIRECTION('ref_axis',(0.,-1.,0.)); #43599=DIRECTION('',(0.,-1.,0.)); #43600=DIRECTION('',(0.,0.,1.)); #43601=DIRECTION('',(0.,-1.,0.)); #43602=DIRECTION('center_axis',(0.,0.,-1.)); #43603=DIRECTION('ref_axis',(-6.99353086378052E-16,1.,0.)); #43604=DIRECTION('center_axis',(0.,0.,-1.)); #43605=DIRECTION('ref_axis',(-6.99353086378052E-16,1.,0.)); #43606=DIRECTION('',(0.,0.,1.)); #43607=DIRECTION('center_axis',(0.,0.,-1.)); #43608=DIRECTION('ref_axis',(-6.99353086378052E-16,1.,0.)); #43609=DIRECTION('center_axis',(1.39870588654316E-15,-1.,0.)); #43610=DIRECTION('ref_axis',(1.,1.39870588654316E-15,0.)); #43611=DIRECTION('',(-1.,-1.39870588654316E-15,0.)); #43612=DIRECTION('',(1.,1.39870588654316E-15,0.)); #43613=DIRECTION('center_axis',(0.,0.,-1.)); #43614=DIRECTION('ref_axis',(-1.,0.,0.)); #43615=DIRECTION('center_axis',(0.,0.,-1.)); #43616=DIRECTION('ref_axis',(-1.,0.,0.)); #43617=DIRECTION('',(0.,0.,1.)); #43618=DIRECTION('',(1.,0.,0.)); #43619=DIRECTION('',(0.,0.,1.)); #43620=DIRECTION('',(1.,0.,0.)); #43621=DIRECTION('',(0.,0.,1.)); #43622=DIRECTION('',(1.,0.,0.)); #43623=DIRECTION('',(0.,0.,1.)); #43624=DIRECTION('',(1.,0.,0.)); #43625=DIRECTION('',(0.,0.,1.)); #43626=DIRECTION('',(1.,0.,0.)); #43627=DIRECTION('axis',(0.,0.,1.)); #43628=DIRECTION('refdir',(1.,0.,0.)); #43629=DIRECTION('axis',(0.,0.,1.)); #43630=DIRECTION('refdir',(1.,0.,0.)); #43631=DIRECTION('center_axis',(0.,0.,1.)); #43632=DIRECTION('ref_axis',(0.,1.,0.)); #43633=DIRECTION('center_axis',(0.,0.,-1.)); #43634=DIRECTION('ref_axis',(0.,1.,0.)); #43635=DIRECTION('',(0.,0.,1.)); #43636=DIRECTION('center_axis',(0.,0.,-1.)); #43637=DIRECTION('ref_axis',(0.,1.,0.)); #43638=DIRECTION('',(0.,0.,1.)); #43639=DIRECTION('center_axis',(1.,-4.69935671798999E-15,0.)); #43640=DIRECTION('ref_axis',(4.69935671798999E-15,1.,0.)); #43641=DIRECTION('',(4.69935671798999E-15,1.,0.)); #43642=DIRECTION('',(4.69935671798999E-15,1.,0.)); #43643=DIRECTION('',(0.,0.,1.)); #43644=DIRECTION('center_axis',(0.,0.,1.)); #43645=DIRECTION('ref_axis',(-1.,-3.70074341541715E-14,0.)); #43646=DIRECTION('center_axis',(0.,0.,-1.)); #43647=DIRECTION('ref_axis',(-1.,-3.70074341541715E-14,0.)); #43648=DIRECTION('center_axis',(0.,0.,-1.)); #43649=DIRECTION('ref_axis',(-1.,-3.70074341541715E-14,0.)); #43650=DIRECTION('',(0.,0.,1.)); #43651=DIRECTION('center_axis',(0.,1.,0.)); #43652=DIRECTION('ref_axis',(-1.,0.,0.)); #43653=DIRECTION('',(-1.,0.,0.)); #43654=DIRECTION('',(-1.,0.,0.)); #43655=DIRECTION('',(0.,0.,1.)); #43656=DIRECTION('center_axis',(0.,0.,1.)); #43657=DIRECTION('ref_axis',(0.,-1.,0.)); #43658=DIRECTION('center_axis',(0.,0.,-1.)); #43659=DIRECTION('ref_axis',(0.,-1.,0.)); #43660=DIRECTION('center_axis',(0.,0.,-1.)); #43661=DIRECTION('ref_axis',(0.,-1.,0.)); #43662=DIRECTION('',(0.,0.,1.)); #43663=DIRECTION('center_axis',(-1.,0.,0.)); #43664=DIRECTION('ref_axis',(0.,-1.,0.)); #43665=DIRECTION('',(0.,-1.,0.)); #43666=DIRECTION('',(0.,-1.,0.)); #43667=DIRECTION('',(0.,0.,1.)); #43668=DIRECTION('center_axis',(0.,0.,1.)); #43669=DIRECTION('ref_axis',(1.,0.,0.)); #43670=DIRECTION('center_axis',(0.,0.,-1.)); #43671=DIRECTION('ref_axis',(1.,0.,0.)); #43672=DIRECTION('center_axis',(0.,0.,-1.)); #43673=DIRECTION('ref_axis',(1.,0.,0.)); #43674=DIRECTION('',(0.,0.,1.)); #43675=DIRECTION('center_axis',(5.76926547385597E-16,-1.,0.)); #43676=DIRECTION('ref_axis',(1.,5.76926547385597E-16,0.)); #43677=DIRECTION('',(1.,5.76926547385597E-16,0.)); #43678=DIRECTION('',(1.,5.76926547385597E-16,0.)); #43679=DIRECTION('center_axis',(0.,-1.,0.)); #43680=DIRECTION('ref_axis',(1.,0.,0.)); #43681=DIRECTION('',(1.,0.,0.)); #43682=DIRECTION('',(0.,0.,1.)); #43683=DIRECTION('',(1.,0.,0.)); #43684=DIRECTION('',(0.,0.,1.)); #43685=DIRECTION('center_axis',(1.,0.,0.)); #43686=DIRECTION('ref_axis',(0.,1.,0.)); #43687=DIRECTION('',(0.,1.,0.)); #43688=DIRECTION('',(0.,1.,0.)); #43689=DIRECTION('',(0.,0.,1.)); #43690=DIRECTION('center_axis',(0.,1.,0.)); #43691=DIRECTION('ref_axis',(-1.,0.,0.)); #43692=DIRECTION('',(-1.,0.,0.)); #43693=DIRECTION('',(-1.,0.,0.)); #43694=DIRECTION('',(0.,0.,1.)); #43695=DIRECTION('center_axis',(-1.,0.,0.)); #43696=DIRECTION('ref_axis',(0.,-1.,0.)); #43697=DIRECTION('',(0.,-1.,0.)); #43698=DIRECTION('',(0.,-1.,0.)); #43699=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #43700=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #43701=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #43702=DIRECTION('',(0.,0.,1.)); #43703=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #43704=DIRECTION('',(0.,0.,1.)); #43705=DIRECTION('center_axis',(0.,0.,1.)); #43706=DIRECTION('ref_axis',(0.,1.,0.)); #43707=DIRECTION('center_axis',(0.,0.,-1.)); #43708=DIRECTION('ref_axis',(0.,1.,0.)); #43709=DIRECTION('center_axis',(0.,0.,-1.)); #43710=DIRECTION('ref_axis',(0.,1.,0.)); #43711=DIRECTION('',(0.,0.,1.)); #43712=DIRECTION('center_axis',(1.,0.,0.)); #43713=DIRECTION('ref_axis',(0.,1.,0.)); #43714=DIRECTION('',(0.,1.,0.)); #43715=DIRECTION('',(0.,1.,0.)); #43716=DIRECTION('',(0.,0.,1.)); #43717=DIRECTION('center_axis',(0.,0.,1.)); #43718=DIRECTION('ref_axis',(-1.,0.,0.)); #43719=DIRECTION('center_axis',(0.,0.,-1.)); #43720=DIRECTION('ref_axis',(-1.,0.,0.)); #43721=DIRECTION('center_axis',(0.,0.,-1.)); #43722=DIRECTION('ref_axis',(-1.,0.,0.)); #43723=DIRECTION('',(0.,0.,1.)); #43724=DIRECTION('center_axis',(0.,1.,0.)); #43725=DIRECTION('ref_axis',(-1.,0.,0.)); #43726=DIRECTION('',(-1.,0.,0.)); #43727=DIRECTION('',(-1.,0.,0.)); #43728=DIRECTION('',(0.,0.,1.)); #43729=DIRECTION('center_axis',(0.,0.,1.)); #43730=DIRECTION('ref_axis',(0.,-1.,0.)); #43731=DIRECTION('center_axis',(0.,0.,-1.)); #43732=DIRECTION('ref_axis',(0.,-1.,0.)); #43733=DIRECTION('center_axis',(0.,0.,-1.)); #43734=DIRECTION('ref_axis',(0.,-1.,0.)); #43735=DIRECTION('',(0.,0.,1.)); #43736=DIRECTION('center_axis',(-1.,-4.69935671799003E-15,0.)); #43737=DIRECTION('ref_axis',(4.69935671799003E-15,-1.,0.)); #43738=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #43739=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #43740=DIRECTION('',(0.,0.,1.)); #43741=DIRECTION('center_axis',(0.,0.,1.)); #43742=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #43743=DIRECTION('center_axis',(0.,0.,-1.)); #43744=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #43745=DIRECTION('center_axis',(0.,0.,-1.)); #43746=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #43747=DIRECTION('center_axis',(1.,0.,0.)); #43748=DIRECTION('ref_axis',(0.,1.,0.)); #43749=DIRECTION('',(0.,1.,0.)); #43750=DIRECTION('',(0.,0.,1.)); #43751=DIRECTION('',(0.,1.,0.)); #43752=DIRECTION('',(0.,0.,1.)); #43753=DIRECTION('center_axis',(0.,1.,0.)); #43754=DIRECTION('ref_axis',(-1.,0.,0.)); #43755=DIRECTION('',(-1.,0.,0.)); #43756=DIRECTION('',(-1.,0.,0.)); #43757=DIRECTION('',(0.,0.,1.)); #43758=DIRECTION('center_axis',(-1.,0.,0.)); #43759=DIRECTION('ref_axis',(0.,-1.,0.)); #43760=DIRECTION('',(0.,-1.,0.)); #43761=DIRECTION('',(0.,-1.,0.)); #43762=DIRECTION('',(0.,0.,1.)); #43763=DIRECTION('center_axis',(0.,-1.,0.)); #43764=DIRECTION('ref_axis',(1.,0.,0.)); #43765=DIRECTION('',(1.,0.,0.)); #43766=DIRECTION('',(1.,0.,0.)); #43767=DIRECTION('center_axis',(0.,0.,1.)); #43768=DIRECTION('ref_axis',(-1.,0.,0.)); #43769=DIRECTION('center_axis',(0.,0.,-1.)); #43770=DIRECTION('ref_axis',(-1.,0.,0.)); #43771=DIRECTION('',(0.,0.,-1.)); #43772=DIRECTION('center_axis',(0.,0.,-1.)); #43773=DIRECTION('ref_axis',(-1.,0.,0.)); #43774=DIRECTION('center_axis',(0.,0.,1.)); #43775=DIRECTION('ref_axis',(-1.,0.,0.)); #43776=DIRECTION('center_axis',(0.,0.,-1.)); #43777=DIRECTION('ref_axis',(-1.,0.,0.)); #43778=DIRECTION('',(0.,0.,-1.)); #43779=DIRECTION('center_axis',(0.,0.,-1.)); #43780=DIRECTION('ref_axis',(-1.,0.,0.)); #43781=DIRECTION('center_axis',(0.,1.,0.)); #43782=DIRECTION('ref_axis',(-1.,0.,0.)); #43783=DIRECTION('',(-1.,0.,0.)); #43784=DIRECTION('',(0.,0.,1.)); #43785=DIRECTION('',(-1.,0.,0.)); #43786=DIRECTION('',(0.,0.,1.)); #43787=DIRECTION('center_axis',(-1.,0.,0.)); #43788=DIRECTION('ref_axis',(0.,-1.,0.)); #43789=DIRECTION('',(0.,-1.,0.)); #43790=DIRECTION('',(0.,-1.,0.)); #43791=DIRECTION('',(0.,0.,1.)); #43792=DIRECTION('center_axis',(0.,-1.,0.)); #43793=DIRECTION('ref_axis',(1.,0.,0.)); #43794=DIRECTION('',(1.,0.,0.)); #43795=DIRECTION('',(1.,0.,0.)); #43796=DIRECTION('',(0.,0.,1.)); #43797=DIRECTION('center_axis',(1.,0.,0.)); #43798=DIRECTION('ref_axis',(0.,1.,0.)); #43799=DIRECTION('',(0.,1.,0.)); #43800=DIRECTION('',(0.,1.,0.)); #43801=DIRECTION('center_axis',(1.,0.,0.)); #43802=DIRECTION('ref_axis',(0.,1.,0.)); #43803=DIRECTION('',(0.,1.,0.)); #43804=DIRECTION('',(0.,0.,1.)); #43805=DIRECTION('',(0.,1.,0.)); #43806=DIRECTION('',(0.,0.,1.)); #43807=DIRECTION('center_axis',(0.,1.,0.)); #43808=DIRECTION('ref_axis',(-1.,0.,0.)); #43809=DIRECTION('',(-1.,0.,0.)); #43810=DIRECTION('',(-1.,0.,0.)); #43811=DIRECTION('',(0.,0.,1.)); #43812=DIRECTION('center_axis',(-1.,0.,0.)); #43813=DIRECTION('ref_axis',(0.,-1.,0.)); #43814=DIRECTION('',(0.,-1.,0.)); #43815=DIRECTION('',(0.,-1.,0.)); #43816=DIRECTION('',(0.,0.,1.)); #43817=DIRECTION('center_axis',(0.,-1.,0.)); #43818=DIRECTION('ref_axis',(1.,0.,0.)); #43819=DIRECTION('',(1.,0.,0.)); #43820=DIRECTION('',(1.,0.,0.)); #43821=DIRECTION('center_axis',(0.,0.,1.)); #43822=DIRECTION('ref_axis',(-1.,0.,0.)); #43823=DIRECTION('center_axis',(0.,0.,-1.)); #43824=DIRECTION('ref_axis',(-1.,0.,0.)); #43825=DIRECTION('',(0.,0.,-1.)); #43826=DIRECTION('center_axis',(0.,0.,-1.)); #43827=DIRECTION('ref_axis',(-1.,0.,0.)); #43828=DIRECTION('center_axis',(0.,0.,1.)); #43829=DIRECTION('ref_axis',(-1.,0.,0.)); #43830=DIRECTION('center_axis',(0.,0.,-1.)); #43831=DIRECTION('ref_axis',(-1.,0.,0.)); #43832=DIRECTION('',(0.,0.,1.)); #43833=DIRECTION('center_axis',(0.,0.,-1.)); #43834=DIRECTION('ref_axis',(-1.,0.,0.)); #43835=DIRECTION('',(0.,0.,1.)); #43836=DIRECTION('center_axis',(0.,1.,0.)); #43837=DIRECTION('ref_axis',(-1.,0.,0.)); #43838=DIRECTION('',(-1.,0.,0.)); #43839=DIRECTION('',(-1.,0.,0.)); #43840=DIRECTION('',(0.,0.,1.)); #43841=DIRECTION('center_axis',(0.,0.,1.)); #43842=DIRECTION('ref_axis',(0.,-1.,0.)); #43843=DIRECTION('center_axis',(0.,0.,-1.)); #43844=DIRECTION('ref_axis',(0.,-1.,0.)); #43845=DIRECTION('center_axis',(0.,0.,-1.)); #43846=DIRECTION('ref_axis',(0.,-1.,0.)); #43847=DIRECTION('',(0.,0.,1.)); #43848=DIRECTION('center_axis',(-1.,0.,0.)); #43849=DIRECTION('ref_axis',(0.,-1.,0.)); #43850=DIRECTION('',(0.,-1.,0.)); #43851=DIRECTION('',(0.,-1.,0.)); #43852=DIRECTION('',(0.,0.,1.)); #43853=DIRECTION('center_axis',(0.,0.,1.)); #43854=DIRECTION('ref_axis',(1.,0.,0.)); #43855=DIRECTION('center_axis',(0.,0.,-1.)); #43856=DIRECTION('ref_axis',(1.,0.,0.)); #43857=DIRECTION('center_axis',(0.,0.,-1.)); #43858=DIRECTION('ref_axis',(1.,0.,0.)); #43859=DIRECTION('',(0.,0.,1.)); #43860=DIRECTION('center_axis',(0.,-1.,0.)); #43861=DIRECTION('ref_axis',(1.,0.,0.)); #43862=DIRECTION('',(1.,0.,0.)); #43863=DIRECTION('',(1.,0.,0.)); #43864=DIRECTION('',(0.,0.,1.)); #43865=DIRECTION('center_axis',(0.,0.,1.)); #43866=DIRECTION('ref_axis',(0.,1.,0.)); #43867=DIRECTION('center_axis',(0.,0.,-1.)); #43868=DIRECTION('ref_axis',(0.,1.,0.)); #43869=DIRECTION('center_axis',(0.,0.,-1.)); #43870=DIRECTION('ref_axis',(0.,1.,0.)); #43871=DIRECTION('',(0.,0.,1.)); #43872=DIRECTION('center_axis',(1.,-4.69935671799008E-15,0.)); #43873=DIRECTION('ref_axis',(4.69935671799008E-15,1.,0.)); #43874=DIRECTION('',(4.69935671799008E-15,1.,0.)); #43875=DIRECTION('',(4.69935671799008E-15,1.,0.)); #43876=DIRECTION('center_axis',(0.,0.,1.)); #43877=DIRECTION('ref_axis',(-1.,0.,0.)); #43878=DIRECTION('center_axis',(0.,0.,-1.)); #43879=DIRECTION('ref_axis',(-1.,0.,0.)); #43880=DIRECTION('',(0.,0.,-1.)); #43881=DIRECTION('center_axis',(0.,0.,-1.)); #43882=DIRECTION('ref_axis',(-1.,0.,0.)); #43883=DIRECTION('center_axis',(0.,0.,1.)); #43884=DIRECTION('ref_axis',(-1.,0.,0.)); #43885=DIRECTION('center_axis',(0.,0.,-1.)); #43886=DIRECTION('ref_axis',(-1.,0.,0.)); #43887=DIRECTION('',(0.,0.,-1.)); #43888=DIRECTION('center_axis',(0.,0.,-1.)); #43889=DIRECTION('ref_axis',(-1.,0.,0.)); #43890=DIRECTION('center_axis',(0.,0.,1.)); #43891=DIRECTION('ref_axis',(-1.,0.,0.)); #43892=DIRECTION('center_axis',(0.,0.,-1.)); #43893=DIRECTION('ref_axis',(-1.,0.,0.)); #43894=DIRECTION('',(0.,0.,-1.)); #43895=DIRECTION('center_axis',(0.,0.,-1.)); #43896=DIRECTION('ref_axis',(-1.,0.,0.)); #43897=DIRECTION('center_axis',(0.,0.,1.)); #43898=DIRECTION('ref_axis',(-1.,0.,0.)); #43899=DIRECTION('center_axis',(0.,0.,-1.)); #43900=DIRECTION('ref_axis',(-1.,0.,0.)); #43901=DIRECTION('',(0.,0.,-1.)); #43902=DIRECTION('center_axis',(0.,0.,-1.)); #43903=DIRECTION('ref_axis',(-1.,0.,0.)); #43904=DIRECTION('center_axis',(0.,0.,1.)); #43905=DIRECTION('ref_axis',(-1.,0.,0.)); #43906=DIRECTION('center_axis',(0.,0.,-1.)); #43907=DIRECTION('ref_axis',(-1.,0.,0.)); #43908=DIRECTION('',(0.,0.,-1.)); #43909=DIRECTION('center_axis',(0.,0.,-1.)); #43910=DIRECTION('ref_axis',(-1.,0.,0.)); #43911=DIRECTION('center_axis',(0.,0.,1.)); #43912=DIRECTION('ref_axis',(-1.,0.,0.)); #43913=DIRECTION('center_axis',(0.,0.,-1.)); #43914=DIRECTION('ref_axis',(-1.,0.,0.)); #43915=DIRECTION('',(0.,0.,-1.)); #43916=DIRECTION('center_axis',(0.,0.,-1.)); #43917=DIRECTION('ref_axis',(-1.,0.,0.)); #43918=DIRECTION('center_axis',(0.,1.,0.)); #43919=DIRECTION('ref_axis',(-1.,0.,0.)); #43920=DIRECTION('',(-1.,0.,0.)); #43921=DIRECTION('',(0.,0.,1.)); #43922=DIRECTION('',(-1.,0.,0.)); #43923=DIRECTION('',(0.,0.,1.)); #43924=DIRECTION('center_axis',(-1.,0.,0.)); #43925=DIRECTION('ref_axis',(0.,-1.,0.)); #43926=DIRECTION('',(0.,-1.,0.)); #43927=DIRECTION('',(0.,-1.,0.)); #43928=DIRECTION('',(0.,0.,1.)); #43929=DIRECTION('center_axis',(0.,-1.,0.)); #43930=DIRECTION('ref_axis',(1.,0.,0.)); #43931=DIRECTION('',(1.,0.,0.)); #43932=DIRECTION('',(1.,0.,0.)); #43933=DIRECTION('',(0.,0.,1.)); #43934=DIRECTION('center_axis',(1.,0.,0.)); #43935=DIRECTION('ref_axis',(0.,1.,0.)); #43936=DIRECTION('',(0.,1.,0.)); #43937=DIRECTION('',(0.,1.,0.)); #43938=DIRECTION('center_axis',(0.,0.,1.)); #43939=DIRECTION('ref_axis',(-1.,0.,0.)); #43940=DIRECTION('center_axis',(0.,0.,-1.)); #43941=DIRECTION('ref_axis',(-1.,0.,0.)); #43942=DIRECTION('',(0.,0.,-1.)); #43943=DIRECTION('center_axis',(0.,0.,-1.)); #43944=DIRECTION('ref_axis',(-1.,0.,0.)); #43945=DIRECTION('center_axis',(0.,0.,1.)); #43946=DIRECTION('ref_axis',(-1.,0.,0.)); #43947=DIRECTION('center_axis',(0.,0.,-1.)); #43948=DIRECTION('ref_axis',(-1.,0.,0.)); #43949=DIRECTION('',(0.,0.,-1.)); #43950=DIRECTION('center_axis',(0.,0.,-1.)); #43951=DIRECTION('ref_axis',(-1.,0.,0.)); #43952=DIRECTION('center_axis',(0.,1.,0.)); #43953=DIRECTION('ref_axis',(-1.,0.,0.)); #43954=DIRECTION('',(-1.,0.,0.)); #43955=DIRECTION('',(0.,0.,1.)); #43956=DIRECTION('',(-1.,0.,0.)); #43957=DIRECTION('',(0.,0.,1.)); #43958=DIRECTION('center_axis',(-1.,0.,0.)); #43959=DIRECTION('ref_axis',(0.,-1.,0.)); #43960=DIRECTION('',(0.,-1.,0.)); #43961=DIRECTION('',(0.,-1.,0.)); #43962=DIRECTION('',(0.,0.,1.)); #43963=DIRECTION('center_axis',(0.,-1.,0.)); #43964=DIRECTION('ref_axis',(1.,0.,0.)); #43965=DIRECTION('',(1.,0.,0.)); #43966=DIRECTION('',(1.,0.,0.)); #43967=DIRECTION('',(0.,0.,1.)); #43968=DIRECTION('center_axis',(1.,0.,0.)); #43969=DIRECTION('ref_axis',(0.,1.,0.)); #43970=DIRECTION('',(0.,1.,0.)); #43971=DIRECTION('',(0.,1.,0.)); #43972=DIRECTION('center_axis',(0.,1.,0.)); #43973=DIRECTION('ref_axis',(-1.,0.,0.)); #43974=DIRECTION('',(-1.,0.,0.)); #43975=DIRECTION('',(0.,0.,1.)); #43976=DIRECTION('',(-1.,0.,0.)); #43977=DIRECTION('',(0.,0.,1.)); #43978=DIRECTION('center_axis',(-1.,0.,0.)); #43979=DIRECTION('ref_axis',(0.,-1.,0.)); #43980=DIRECTION('',(0.,-1.,0.)); #43981=DIRECTION('',(0.,-1.,0.)); #43982=DIRECTION('',(0.,0.,1.)); #43983=DIRECTION('center_axis',(0.,-1.,0.)); #43984=DIRECTION('ref_axis',(1.,0.,0.)); #43985=DIRECTION('',(1.,0.,0.)); #43986=DIRECTION('',(1.,0.,0.)); #43987=DIRECTION('',(0.,0.,1.)); #43988=DIRECTION('center_axis',(1.,0.,0.)); #43989=DIRECTION('ref_axis',(0.,1.,0.)); #43990=DIRECTION('',(0.,1.,0.)); #43991=DIRECTION('',(0.,1.,0.)); #43992=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #43993=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #43994=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #43995=DIRECTION('',(0.,0.,1.)); #43996=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #43997=DIRECTION('',(0.,0.,1.)); #43998=DIRECTION('center_axis',(0.,0.,1.)); #43999=DIRECTION('ref_axis',(0.,1.,0.)); #44000=DIRECTION('center_axis',(0.,0.,-1.)); #44001=DIRECTION('ref_axis',(0.,1.,0.)); #44002=DIRECTION('center_axis',(0.,0.,-1.)); #44003=DIRECTION('ref_axis',(0.,1.,0.)); #44004=DIRECTION('',(0.,0.,1.)); #44005=DIRECTION('center_axis',(1.,0.,0.)); #44006=DIRECTION('ref_axis',(0.,1.,0.)); #44007=DIRECTION('',(0.,1.,0.)); #44008=DIRECTION('',(0.,1.,0.)); #44009=DIRECTION('',(0.,0.,1.)); #44010=DIRECTION('center_axis',(0.,0.,1.)); #44011=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44012=DIRECTION('center_axis',(0.,0.,-1.)); #44013=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44014=DIRECTION('center_axis',(0.,0.,-1.)); #44015=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44016=DIRECTION('',(0.,0.,1.)); #44017=DIRECTION('center_axis',(0.,1.,0.)); #44018=DIRECTION('ref_axis',(-1.,0.,0.)); #44019=DIRECTION('',(-1.,0.,0.)); #44020=DIRECTION('',(-1.,0.,0.)); #44021=DIRECTION('',(0.,0.,1.)); #44022=DIRECTION('center_axis',(0.,0.,1.)); #44023=DIRECTION('ref_axis',(0.,-1.,0.)); #44024=DIRECTION('center_axis',(0.,0.,-1.)); #44025=DIRECTION('ref_axis',(0.,-1.,0.)); #44026=DIRECTION('center_axis',(0.,0.,-1.)); #44027=DIRECTION('ref_axis',(0.,-1.,0.)); #44028=DIRECTION('',(0.,0.,1.)); #44029=DIRECTION('center_axis',(-1.,-4.69935671799005E-15,0.)); #44030=DIRECTION('ref_axis',(4.69935671799005E-15,-1.,0.)); #44031=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44032=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44033=DIRECTION('',(0.,0.,1.)); #44034=DIRECTION('center_axis',(0.,0.,1.)); #44035=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44036=DIRECTION('center_axis',(0.,0.,-1.)); #44037=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44038=DIRECTION('center_axis',(0.,0.,-1.)); #44039=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44040=DIRECTION('center_axis',(1.,-4.69935671799003E-15,0.)); #44041=DIRECTION('ref_axis',(4.69935671799003E-15,1.,0.)); #44042=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44043=DIRECTION('',(0.,0.,1.)); #44044=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44045=DIRECTION('',(0.,0.,1.)); #44046=DIRECTION('center_axis',(0.,0.,1.)); #44047=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44048=DIRECTION('center_axis',(0.,0.,-1.)); #44049=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44050=DIRECTION('center_axis',(0.,0.,-1.)); #44051=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44052=DIRECTION('',(0.,0.,1.)); #44053=DIRECTION('center_axis',(0.,1.,0.)); #44054=DIRECTION('ref_axis',(-1.,0.,0.)); #44055=DIRECTION('',(-1.,0.,0.)); #44056=DIRECTION('',(-1.,0.,0.)); #44057=DIRECTION('',(0.,0.,1.)); #44058=DIRECTION('center_axis',(0.,0.,1.)); #44059=DIRECTION('ref_axis',(0.,-1.,0.)); #44060=DIRECTION('center_axis',(0.,0.,-1.)); #44061=DIRECTION('ref_axis',(0.,-1.,0.)); #44062=DIRECTION('center_axis',(0.,0.,-1.)); #44063=DIRECTION('ref_axis',(0.,-1.,0.)); #44064=DIRECTION('',(0.,0.,1.)); #44065=DIRECTION('center_axis',(-1.,0.,0.)); #44066=DIRECTION('ref_axis',(0.,-1.,0.)); #44067=DIRECTION('',(0.,-1.,0.)); #44068=DIRECTION('',(0.,-1.,0.)); #44069=DIRECTION('',(0.,0.,1.)); #44070=DIRECTION('center_axis',(0.,0.,1.)); #44071=DIRECTION('ref_axis',(1.,0.,0.)); #44072=DIRECTION('center_axis',(0.,0.,-1.)); #44073=DIRECTION('ref_axis',(1.,0.,0.)); #44074=DIRECTION('center_axis',(0.,0.,-1.)); #44075=DIRECTION('ref_axis',(1.,0.,0.)); #44076=DIRECTION('',(0.,0.,1.)); #44077=DIRECTION('center_axis',(2.88463273692798E-16,-1.,0.)); #44078=DIRECTION('ref_axis',(1.,2.88463273692798E-16,0.)); #44079=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44080=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44081=DIRECTION('',(0.,0.,1.)); #44082=DIRECTION('center_axis',(0.,0.,1.)); #44083=DIRECTION('ref_axis',(0.,1.,0.)); #44084=DIRECTION('center_axis',(0.,0.,-1.)); #44085=DIRECTION('ref_axis',(0.,1.,0.)); #44086=DIRECTION('center_axis',(0.,0.,-1.)); #44087=DIRECTION('ref_axis',(0.,1.,0.)); #44088=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44089=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44090=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44091=DIRECTION('',(0.,0.,1.)); #44092=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44093=DIRECTION('',(0.,0.,1.)); #44094=DIRECTION('center_axis',(0.,0.,1.)); #44095=DIRECTION('ref_axis',(0.,1.,0.)); #44096=DIRECTION('center_axis',(0.,0.,-1.)); #44097=DIRECTION('ref_axis',(0.,1.,0.)); #44098=DIRECTION('center_axis',(0.,0.,-1.)); #44099=DIRECTION('ref_axis',(0.,1.,0.)); #44100=DIRECTION('',(0.,0.,1.)); #44101=DIRECTION('center_axis',(1.,0.,0.)); #44102=DIRECTION('ref_axis',(0.,1.,0.)); #44103=DIRECTION('',(0.,1.,0.)); #44104=DIRECTION('',(0.,1.,0.)); #44105=DIRECTION('',(0.,0.,1.)); #44106=DIRECTION('center_axis',(0.,0.,1.)); #44107=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44108=DIRECTION('center_axis',(0.,0.,-1.)); #44109=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44110=DIRECTION('center_axis',(0.,0.,-1.)); #44111=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44112=DIRECTION('',(0.,0.,1.)); #44113=DIRECTION('center_axis',(0.,1.,0.)); #44114=DIRECTION('ref_axis',(-1.,0.,0.)); #44115=DIRECTION('',(-1.,0.,0.)); #44116=DIRECTION('',(-1.,0.,0.)); #44117=DIRECTION('',(0.,0.,1.)); #44118=DIRECTION('center_axis',(0.,0.,1.)); #44119=DIRECTION('ref_axis',(0.,-1.,0.)); #44120=DIRECTION('center_axis',(0.,0.,-1.)); #44121=DIRECTION('ref_axis',(0.,-1.,0.)); #44122=DIRECTION('center_axis',(0.,0.,-1.)); #44123=DIRECTION('ref_axis',(0.,-1.,0.)); #44124=DIRECTION('',(0.,0.,1.)); #44125=DIRECTION('center_axis',(-1.,-4.69935671799005E-15,0.)); #44126=DIRECTION('ref_axis',(4.69935671799005E-15,-1.,0.)); #44127=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44128=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44129=DIRECTION('',(0.,0.,1.)); #44130=DIRECTION('center_axis',(0.,0.,1.)); #44131=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44132=DIRECTION('center_axis',(0.,0.,-1.)); #44133=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44134=DIRECTION('center_axis',(0.,0.,-1.)); #44135=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44136=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44137=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44138=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44139=DIRECTION('',(0.,0.,1.)); #44140=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44141=DIRECTION('',(0.,0.,1.)); #44142=DIRECTION('center_axis',(0.,0.,1.)); #44143=DIRECTION('ref_axis',(0.,1.,0.)); #44144=DIRECTION('center_axis',(0.,0.,-1.)); #44145=DIRECTION('ref_axis',(0.,1.,0.)); #44146=DIRECTION('center_axis',(0.,0.,-1.)); #44147=DIRECTION('ref_axis',(0.,1.,0.)); #44148=DIRECTION('',(0.,0.,1.)); #44149=DIRECTION('center_axis',(1.,0.,0.)); #44150=DIRECTION('ref_axis',(0.,1.,0.)); #44151=DIRECTION('',(0.,1.,0.)); #44152=DIRECTION('',(0.,1.,0.)); #44153=DIRECTION('',(0.,0.,1.)); #44154=DIRECTION('center_axis',(0.,0.,1.)); #44155=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44156=DIRECTION('center_axis',(0.,0.,-1.)); #44157=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44158=DIRECTION('center_axis',(0.,0.,-1.)); #44159=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44160=DIRECTION('',(0.,0.,1.)); #44161=DIRECTION('center_axis',(0.,1.,0.)); #44162=DIRECTION('ref_axis',(-1.,0.,0.)); #44163=DIRECTION('',(-1.,0.,0.)); #44164=DIRECTION('',(-1.,0.,0.)); #44165=DIRECTION('',(0.,0.,1.)); #44166=DIRECTION('center_axis',(0.,0.,1.)); #44167=DIRECTION('ref_axis',(0.,-1.,0.)); #44168=DIRECTION('center_axis',(0.,0.,-1.)); #44169=DIRECTION('ref_axis',(0.,-1.,0.)); #44170=DIRECTION('center_axis',(0.,0.,-1.)); #44171=DIRECTION('ref_axis',(0.,-1.,0.)); #44172=DIRECTION('',(0.,0.,1.)); #44173=DIRECTION('center_axis',(-1.,-4.69935671799005E-15,0.)); #44174=DIRECTION('ref_axis',(4.69935671799005E-15,-1.,0.)); #44175=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44176=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44177=DIRECTION('',(0.,0.,1.)); #44178=DIRECTION('center_axis',(0.,0.,1.)); #44179=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44180=DIRECTION('center_axis',(0.,0.,-1.)); #44181=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44182=DIRECTION('center_axis',(0.,0.,-1.)); #44183=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44184=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44185=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44186=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44187=DIRECTION('',(0.,0.,1.)); #44188=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44189=DIRECTION('',(0.,0.,1.)); #44190=DIRECTION('center_axis',(0.,0.,1.)); #44191=DIRECTION('ref_axis',(0.,1.,0.)); #44192=DIRECTION('center_axis',(0.,0.,-1.)); #44193=DIRECTION('ref_axis',(0.,1.,0.)); #44194=DIRECTION('center_axis',(0.,0.,-1.)); #44195=DIRECTION('ref_axis',(0.,1.,0.)); #44196=DIRECTION('',(0.,0.,1.)); #44197=DIRECTION('center_axis',(1.,0.,0.)); #44198=DIRECTION('ref_axis',(0.,1.,0.)); #44199=DIRECTION('',(0.,1.,0.)); #44200=DIRECTION('',(0.,1.,0.)); #44201=DIRECTION('',(0.,0.,1.)); #44202=DIRECTION('center_axis',(0.,0.,1.)); #44203=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44204=DIRECTION('center_axis',(0.,0.,-1.)); #44205=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44206=DIRECTION('center_axis',(0.,0.,-1.)); #44207=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44208=DIRECTION('',(0.,0.,1.)); #44209=DIRECTION('center_axis',(0.,1.,0.)); #44210=DIRECTION('ref_axis',(-1.,0.,0.)); #44211=DIRECTION('',(-1.,0.,0.)); #44212=DIRECTION('',(-1.,0.,0.)); #44213=DIRECTION('',(0.,0.,1.)); #44214=DIRECTION('center_axis',(0.,0.,1.)); #44215=DIRECTION('ref_axis',(0.,-1.,0.)); #44216=DIRECTION('center_axis',(0.,0.,-1.)); #44217=DIRECTION('ref_axis',(0.,-1.,0.)); #44218=DIRECTION('center_axis',(0.,0.,-1.)); #44219=DIRECTION('ref_axis',(0.,-1.,0.)); #44220=DIRECTION('',(0.,0.,1.)); #44221=DIRECTION('center_axis',(-1.,-4.69935671799005E-15,0.)); #44222=DIRECTION('ref_axis',(4.69935671799005E-15,-1.,0.)); #44223=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44224=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44225=DIRECTION('',(0.,0.,1.)); #44226=DIRECTION('center_axis',(0.,0.,1.)); #44227=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44228=DIRECTION('center_axis',(0.,0.,-1.)); #44229=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44230=DIRECTION('center_axis',(0.,0.,-1.)); #44231=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44232=DIRECTION('center_axis',(0.,0.,1.)); #44233=DIRECTION('ref_axis',(1.,0.,0.)); #44234=DIRECTION('center_axis',(0.,0.,-1.)); #44235=DIRECTION('ref_axis',(1.,0.,0.)); #44236=DIRECTION('',(0.,0.,1.)); #44237=DIRECTION('center_axis',(0.,0.,-1.)); #44238=DIRECTION('ref_axis',(1.,0.,0.)); #44239=DIRECTION('',(0.,0.,1.)); #44240=DIRECTION('center_axis',(2.88463273692798E-16,-1.,0.)); #44241=DIRECTION('ref_axis',(1.,2.88463273692798E-16,0.)); #44242=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44243=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44244=DIRECTION('',(0.,0.,1.)); #44245=DIRECTION('center_axis',(0.,0.,1.)); #44246=DIRECTION('ref_axis',(0.,1.,0.)); #44247=DIRECTION('center_axis',(0.,0.,-1.)); #44248=DIRECTION('ref_axis',(0.,1.,0.)); #44249=DIRECTION('center_axis',(0.,0.,-1.)); #44250=DIRECTION('ref_axis',(0.,1.,0.)); #44251=DIRECTION('',(0.,0.,1.)); #44252=DIRECTION('center_axis',(1.,-4.69935671799003E-15,0.)); #44253=DIRECTION('ref_axis',(4.69935671799003E-15,1.,0.)); #44254=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44255=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44256=DIRECTION('',(0.,0.,1.)); #44257=DIRECTION('center_axis',(0.,0.,1.)); #44258=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44259=DIRECTION('center_axis',(0.,0.,-1.)); #44260=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44261=DIRECTION('center_axis',(0.,0.,-1.)); #44262=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44263=DIRECTION('',(0.,0.,1.)); #44264=DIRECTION('center_axis',(0.,1.,0.)); #44265=DIRECTION('ref_axis',(-1.,0.,0.)); #44266=DIRECTION('',(-1.,0.,0.)); #44267=DIRECTION('',(-1.,0.,0.)); #44268=DIRECTION('',(0.,0.,1.)); #44269=DIRECTION('center_axis',(0.,0.,1.)); #44270=DIRECTION('ref_axis',(0.,-1.,0.)); #44271=DIRECTION('center_axis',(0.,0.,-1.)); #44272=DIRECTION('ref_axis',(0.,-1.,0.)); #44273=DIRECTION('center_axis',(0.,0.,-1.)); #44274=DIRECTION('ref_axis',(0.,-1.,0.)); #44275=DIRECTION('',(0.,0.,1.)); #44276=DIRECTION('center_axis',(-1.,0.,0.)); #44277=DIRECTION('ref_axis',(0.,-1.,0.)); #44278=DIRECTION('',(0.,-1.,0.)); #44279=DIRECTION('',(0.,-1.,0.)); #44280=DIRECTION('center_axis',(0.,0.,1.)); #44281=DIRECTION('ref_axis',(1.,0.,0.)); #44282=DIRECTION('center_axis',(0.,0.,-1.)); #44283=DIRECTION('ref_axis',(1.,0.,0.)); #44284=DIRECTION('',(0.,0.,1.)); #44285=DIRECTION('center_axis',(0.,0.,-1.)); #44286=DIRECTION('ref_axis',(1.,0.,0.)); #44287=DIRECTION('',(0.,0.,1.)); #44288=DIRECTION('center_axis',(2.88463273692798E-16,-1.,0.)); #44289=DIRECTION('ref_axis',(1.,2.88463273692798E-16,0.)); #44290=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44291=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44292=DIRECTION('',(0.,0.,1.)); #44293=DIRECTION('center_axis',(0.,0.,1.)); #44294=DIRECTION('ref_axis',(0.,1.,0.)); #44295=DIRECTION('center_axis',(0.,0.,-1.)); #44296=DIRECTION('ref_axis',(0.,1.,0.)); #44297=DIRECTION('center_axis',(0.,0.,-1.)); #44298=DIRECTION('ref_axis',(0.,1.,0.)); #44299=DIRECTION('',(0.,0.,1.)); #44300=DIRECTION('center_axis',(1.,-4.69935671799003E-15,0.)); #44301=DIRECTION('ref_axis',(4.69935671799003E-15,1.,0.)); #44302=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44303=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44304=DIRECTION('',(0.,0.,1.)); #44305=DIRECTION('center_axis',(0.,0.,1.)); #44306=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44307=DIRECTION('center_axis',(0.,0.,-1.)); #44308=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44309=DIRECTION('center_axis',(0.,0.,-1.)); #44310=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44311=DIRECTION('',(0.,0.,1.)); #44312=DIRECTION('center_axis',(0.,1.,0.)); #44313=DIRECTION('ref_axis',(-1.,0.,0.)); #44314=DIRECTION('',(-1.,0.,0.)); #44315=DIRECTION('',(-1.,0.,0.)); #44316=DIRECTION('',(0.,0.,1.)); #44317=DIRECTION('center_axis',(0.,0.,1.)); #44318=DIRECTION('ref_axis',(0.,-1.,0.)); #44319=DIRECTION('center_axis',(0.,0.,-1.)); #44320=DIRECTION('ref_axis',(0.,-1.,0.)); #44321=DIRECTION('center_axis',(0.,0.,-1.)); #44322=DIRECTION('ref_axis',(0.,-1.,0.)); #44323=DIRECTION('',(0.,0.,1.)); #44324=DIRECTION('center_axis',(-1.,0.,0.)); #44325=DIRECTION('ref_axis',(0.,-1.,0.)); #44326=DIRECTION('',(0.,-1.,0.)); #44327=DIRECTION('',(0.,-1.,0.)); #44328=DIRECTION('center_axis',(0.,0.,1.)); #44329=DIRECTION('ref_axis',(-1.,0.,0.)); #44330=DIRECTION('center_axis',(0.,0.,-1.)); #44331=DIRECTION('ref_axis',(-1.,0.,0.)); #44332=DIRECTION('',(0.,0.,1.)); #44333=DIRECTION('center_axis',(0.,0.,-1.)); #44334=DIRECTION('ref_axis',(-1.,0.,0.)); #44335=DIRECTION('',(0.,0.,1.)); #44336=DIRECTION('center_axis',(0.,1.,0.)); #44337=DIRECTION('ref_axis',(-1.,0.,0.)); #44338=DIRECTION('',(-1.,0.,0.)); #44339=DIRECTION('',(-1.,0.,0.)); #44340=DIRECTION('',(0.,0.,1.)); #44341=DIRECTION('center_axis',(0.,0.,1.)); #44342=DIRECTION('ref_axis',(0.,-1.,0.)); #44343=DIRECTION('center_axis',(0.,0.,-1.)); #44344=DIRECTION('ref_axis',(0.,-1.,0.)); #44345=DIRECTION('center_axis',(0.,0.,-1.)); #44346=DIRECTION('ref_axis',(0.,-1.,0.)); #44347=DIRECTION('',(0.,0.,1.)); #44348=DIRECTION('center_axis',(-1.,0.,0.)); #44349=DIRECTION('ref_axis',(0.,-1.,0.)); #44350=DIRECTION('',(0.,-1.,0.)); #44351=DIRECTION('',(0.,-1.,0.)); #44352=DIRECTION('',(0.,0.,1.)); #44353=DIRECTION('center_axis',(0.,0.,1.)); #44354=DIRECTION('ref_axis',(1.,0.,0.)); #44355=DIRECTION('center_axis',(0.,0.,-1.)); #44356=DIRECTION('ref_axis',(1.,0.,0.)); #44357=DIRECTION('center_axis',(0.,0.,-1.)); #44358=DIRECTION('ref_axis',(1.,0.,0.)); #44359=DIRECTION('',(0.,0.,1.)); #44360=DIRECTION('center_axis',(5.76926547385597E-16,-1.,0.)); #44361=DIRECTION('ref_axis',(1.,5.76926547385597E-16,0.)); #44362=DIRECTION('',(1.,5.76926547385597E-16,0.)); #44363=DIRECTION('',(1.,5.76926547385597E-16,0.)); #44364=DIRECTION('',(0.,0.,1.)); #44365=DIRECTION('center_axis',(0.,0.,1.)); #44366=DIRECTION('ref_axis',(0.,1.,0.)); #44367=DIRECTION('center_axis',(0.,0.,-1.)); #44368=DIRECTION('ref_axis',(0.,1.,0.)); #44369=DIRECTION('center_axis',(0.,0.,-1.)); #44370=DIRECTION('ref_axis',(0.,1.,0.)); #44371=DIRECTION('',(0.,0.,1.)); #44372=DIRECTION('center_axis',(1.,-4.69935671799008E-15,0.)); #44373=DIRECTION('ref_axis',(4.69935671799008E-15,1.,0.)); #44374=DIRECTION('',(4.69935671799008E-15,1.,0.)); #44375=DIRECTION('',(4.69935671799008E-15,1.,0.)); #44376=DIRECTION('center_axis',(0.,0.,1.)); #44377=DIRECTION('ref_axis',(1.,0.,0.)); #44378=DIRECTION('center_axis',(0.,0.,-1.)); #44379=DIRECTION('ref_axis',(1.,0.,0.)); #44380=DIRECTION('',(0.,0.,1.)); #44381=DIRECTION('center_axis',(0.,0.,-1.)); #44382=DIRECTION('ref_axis',(1.,0.,0.)); #44383=DIRECTION('',(0.,0.,1.)); #44384=DIRECTION('center_axis',(2.88463273692798E-16,-1.,0.)); #44385=DIRECTION('ref_axis',(1.,2.88463273692798E-16,0.)); #44386=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44387=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44388=DIRECTION('',(0.,0.,1.)); #44389=DIRECTION('center_axis',(0.,0.,1.)); #44390=DIRECTION('ref_axis',(0.,1.,0.)); #44391=DIRECTION('center_axis',(0.,0.,-1.)); #44392=DIRECTION('ref_axis',(0.,1.,0.)); #44393=DIRECTION('center_axis',(0.,0.,-1.)); #44394=DIRECTION('ref_axis',(0.,1.,0.)); #44395=DIRECTION('',(0.,0.,1.)); #44396=DIRECTION('center_axis',(1.,-4.69935671799003E-15,0.)); #44397=DIRECTION('ref_axis',(4.69935671799003E-15,1.,0.)); #44398=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44399=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44400=DIRECTION('',(0.,0.,1.)); #44401=DIRECTION('center_axis',(0.,0.,1.)); #44402=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44403=DIRECTION('center_axis',(0.,0.,-1.)); #44404=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44405=DIRECTION('center_axis',(0.,0.,-1.)); #44406=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44407=DIRECTION('',(0.,0.,1.)); #44408=DIRECTION('center_axis',(0.,1.,0.)); #44409=DIRECTION('ref_axis',(-1.,0.,0.)); #44410=DIRECTION('',(-1.,0.,0.)); #44411=DIRECTION('',(-1.,0.,0.)); #44412=DIRECTION('',(0.,0.,1.)); #44413=DIRECTION('center_axis',(0.,0.,1.)); #44414=DIRECTION('ref_axis',(0.,-1.,0.)); #44415=DIRECTION('center_axis',(0.,0.,-1.)); #44416=DIRECTION('ref_axis',(0.,-1.,0.)); #44417=DIRECTION('center_axis',(0.,0.,-1.)); #44418=DIRECTION('ref_axis',(0.,-1.,0.)); #44419=DIRECTION('',(0.,0.,1.)); #44420=DIRECTION('center_axis',(-1.,0.,0.)); #44421=DIRECTION('ref_axis',(0.,-1.,0.)); #44422=DIRECTION('',(0.,-1.,0.)); #44423=DIRECTION('',(0.,-1.,0.)); #44424=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44425=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44426=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44427=DIRECTION('',(0.,0.,1.)); #44428=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44429=DIRECTION('',(0.,0.,1.)); #44430=DIRECTION('center_axis',(0.,0.,1.)); #44431=DIRECTION('ref_axis',(0.,1.,0.)); #44432=DIRECTION('center_axis',(0.,0.,-1.)); #44433=DIRECTION('ref_axis',(0.,1.,0.)); #44434=DIRECTION('center_axis',(0.,0.,-1.)); #44435=DIRECTION('ref_axis',(0.,1.,0.)); #44436=DIRECTION('',(0.,0.,1.)); #44437=DIRECTION('center_axis',(1.,0.,0.)); #44438=DIRECTION('ref_axis',(0.,1.,0.)); #44439=DIRECTION('',(0.,1.,0.)); #44440=DIRECTION('',(0.,1.,0.)); #44441=DIRECTION('',(0.,0.,1.)); #44442=DIRECTION('center_axis',(0.,0.,1.)); #44443=DIRECTION('ref_axis',(-1.,0.,0.)); #44444=DIRECTION('center_axis',(0.,0.,-1.)); #44445=DIRECTION('ref_axis',(-1.,0.,0.)); #44446=DIRECTION('center_axis',(0.,0.,-1.)); #44447=DIRECTION('ref_axis',(-1.,0.,0.)); #44448=DIRECTION('',(0.,0.,1.)); #44449=DIRECTION('center_axis',(0.,1.,0.)); #44450=DIRECTION('ref_axis',(-1.,0.,0.)); #44451=DIRECTION('',(-1.,0.,0.)); #44452=DIRECTION('',(-1.,0.,0.)); #44453=DIRECTION('',(0.,0.,1.)); #44454=DIRECTION('center_axis',(0.,0.,1.)); #44455=DIRECTION('ref_axis',(0.,-1.,0.)); #44456=DIRECTION('center_axis',(0.,0.,-1.)); #44457=DIRECTION('ref_axis',(0.,-1.,0.)); #44458=DIRECTION('center_axis',(0.,0.,-1.)); #44459=DIRECTION('ref_axis',(0.,-1.,0.)); #44460=DIRECTION('',(0.,0.,1.)); #44461=DIRECTION('center_axis',(-1.,-4.69935671799003E-15,0.)); #44462=DIRECTION('ref_axis',(4.69935671799003E-15,-1.,0.)); #44463=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #44464=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #44465=DIRECTION('',(0.,0.,1.)); #44466=DIRECTION('center_axis',(0.,0.,1.)); #44467=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44468=DIRECTION('center_axis',(0.,0.,-1.)); #44469=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44470=DIRECTION('center_axis',(0.,0.,-1.)); #44471=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44472=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44473=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44474=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44475=DIRECTION('',(0.,0.,1.)); #44476=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44477=DIRECTION('',(0.,0.,1.)); #44478=DIRECTION('center_axis',(0.,0.,1.)); #44479=DIRECTION('ref_axis',(0.,1.,0.)); #44480=DIRECTION('center_axis',(0.,0.,-1.)); #44481=DIRECTION('ref_axis',(0.,1.,0.)); #44482=DIRECTION('center_axis',(0.,0.,-1.)); #44483=DIRECTION('ref_axis',(0.,1.,0.)); #44484=DIRECTION('',(0.,0.,1.)); #44485=DIRECTION('center_axis',(1.,0.,0.)); #44486=DIRECTION('ref_axis',(0.,1.,0.)); #44487=DIRECTION('',(0.,1.,0.)); #44488=DIRECTION('',(0.,1.,0.)); #44489=DIRECTION('',(0.,0.,1.)); #44490=DIRECTION('center_axis',(0.,0.,1.)); #44491=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44492=DIRECTION('center_axis',(0.,0.,-1.)); #44493=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44494=DIRECTION('center_axis',(0.,0.,-1.)); #44495=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44496=DIRECTION('',(0.,0.,1.)); #44497=DIRECTION('center_axis',(0.,1.,0.)); #44498=DIRECTION('ref_axis',(-1.,0.,0.)); #44499=DIRECTION('',(-1.,0.,0.)); #44500=DIRECTION('',(-1.,0.,0.)); #44501=DIRECTION('',(0.,0.,1.)); #44502=DIRECTION('center_axis',(0.,0.,1.)); #44503=DIRECTION('ref_axis',(0.,-1.,0.)); #44504=DIRECTION('center_axis',(0.,0.,-1.)); #44505=DIRECTION('ref_axis',(0.,-1.,0.)); #44506=DIRECTION('center_axis',(0.,0.,-1.)); #44507=DIRECTION('ref_axis',(0.,-1.,0.)); #44508=DIRECTION('',(0.,0.,1.)); #44509=DIRECTION('center_axis',(-1.,-4.69935671799005E-15,0.)); #44510=DIRECTION('ref_axis',(4.69935671799005E-15,-1.,0.)); #44511=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44512=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44513=DIRECTION('',(0.,0.,1.)); #44514=DIRECTION('center_axis',(0.,0.,1.)); #44515=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44516=DIRECTION('center_axis',(0.,0.,-1.)); #44517=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44518=DIRECTION('center_axis',(0.,0.,-1.)); #44519=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44520=DIRECTION('center_axis',(-1.,0.,0.)); #44521=DIRECTION('ref_axis',(0.,-1.,0.)); #44522=DIRECTION('',(0.,-1.,0.)); #44523=DIRECTION('',(0.,0.,1.)); #44524=DIRECTION('',(0.,-1.,0.)); #44525=DIRECTION('',(0.,0.,1.)); #44526=DIRECTION('center_axis',(0.,0.,1.)); #44527=DIRECTION('ref_axis',(1.,3.70074341541732E-14,0.)); #44528=DIRECTION('center_axis',(0.,0.,-1.)); #44529=DIRECTION('ref_axis',(1.,3.70074341541732E-14,0.)); #44530=DIRECTION('center_axis',(0.,0.,-1.)); #44531=DIRECTION('ref_axis',(1.,3.70074341541732E-14,0.)); #44532=DIRECTION('',(0.,0.,1.)); #44533=DIRECTION('center_axis',(0.,-1.,0.)); #44534=DIRECTION('ref_axis',(1.,0.,0.)); #44535=DIRECTION('',(1.,0.,0.)); #44536=DIRECTION('',(1.,0.,0.)); #44537=DIRECTION('',(0.,0.,1.)); #44538=DIRECTION('center_axis',(0.,0.,1.)); #44539=DIRECTION('ref_axis',(0.,1.,0.)); #44540=DIRECTION('center_axis',(0.,0.,-1.)); #44541=DIRECTION('ref_axis',(0.,1.,0.)); #44542=DIRECTION('center_axis',(0.,0.,-1.)); #44543=DIRECTION('ref_axis',(0.,1.,0.)); #44544=DIRECTION('',(0.,0.,1.)); #44545=DIRECTION('center_axis',(1.,-4.69935671799008E-15,0.)); #44546=DIRECTION('ref_axis',(4.69935671799008E-15,1.,0.)); #44547=DIRECTION('',(4.69935671799008E-15,1.,0.)); #44548=DIRECTION('',(4.69935671799008E-15,1.,0.)); #44549=DIRECTION('',(0.,0.,1.)); #44550=DIRECTION('center_axis',(0.,0.,1.)); #44551=DIRECTION('ref_axis',(-1.,0.,0.)); #44552=DIRECTION('center_axis',(0.,0.,-1.)); #44553=DIRECTION('ref_axis',(-1.,0.,0.)); #44554=DIRECTION('center_axis',(0.,0.,-1.)); #44555=DIRECTION('ref_axis',(-1.,0.,0.)); #44556=DIRECTION('',(0.,0.,1.)); #44557=DIRECTION('center_axis',(0.,1.,0.)); #44558=DIRECTION('ref_axis',(-1.,0.,0.)); #44559=DIRECTION('',(-1.,0.,0.)); #44560=DIRECTION('',(-1.,0.,0.)); #44561=DIRECTION('',(0.,0.,1.)); #44562=DIRECTION('center_axis',(0.,0.,1.)); #44563=DIRECTION('ref_axis',(0.,-1.,0.)); #44564=DIRECTION('center_axis',(0.,0.,-1.)); #44565=DIRECTION('ref_axis',(0.,-1.,0.)); #44566=DIRECTION('center_axis',(0.,0.,-1.)); #44567=DIRECTION('ref_axis',(0.,-1.,0.)); #44568=DIRECTION('center_axis',(0.,1.,0.)); #44569=DIRECTION('ref_axis',(-1.,0.,0.)); #44570=DIRECTION('',(-1.,0.,0.)); #44571=DIRECTION('',(0.,0.,1.)); #44572=DIRECTION('',(-1.,0.,0.)); #44573=DIRECTION('',(0.,0.,1.)); #44574=DIRECTION('center_axis',(-1.,0.,0.)); #44575=DIRECTION('ref_axis',(0.,-1.,0.)); #44576=DIRECTION('',(0.,-1.,0.)); #44577=DIRECTION('',(0.,-1.,0.)); #44578=DIRECTION('',(0.,0.,1.)); #44579=DIRECTION('center_axis',(0.,-1.,0.)); #44580=DIRECTION('ref_axis',(1.,0.,0.)); #44581=DIRECTION('',(1.,0.,0.)); #44582=DIRECTION('',(1.,0.,0.)); #44583=DIRECTION('',(0.,0.,1.)); #44584=DIRECTION('center_axis',(1.,0.,0.)); #44585=DIRECTION('ref_axis',(0.,1.,0.)); #44586=DIRECTION('',(0.,1.,0.)); #44587=DIRECTION('',(0.,1.,0.)); #44588=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44589=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44590=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44591=DIRECTION('',(0.,0.,1.)); #44592=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44593=DIRECTION('',(0.,0.,1.)); #44594=DIRECTION('center_axis',(0.,0.,1.)); #44595=DIRECTION('ref_axis',(0.,1.,0.)); #44596=DIRECTION('center_axis',(0.,0.,-1.)); #44597=DIRECTION('ref_axis',(0.,1.,0.)); #44598=DIRECTION('center_axis',(0.,0.,-1.)); #44599=DIRECTION('ref_axis',(0.,1.,0.)); #44600=DIRECTION('',(0.,0.,1.)); #44601=DIRECTION('center_axis',(1.,0.,0.)); #44602=DIRECTION('ref_axis',(0.,1.,0.)); #44603=DIRECTION('',(0.,1.,0.)); #44604=DIRECTION('',(0.,1.,0.)); #44605=DIRECTION('',(0.,0.,1.)); #44606=DIRECTION('center_axis',(0.,0.,1.)); #44607=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44608=DIRECTION('center_axis',(0.,0.,-1.)); #44609=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44610=DIRECTION('center_axis',(0.,0.,-1.)); #44611=DIRECTION('ref_axis',(-1.,-4.62592926927157E-15,0.)); #44612=DIRECTION('',(0.,0.,1.)); #44613=DIRECTION('center_axis',(0.,1.,0.)); #44614=DIRECTION('ref_axis',(-1.,0.,0.)); #44615=DIRECTION('',(-1.,0.,0.)); #44616=DIRECTION('',(-1.,0.,0.)); #44617=DIRECTION('',(0.,0.,1.)); #44618=DIRECTION('center_axis',(0.,0.,1.)); #44619=DIRECTION('ref_axis',(0.,-1.,0.)); #44620=DIRECTION('center_axis',(0.,0.,-1.)); #44621=DIRECTION('ref_axis',(0.,-1.,0.)); #44622=DIRECTION('center_axis',(0.,0.,-1.)); #44623=DIRECTION('ref_axis',(0.,-1.,0.)); #44624=DIRECTION('',(0.,0.,1.)); #44625=DIRECTION('center_axis',(-1.,-4.69935671799005E-15,0.)); #44626=DIRECTION('ref_axis',(4.69935671799005E-15,-1.,0.)); #44627=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44628=DIRECTION('',(4.69935671799005E-15,-1.,0.)); #44629=DIRECTION('',(0.,0.,1.)); #44630=DIRECTION('center_axis',(0.,0.,1.)); #44631=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44632=DIRECTION('center_axis',(0.,0.,-1.)); #44633=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44634=DIRECTION('center_axis',(0.,0.,-1.)); #44635=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44636=DIRECTION('center_axis',(2.88463273692798E-16,-1.,0.)); #44637=DIRECTION('ref_axis',(1.,2.88463273692798E-16,0.)); #44638=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44639=DIRECTION('',(0.,0.,1.)); #44640=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44641=DIRECTION('',(0.,0.,1.)); #44642=DIRECTION('center_axis',(0.,0.,1.)); #44643=DIRECTION('ref_axis',(0.,1.,0.)); #44644=DIRECTION('center_axis',(0.,0.,-1.)); #44645=DIRECTION('ref_axis',(0.,1.,0.)); #44646=DIRECTION('center_axis',(0.,0.,-1.)); #44647=DIRECTION('ref_axis',(0.,1.,0.)); #44648=DIRECTION('',(0.,0.,1.)); #44649=DIRECTION('center_axis',(1.,-4.69935671799003E-15,0.)); #44650=DIRECTION('ref_axis',(4.69935671799003E-15,1.,0.)); #44651=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44652=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44653=DIRECTION('',(0.,0.,1.)); #44654=DIRECTION('center_axis',(0.,0.,1.)); #44655=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44656=DIRECTION('center_axis',(0.,0.,-1.)); #44657=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44658=DIRECTION('center_axis',(0.,0.,-1.)); #44659=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44660=DIRECTION('',(0.,0.,1.)); #44661=DIRECTION('center_axis',(0.,1.,0.)); #44662=DIRECTION('ref_axis',(-1.,0.,0.)); #44663=DIRECTION('',(-1.,0.,0.)); #44664=DIRECTION('',(-1.,0.,0.)); #44665=DIRECTION('',(0.,0.,1.)); #44666=DIRECTION('center_axis',(0.,0.,1.)); #44667=DIRECTION('ref_axis',(0.,-1.,0.)); #44668=DIRECTION('center_axis',(0.,0.,-1.)); #44669=DIRECTION('ref_axis',(0.,-1.,0.)); #44670=DIRECTION('center_axis',(0.,0.,-1.)); #44671=DIRECTION('ref_axis',(0.,-1.,0.)); #44672=DIRECTION('',(0.,0.,1.)); #44673=DIRECTION('center_axis',(-1.,0.,0.)); #44674=DIRECTION('ref_axis',(0.,-1.,0.)); #44675=DIRECTION('',(0.,-1.,0.)); #44676=DIRECTION('',(0.,-1.,0.)); #44677=DIRECTION('',(0.,0.,1.)); #44678=DIRECTION('center_axis',(0.,0.,1.)); #44679=DIRECTION('ref_axis',(1.,0.,0.)); #44680=DIRECTION('center_axis',(0.,0.,-1.)); #44681=DIRECTION('ref_axis',(1.,0.,0.)); #44682=DIRECTION('center_axis',(0.,0.,-1.)); #44683=DIRECTION('ref_axis',(1.,0.,0.)); #44684=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44685=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44686=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44687=DIRECTION('',(0.,0.,1.)); #44688=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44689=DIRECTION('',(0.,0.,1.)); #44690=DIRECTION('center_axis',(0.,0.,1.)); #44691=DIRECTION('ref_axis',(0.,1.,0.)); #44692=DIRECTION('center_axis',(0.,0.,-1.)); #44693=DIRECTION('ref_axis',(0.,1.,0.)); #44694=DIRECTION('center_axis',(0.,0.,-1.)); #44695=DIRECTION('ref_axis',(0.,1.,0.)); #44696=DIRECTION('',(0.,0.,1.)); #44697=DIRECTION('center_axis',(1.,0.,0.)); #44698=DIRECTION('ref_axis',(0.,1.,0.)); #44699=DIRECTION('',(0.,1.,0.)); #44700=DIRECTION('',(0.,1.,0.)); #44701=DIRECTION('',(0.,0.,1.)); #44702=DIRECTION('center_axis',(0.,0.,1.)); #44703=DIRECTION('ref_axis',(-1.,0.,0.)); #44704=DIRECTION('center_axis',(0.,0.,-1.)); #44705=DIRECTION('ref_axis',(-1.,0.,0.)); #44706=DIRECTION('center_axis',(0.,0.,-1.)); #44707=DIRECTION('ref_axis',(-1.,0.,0.)); #44708=DIRECTION('',(0.,0.,1.)); #44709=DIRECTION('center_axis',(0.,1.,0.)); #44710=DIRECTION('ref_axis',(-1.,0.,0.)); #44711=DIRECTION('',(-1.,0.,0.)); #44712=DIRECTION('',(-1.,0.,0.)); #44713=DIRECTION('',(0.,0.,1.)); #44714=DIRECTION('center_axis',(0.,0.,1.)); #44715=DIRECTION('ref_axis',(0.,-1.,0.)); #44716=DIRECTION('center_axis',(0.,0.,-1.)); #44717=DIRECTION('ref_axis',(0.,-1.,0.)); #44718=DIRECTION('center_axis',(0.,0.,-1.)); #44719=DIRECTION('ref_axis',(0.,-1.,0.)); #44720=DIRECTION('',(0.,0.,1.)); #44721=DIRECTION('center_axis',(-1.,-4.69935671799003E-15,0.)); #44722=DIRECTION('ref_axis',(4.69935671799003E-15,-1.,0.)); #44723=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #44724=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #44725=DIRECTION('',(0.,0.,1.)); #44726=DIRECTION('center_axis',(0.,0.,1.)); #44727=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44728=DIRECTION('center_axis',(0.,0.,-1.)); #44729=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44730=DIRECTION('center_axis',(0.,0.,-1.)); #44731=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44732=DIRECTION('center_axis',(1.,0.,0.)); #44733=DIRECTION('ref_axis',(0.,1.,0.)); #44734=DIRECTION('',(0.,1.,0.)); #44735=DIRECTION('',(0.,0.,1.)); #44736=DIRECTION('',(0.,1.,0.)); #44737=DIRECTION('',(0.,0.,1.)); #44738=DIRECTION('center_axis',(-6.99353086378052E-16,1.,0.)); #44739=DIRECTION('ref_axis',(-1.,-6.99353086378052E-16,0.)); #44740=DIRECTION('',(-1.,-6.99353086378052E-16,0.)); #44741=DIRECTION('',(-1.,-6.99353086378052E-16,0.)); #44742=DIRECTION('',(0.,0.,1.)); #44743=DIRECTION('center_axis',(-1.,0.,0.)); #44744=DIRECTION('ref_axis',(0.,-1.,0.)); #44745=DIRECTION('',(0.,-1.,0.)); #44746=DIRECTION('',(0.,-1.,0.)); #44747=DIRECTION('',(0.,0.,1.)); #44748=DIRECTION('center_axis',(0.,-1.,0.)); #44749=DIRECTION('ref_axis',(1.,0.,0.)); #44750=DIRECTION('',(1.,0.,0.)); #44751=DIRECTION('',(1.,0.,0.)); #44752=DIRECTION('center_axis',(0.,1.,0.)); #44753=DIRECTION('ref_axis',(-1.,0.,0.)); #44754=DIRECTION('',(-1.,0.,0.)); #44755=DIRECTION('',(0.,0.,1.)); #44756=DIRECTION('',(-1.,0.,0.)); #44757=DIRECTION('',(0.,0.,1.)); #44758=DIRECTION('center_axis',(-1.,0.,0.)); #44759=DIRECTION('ref_axis',(0.,-1.,0.)); #44760=DIRECTION('',(0.,-1.,0.)); #44761=DIRECTION('',(0.,-1.,0.)); #44762=DIRECTION('',(0.,0.,1.)); #44763=DIRECTION('center_axis',(0.,-1.,0.)); #44764=DIRECTION('ref_axis',(1.,0.,0.)); #44765=DIRECTION('',(1.,0.,0.)); #44766=DIRECTION('',(1.,0.,0.)); #44767=DIRECTION('',(0.,0.,1.)); #44768=DIRECTION('center_axis',(1.,0.,0.)); #44769=DIRECTION('ref_axis',(0.,1.,0.)); #44770=DIRECTION('',(0.,1.,0.)); #44771=DIRECTION('',(0.,1.,0.)); #44772=DIRECTION('center_axis',(1.,0.,0.)); #44773=DIRECTION('ref_axis',(0.,1.,0.)); #44774=DIRECTION('',(0.,1.,0.)); #44775=DIRECTION('',(0.,0.,1.)); #44776=DIRECTION('',(0.,1.,0.)); #44777=DIRECTION('',(0.,0.,1.)); #44778=DIRECTION('center_axis',(0.,1.,0.)); #44779=DIRECTION('ref_axis',(-1.,0.,0.)); #44780=DIRECTION('',(-1.,0.,0.)); #44781=DIRECTION('',(-1.,0.,0.)); #44782=DIRECTION('',(0.,0.,1.)); #44783=DIRECTION('center_axis',(-1.,0.,0.)); #44784=DIRECTION('ref_axis',(0.,-1.,0.)); #44785=DIRECTION('',(0.,-1.,0.)); #44786=DIRECTION('',(0.,-1.,0.)); #44787=DIRECTION('',(0.,0.,1.)); #44788=DIRECTION('center_axis',(0.,-1.,0.)); #44789=DIRECTION('ref_axis',(1.,0.,0.)); #44790=DIRECTION('',(1.,0.,0.)); #44791=DIRECTION('',(1.,0.,0.)); #44792=DIRECTION('center_axis',(6.99353086378052E-16,1.,0.)); #44793=DIRECTION('ref_axis',(-1.,6.99353086378052E-16,0.)); #44794=DIRECTION('',(-1.,6.99353086378052E-16,0.)); #44795=DIRECTION('',(0.,0.,1.)); #44796=DIRECTION('',(-1.,6.99353086378052E-16,0.)); #44797=DIRECTION('',(0.,0.,1.)); #44798=DIRECTION('center_axis',(-1.,0.,0.)); #44799=DIRECTION('ref_axis',(0.,-1.,0.)); #44800=DIRECTION('',(0.,-1.,0.)); #44801=DIRECTION('',(0.,-1.,0.)); #44802=DIRECTION('',(0.,0.,1.)); #44803=DIRECTION('center_axis',(0.,-1.,0.)); #44804=DIRECTION('ref_axis',(1.,0.,0.)); #44805=DIRECTION('',(1.,0.,0.)); #44806=DIRECTION('',(1.,0.,0.)); #44807=DIRECTION('',(0.,0.,1.)); #44808=DIRECTION('center_axis',(1.,0.,0.)); #44809=DIRECTION('ref_axis',(0.,1.,0.)); #44810=DIRECTION('',(0.,1.,0.)); #44811=DIRECTION('',(0.,1.,0.)); #44812=DIRECTION('center_axis',(0.,0.,1.)); #44813=DIRECTION('ref_axis',(0.,1.,0.)); #44814=DIRECTION('center_axis',(0.,0.,-1.)); #44815=DIRECTION('ref_axis',(0.,1.,0.)); #44816=DIRECTION('',(0.,0.,1.)); #44817=DIRECTION('center_axis',(0.,0.,-1.)); #44818=DIRECTION('ref_axis',(0.,1.,0.)); #44819=DIRECTION('',(0.,0.,1.)); #44820=DIRECTION('center_axis',(1.,-4.69935671799003E-15,0.)); #44821=DIRECTION('ref_axis',(4.69935671799003E-15,1.,0.)); #44822=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44823=DIRECTION('',(4.69935671799003E-15,1.,0.)); #44824=DIRECTION('',(0.,0.,1.)); #44825=DIRECTION('center_axis',(0.,0.,1.)); #44826=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44827=DIRECTION('center_axis',(0.,0.,-1.)); #44828=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44829=DIRECTION('center_axis',(0.,0.,-1.)); #44830=DIRECTION('ref_axis',(-1.,-1.85037170770858E-14,0.)); #44831=DIRECTION('',(0.,0.,1.)); #44832=DIRECTION('center_axis',(0.,1.,0.)); #44833=DIRECTION('ref_axis',(-1.,0.,0.)); #44834=DIRECTION('',(-1.,0.,0.)); #44835=DIRECTION('',(-1.,0.,0.)); #44836=DIRECTION('',(0.,0.,1.)); #44837=DIRECTION('center_axis',(0.,0.,1.)); #44838=DIRECTION('ref_axis',(0.,-1.,0.)); #44839=DIRECTION('center_axis',(0.,0.,-1.)); #44840=DIRECTION('ref_axis',(0.,-1.,0.)); #44841=DIRECTION('center_axis',(0.,0.,-1.)); #44842=DIRECTION('ref_axis',(0.,-1.,0.)); #44843=DIRECTION('',(0.,0.,1.)); #44844=DIRECTION('center_axis',(-1.,0.,0.)); #44845=DIRECTION('ref_axis',(0.,-1.,0.)); #44846=DIRECTION('',(0.,-1.,0.)); #44847=DIRECTION('',(0.,-1.,0.)); #44848=DIRECTION('',(0.,0.,1.)); #44849=DIRECTION('center_axis',(0.,0.,1.)); #44850=DIRECTION('ref_axis',(1.,0.,0.)); #44851=DIRECTION('center_axis',(0.,0.,-1.)); #44852=DIRECTION('ref_axis',(1.,0.,0.)); #44853=DIRECTION('center_axis',(0.,0.,-1.)); #44854=DIRECTION('ref_axis',(1.,0.,0.)); #44855=DIRECTION('',(0.,0.,1.)); #44856=DIRECTION('center_axis',(2.88463273692798E-16,-1.,0.)); #44857=DIRECTION('ref_axis',(1.,2.88463273692798E-16,0.)); #44858=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44859=DIRECTION('',(1.,2.88463273692798E-16,0.)); #44860=DIRECTION('center_axis',(0.,0.,1.)); #44861=DIRECTION('ref_axis',(-1.,0.,0.)); #44862=DIRECTION('center_axis',(0.,0.,-1.)); #44863=DIRECTION('ref_axis',(-1.,0.,0.)); #44864=DIRECTION('',(0.,0.,-1.)); #44865=DIRECTION('center_axis',(0.,0.,-1.)); #44866=DIRECTION('ref_axis',(-1.,0.,0.)); #44867=DIRECTION('center_axis',(-1.,-4.69935671799003E-15,0.)); #44868=DIRECTION('ref_axis',(4.69935671799003E-15,-1.,0.)); #44869=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #44870=DIRECTION('',(0.,0.,1.)); #44871=DIRECTION('',(4.69935671799003E-15,-1.,0.)); #44872=DIRECTION('',(0.,0.,1.)); #44873=DIRECTION('center_axis',(0.,0.,1.)); #44874=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44875=DIRECTION('center_axis',(0.,0.,-1.)); #44876=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44877=DIRECTION('center_axis',(0.,0.,-1.)); #44878=DIRECTION('ref_axis',(1.,9.25185853854322E-15,0.)); #44879=DIRECTION('',(0.,0.,1.)); #44880=DIRECTION('center_axis',(-1.44231636846399E-16,-1.,0.)); #44881=DIRECTION('ref_axis',(1.,-1.44231636846399E-16,0.)); #44882=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44883=DIRECTION('',(1.,-1.44231636846399E-16,0.)); #44884=DIRECTION('',(0.,0.,1.)); #44885=DIRECTION('center_axis',(0.,0.,1.)); #44886=DIRECTION('ref_axis',(0.,1.,0.)); #44887=DIRECTION('center_axis',(0.,0.,-1.)); #44888=DIRECTION('ref_axis',(0.,1.,0.)); #44889=DIRECTION('center_axis',(0.,0.,-1.)); #44890=DIRECTION('ref_axis',(0.,1.,0.)); #44891=DIRECTION('',(0.,0.,1.)); #44892=DIRECTION('center_axis',(1.,0.,0.)); #44893=DIRECTION('ref_axis',(0.,1.,0.)); #44894=DIRECTION('',(0.,1.,0.)); #44895=DIRECTION('',(0.,1.,0.)); #44896=DIRECTION('',(0.,0.,1.)); #44897=DIRECTION('center_axis',(0.,0.,1.)); #44898=DIRECTION('ref_axis',(-1.,0.,0.)); #44899=DIRECTION('center_axis',(0.,0.,-1.)); #44900=DIRECTION('ref_axis',(-1.,0.,0.)); #44901=DIRECTION('center_axis',(0.,0.,-1.)); #44902=DIRECTION('ref_axis',(-1.,0.,0.)); #44903=DIRECTION('',(0.,0.,1.)); #44904=DIRECTION('center_axis',(0.,1.,0.)); #44905=DIRECTION('ref_axis',(-1.,0.,0.)); #44906=DIRECTION('',(-1.,0.,0.)); #44907=DIRECTION('',(-1.,0.,0.)); #44908=DIRECTION('',(0.,0.,1.)); #44909=DIRECTION('center_axis',(0.,0.,1.)); #44910=DIRECTION('ref_axis',(0.,-1.,0.)); #44911=DIRECTION('center_axis',(0.,0.,-1.)); #44912=DIRECTION('ref_axis',(0.,-1.,0.)); #44913=DIRECTION('center_axis',(0.,0.,-1.)); #44914=DIRECTION('ref_axis',(0.,-1.,0.)); #44915=DIRECTION('center_axis',(0.,-1.,0.)); #44916=DIRECTION('ref_axis',(1.,0.,0.)); #44917=DIRECTION('',(1.,0.,0.)); #44918=DIRECTION('',(0.,0.,1.)); #44919=DIRECTION('',(1.,0.,0.)); #44920=DIRECTION('',(0.,0.,1.)); #44921=DIRECTION('center_axis',(1.,0.,0.)); #44922=DIRECTION('ref_axis',(0.,1.,0.)); #44923=DIRECTION('',(0.,1.,0.)); #44924=DIRECTION('',(0.,1.,0.)); #44925=DIRECTION('',(0.,0.,1.)); #44926=DIRECTION('center_axis',(0.,1.,0.)); #44927=DIRECTION('ref_axis',(-1.,0.,0.)); #44928=DIRECTION('',(-1.,0.,0.)); #44929=DIRECTION('',(-1.,0.,0.)); #44930=DIRECTION('',(0.,0.,1.)); #44931=DIRECTION('center_axis',(-1.,0.,0.)); #44932=DIRECTION('ref_axis',(0.,-1.,0.)); #44933=DIRECTION('',(0.,-1.,0.)); #44934=DIRECTION('',(0.,-1.,0.)); #44935=DIRECTION('center_axis',(0.,1.,0.)); #44936=DIRECTION('ref_axis',(-1.,0.,0.)); #44937=DIRECTION('',(-1.,0.,0.)); #44938=DIRECTION('',(0.,0.,1.)); #44939=DIRECTION('',(-1.,0.,0.)); #44940=DIRECTION('',(0.,0.,1.)); #44941=DIRECTION('center_axis',(-1.,0.,0.)); #44942=DIRECTION('ref_axis',(0.,-1.,0.)); #44943=DIRECTION('',(0.,-1.,0.)); #44944=DIRECTION('',(0.,-1.,0.)); #44945=DIRECTION('',(0.,0.,1.)); #44946=DIRECTION('center_axis',(0.,-1.,0.)); #44947=DIRECTION('ref_axis',(1.,0.,0.)); #44948=DIRECTION('',(1.,0.,0.)); #44949=DIRECTION('',(1.,0.,0.)); #44950=DIRECTION('',(0.,0.,1.)); #44951=DIRECTION('center_axis',(1.,0.,0.)); #44952=DIRECTION('ref_axis',(0.,1.,0.)); #44953=DIRECTION('',(0.,1.,0.)); #44954=DIRECTION('',(0.,1.,0.)); #44955=DIRECTION('center_axis',(0.,0.,1.)); #44956=DIRECTION('ref_axis',(-1.,0.,0.)); #44957=DIRECTION('center_axis',(0.,0.,-1.)); #44958=DIRECTION('ref_axis',(-1.,0.,0.)); #44959=DIRECTION('',(0.,0.,-1.)); #44960=DIRECTION('center_axis',(0.,0.,-1.)); #44961=DIRECTION('ref_axis',(-1.,0.,0.)); #44962=DIRECTION('center_axis',(1.,0.,0.)); #44963=DIRECTION('ref_axis',(0.,1.,0.)); #44964=DIRECTION('',(0.,1.,0.)); #44965=DIRECTION('',(0.,0.,1.)); #44966=DIRECTION('',(0.,1.,0.)); #44967=DIRECTION('',(0.,0.,1.)); #44968=DIRECTION('center_axis',(0.,1.,0.)); #44969=DIRECTION('ref_axis',(-1.,0.,0.)); #44970=DIRECTION('',(-1.,0.,0.)); #44971=DIRECTION('',(-1.,0.,0.)); #44972=DIRECTION('',(0.,0.,1.)); #44973=DIRECTION('center_axis',(-1.,0.,0.)); #44974=DIRECTION('ref_axis',(0.,-1.,0.)); #44975=DIRECTION('',(0.,-1.,0.)); #44976=DIRECTION('',(0.,-1.,0.)); #44977=DIRECTION('',(0.,0.,1.)); #44978=DIRECTION('center_axis',(0.,-1.,0.)); #44979=DIRECTION('ref_axis',(1.,0.,0.)); #44980=DIRECTION('',(1.,0.,0.)); #44981=DIRECTION('',(1.,0.,0.)); #44982=DIRECTION('center_axis',(0.,0.,1.)); #44983=DIRECTION('ref_axis',(-1.,0.,0.)); #44984=DIRECTION('center_axis',(0.,0.,-1.)); #44985=DIRECTION('ref_axis',(-1.,0.,0.)); #44986=DIRECTION('',(0.,0.,-1.)); #44987=DIRECTION('center_axis',(0.,0.,-1.)); #44988=DIRECTION('ref_axis',(-1.,0.,0.)); #44989=DIRECTION('center_axis',(1.,0.,0.)); #44990=DIRECTION('ref_axis',(0.,1.,0.)); #44991=DIRECTION('',(0.,1.,0.)); #44992=DIRECTION('',(0.,0.,1.)); #44993=DIRECTION('',(0.,1.,0.)); #44994=DIRECTION('',(0.,0.,1.)); #44995=DIRECTION('center_axis',(0.,1.,0.)); #44996=DIRECTION('ref_axis',(-1.,0.,0.)); #44997=DIRECTION('',(-1.,0.,0.)); #44998=DIRECTION('',(-1.,0.,0.)); #44999=DIRECTION('',(0.,0.,1.)); #45000=DIRECTION('center_axis',(-1.,0.,0.)); #45001=DIRECTION('ref_axis',(0.,-1.,0.)); #45002=DIRECTION('',(0.,-1.,0.)); #45003=DIRECTION('',(0.,-1.,0.)); #45004=DIRECTION('',(0.,0.,1.)); #45005=DIRECTION('center_axis',(0.,-1.,0.)); #45006=DIRECTION('ref_axis',(1.,0.,0.)); #45007=DIRECTION('',(1.,0.,0.)); #45008=DIRECTION('',(1.,0.,0.)); #45009=DIRECTION('center_axis',(-1.,0.,0.)); #45010=DIRECTION('ref_axis',(0.,-1.,0.)); #45011=DIRECTION('',(0.,1.,0.)); #45012=DIRECTION('',(0.,0.,1.)); #45013=DIRECTION('',(0.,-1.,0.)); #45014=DIRECTION('',(0.,0.,1.)); #45015=DIRECTION('center_axis',(0.,0.,1.)); #45016=DIRECTION('ref_axis',(0.,1.,0.)); #45017=DIRECTION('center_axis',(0.,0.,1.)); #45018=DIRECTION('ref_axis',(0.,1.,0.)); #45019=DIRECTION('center_axis',(0.,0.,1.)); #45020=DIRECTION('ref_axis',(0.,1.,0.)); #45021=DIRECTION('',(0.,0.,1.)); #45022=DIRECTION('center_axis',(0.,1.,0.)); #45023=DIRECTION('ref_axis',(-1.,0.,0.)); #45024=DIRECTION('',(1.,0.,0.)); #45025=DIRECTION('',(-1.,0.,0.)); #45026=DIRECTION('',(0.,0.,1.)); #45027=DIRECTION('center_axis',(0.,0.,1.)); #45028=DIRECTION('ref_axis',(1.,0.,0.)); #45029=DIRECTION('center_axis',(0.,0.,1.)); #45030=DIRECTION('ref_axis',(1.,0.,0.)); #45031=DIRECTION('center_axis',(0.,0.,1.)); #45032=DIRECTION('ref_axis',(1.,0.,0.)); #45033=DIRECTION('',(0.,0.,1.)); #45034=DIRECTION('center_axis',(1.,0.,0.)); #45035=DIRECTION('ref_axis',(0.,1.,0.)); #45036=DIRECTION('',(0.,-1.,0.)); #45037=DIRECTION('',(0.,1.,0.)); #45038=DIRECTION('',(0.,0.,1.)); #45039=DIRECTION('center_axis',(0.,0.,1.)); #45040=DIRECTION('ref_axis',(0.,-1.,0.)); #45041=DIRECTION('center_axis',(0.,0.,1.)); #45042=DIRECTION('ref_axis',(0.,-1.,0.)); #45043=DIRECTION('center_axis',(0.,0.,1.)); #45044=DIRECTION('ref_axis',(0.,-1.,0.)); #45045=DIRECTION('',(0.,0.,1.)); #45046=DIRECTION('center_axis',(0.,-1.,0.)); #45047=DIRECTION('ref_axis',(1.,0.,0.)); #45048=DIRECTION('',(-1.,0.,0.)); #45049=DIRECTION('',(1.,0.,0.)); #45050=DIRECTION('',(0.,0.,1.)); #45051=DIRECTION('center_axis',(0.,0.,1.)); #45052=DIRECTION('ref_axis',(-1.,0.,0.)); #45053=DIRECTION('center_axis',(0.,0.,1.)); #45054=DIRECTION('ref_axis',(-1.,0.,0.)); #45055=DIRECTION('center_axis',(0.,0.,1.)); #45056=DIRECTION('ref_axis',(-1.,0.,0.)); #45057=DIRECTION('center_axis',(0.,0.,1.)); #45058=DIRECTION('ref_axis',(-1.,0.,0.)); #45059=DIRECTION('center_axis',(0.,0.,1.)); #45060=DIRECTION('ref_axis',(-1.,0.,0.)); #45061=DIRECTION('axis',(0.,0.,1.)); #45062=DIRECTION('refdir',(1.,0.,0.)); #45063=DIRECTION('axis',(0.,0.,1.)); #45064=DIRECTION('refdir',(1.,0.,0.)); #45065=DIRECTION('center_axis',(0.,1.,0.)); #45066=DIRECTION('ref_axis',(-0.00010641406899571,0.,-0.999999994338023)); #45067=DIRECTION('center_axis',(0.,-1.,0.)); #45068=DIRECTION('ref_axis',(6.12323399573677E-17,0.,-1.)); #45069=DIRECTION('center_axis',(0.,1.,0.)); #45070=DIRECTION('ref_axis',(6.12323399573677E-17,0.,-1.)); #45071=DIRECTION('center_axis',(0.,1.,0.)); #45072=DIRECTION('ref_axis',(1.,0.,0.)); #45073=DIRECTION('center_axis',(0.,1.,0.)); #45074=DIRECTION('ref_axis',(1.,0.,0.)); #45075=DIRECTION('',(0.,-1.,0.)); #45076=DIRECTION('center_axis',(0.,-1.,0.)); #45077=DIRECTION('ref_axis',(1.,0.,0.)); #45078=DIRECTION('',(0.,1.,0.)); #45079=DIRECTION('center_axis',(0.,1.,0.)); #45080=DIRECTION('ref_axis',(1.,0.,0.)); #45081=DIRECTION('center_axis',(0.0099077823599647,-0.773567161521344,0.633636869558992)); #45082=DIRECTION('ref_axis',(-0.0120943061663115,-0.633714325714522,-0.773472611759812)); #45083=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #45084=DIRECTION('ref_axis',(0.,0.,-1.)); #45085=DIRECTION('center_axis',(-0.610155528020548,-0.792281661800882,8.79695580527986E-16)); #45086=DIRECTION('ref_axis',(0.683564985277972,-0.526430150586347,-0.505579081307329)); #45087=DIRECTION('center_axis',(-0.359725822550539,0.359725822550539,-0.860926631706022)); #45088=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #45089=DIRECTION('center_axis',(0.,0.,-1.)); #45090=DIRECTION('ref_axis',(-1.,0.,0.)); #45091=DIRECTION('center_axis',(0.,1.,0.)); #45092=DIRECTION('ref_axis',(1.,0.,0.)); #45093=DIRECTION('center_axis',(0.,0.,-1.)); #45094=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #45095=DIRECTION('center_axis',(0.,0.,1.)); #45096=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #45097=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #45098=DIRECTION('ref_axis',(0.,0.,-1.)); #45099=DIRECTION('center_axis',(-0.0790569386657823,0.0790569386657822, -0.993730346169216)); #45100=DIRECTION('ref_axis',(0.776889922971413,-0.619755088279789,-0.111111107171075)); #45101=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #45102=DIRECTION('ref_axis',(0.664269611413975,-0.664269611413975,-0.342770720312943)); #45103=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,0.)); #45104=DIRECTION('ref_axis',(0.664269611413975,-0.664269611413975,-0.342770720312943)); #45105=DIRECTION('center_axis',(0.312614096413723,0.044991683882047,-0.948814088799745)); #45106=DIRECTION('ref_axis',(-0.939137662255438,-0.135161482820666,-0.315835122959923)); #45107=DIRECTION('center_axis',(-0.381174806997567,0.381174806997563,-0.842265714024226)); #45108=DIRECTION('ref_axis',(-0.674624865725803,0.508246799564263,0.535319046249175)); #45109=DIRECTION('center_axis',(-0.6017247813052,-0.798703504163597,0.)); #45110=DIRECTION('ref_axis',(0.,0.,-1.)); #45111=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,-3.86341648298173E-17)); #45112=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #45113=DIRECTION('center_axis',(0.,0.,-1.)); #45114=DIRECTION('ref_axis',(0.970889678450021,-0.239527101345996,0.)); #45115=DIRECTION('center_axis',(0.,1.,0.)); #45116=DIRECTION('ref_axis',(1.,0.,0.)); #45117=DIRECTION('center_axis',(0.,0.,-1.)); #45118=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #45119=DIRECTION('center_axis',(0.,0.,1.)); #45120=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #45121=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #45122=DIRECTION('ref_axis',(-0.5,-0.5,-0.707106781186547)); #45123=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #45124=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #45125=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,0.)); #45126=DIRECTION('ref_axis',(0.,0.,1.)); #45127=DIRECTION('center_axis',(-0.0837707816583395,0.0837707816583395, -0.992957658855956)); #45128=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #45129=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #45130=DIRECTION('ref_axis',(0.628657878992899,-0.628657878992899,-0.457797490557012)); #45131=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,0.)); #45132=DIRECTION('ref_axis',(0.628657878992899,-0.628657878992899,-0.457797490557012)); #45133=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #45134=DIRECTION('ref_axis',(-0.5,-0.5,0.707106781186548)); #45135=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,-3.09073318638539E-16)); #45136=DIRECTION('ref_axis',(8.74191357972564E-16,4.37095678986282E-16, 1.)); #45137=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #45138=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #45139=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #45140=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #45141=DIRECTION('center_axis',(-0.598763376126263,-0.0374520852828454, -0.800049848895583)); #45142=DIRECTION('ref_axis',(-0.798489374898055,-0.0499447584112454,0.59993352905314)); #45143=DIRECTION('center_axis',(-0.618356312349826,-0.785897875666504,0.)); #45144=DIRECTION('ref_axis',(0.785897875666504,-0.618356312349826,0.)); #45145=DIRECTION('center_axis',(-0.999046780868687,-0.0362664526832182, 0.0242955560893354)); #45146=DIRECTION('ref_axis',(0.0436523726264004,-0.830009473180003,0.556038438235649)); #45147=DIRECTION('center_axis',(-0.623616527781326,-0.78173040511289,0.)); #45148=DIRECTION('ref_axis',(0.78173040511289,-0.623616527781326,0.)); #45149=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #45150=DIRECTION('ref_axis',(-1.,0.,0.)); #45151=DIRECTION('center_axis',(0.,0.,-1.)); #45152=DIRECTION('ref_axis',(1.,2.34747908994924E-16,0.)); #45153=DIRECTION('center_axis',(0.,0.,1.)); #45154=DIRECTION('ref_axis',(-1.,0.,0.)); #45155=DIRECTION('center_axis',(0.,0.,-1.)); #45156=DIRECTION('ref_axis',(1.,-4.14261015873396E-16,0.)); #45157=DIRECTION('center_axis',(0.,1.,0.)); #45158=DIRECTION('ref_axis',(-0.664211164155072,0.,0.747545001596402)); #45159=DIRECTION('center_axis',(0.,0.,1.)); #45160=DIRECTION('ref_axis',(1.,0.,0.)); #45161=DIRECTION('center_axis',(0.,0.,-1.)); #45162=DIRECTION('ref_axis',(1.,-9.77375615793464E-17,0.)); #45163=DIRECTION('',(0.,-1.,0.)); #45164=DIRECTION('',(1.,0.,0.)); #45165=DIRECTION('',(0.,1.,0.)); #45166=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #45167=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #45168=DIRECTION('center_axis',(0.,0.,1.)); #45169=DIRECTION('ref_axis',(1.,0.,0.)); #45170=DIRECTION('',(-1.,0.,0.)); #45171=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #45172=DIRECTION('ref_axis',(-1.,0.,0.)); #45173=DIRECTION('center_axis',(0.,-1.,0.)); #45174=DIRECTION('ref_axis',(1.,0.,0.)); #45175=DIRECTION('center_axis',(0.,0.,-1.)); #45176=DIRECTION('ref_axis',(1.,2.63891417200003E-16,0.)); #45177=DIRECTION('center_axis',(0.,0.,1.)); #45178=DIRECTION('ref_axis',(-1.,0.,0.)); #45179=DIRECTION('center_axis',(0.,1.,0.)); #45180=DIRECTION('ref_axis',(0.745355991178401,0.,0.666666668144181)); #45181=DIRECTION('center_axis',(0.,1.,0.)); #45182=DIRECTION('ref_axis',(1.,0.,0.)); #45183=DIRECTION('center_axis',(0.,1.,0.)); #45184=DIRECTION('ref_axis',(-1.,0.,0.)); #45185=DIRECTION('',(-1.,0.,0.)); #45186=DIRECTION('center_axis',(0.,1.,0.)); #45187=DIRECTION('ref_axis',(-1.,0.,0.)); #45188=DIRECTION('center_axis',(0.,-1.,0.)); #45189=DIRECTION('ref_axis',(1.,0.,0.)); #45190=DIRECTION('',(-1.,0.,0.)); #45191=DIRECTION('center_axis',(0.,-1.,0.)); #45192=DIRECTION('ref_axis',(1.,0.,0.)); #45193=DIRECTION('center_axis',(-0.00990778235996359,-0.773567161521344, 0.633636869558992)); #45194=DIRECTION('ref_axis',(0.0120943061663092,-0.633714325714522,-0.773472611759811)); #45195=DIRECTION('center_axis',(0.359725822550538,0.35972582255054,-0.860926631706022)); #45196=DIRECTION('ref_axis',(-0.683564985277972,-0.526430150586347,-0.505579081307328)); #45197=DIRECTION('center_axis',(0.610155528020548,-0.792281661800881,0.)); #45198=DIRECTION('ref_axis',(0.,0.,-1.)); #45199=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,2.54985487876794E-15)); #45200=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #45201=DIRECTION('center_axis',(0.,0.,1.)); #45202=DIRECTION('ref_axis',(1.,0.,0.)); #45203=DIRECTION('center_axis',(0.,0.,1.)); #45204=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #45205=DIRECTION('center_axis',(0.,0.,-1.)); #45206=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #45207=DIRECTION('center_axis',(-0.312614096413722,0.0449916838820468,-0.948814088799745)); #45208=DIRECTION('ref_axis',(0.939137662255438,-0.135161482820665,-0.315835122959924)); #45209=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #45210=DIRECTION('ref_axis',(0.,0.,-1.)); #45211=DIRECTION('center_axis',(0.601724781305201,-0.798703504163597,0.)); #45212=DIRECTION('ref_axis',(0.674624865725804,0.508246799564263,0.535319046249173)); #45213=DIRECTION('center_axis',(0.381174806997563,0.381174806997563,-0.842265714024227)); #45214=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #45215=DIRECTION('center_axis',(0.,0.,1.)); #45216=DIRECTION('ref_axis',(-0.97088967845002,-0.239527101345998,0.)); #45217=DIRECTION('center_axis',(0.,0.,1.)); #45218=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #45219=DIRECTION('center_axis',(0.,0.,-1.)); #45220=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #45221=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #45222=DIRECTION('ref_axis',(0.,0.,1.)); #45223=DIRECTION('center_axis',(0.0837707816583395,0.0837707816583395,-0.992957658855956)); #45224=DIRECTION('ref_axis',(0.780440185500164,0.614062119338624,0.11764705882353)); #45225=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #45226=DIRECTION('ref_axis',(-0.628657878992899,-0.628657878992899,-0.457797490557012)); #45227=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #45228=DIRECTION('ref_axis',(-0.628657878992899,-0.628657878992899,-0.457797490557012)); #45229=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #45230=DIRECTION('ref_axis',(0.5,-0.5,-0.707106781186547)); #45231=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #45232=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #45233=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #45234=DIRECTION('ref_axis',(0.,0.,-1.)); #45235=DIRECTION('center_axis',(0.0790569386657823,0.0790569386657822,-0.993730346169216)); #45236=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #45237=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #45238=DIRECTION('ref_axis',(-0.664269611413975,-0.664269611413975,-0.342770720312943)); #45239=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #45240=DIRECTION('ref_axis',(-0.664269611413975,-0.664269611413975,-0.342770720312943)); #45241=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.)); #45242=DIRECTION('ref_axis',(0.499999999999999,-0.5,0.707106781186548)); #45243=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.)); #45244=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #45245=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #45246=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,-3.09073318638539E-16)); #45247=DIRECTION('ref_axis',(0.,4.37095678986282E-16,1.)); #45248=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #45249=DIRECTION('center_axis',(0.598763376126263,-0.0374520852828457,-0.800049848895583)); #45250=DIRECTION('ref_axis',(0.798489374898055,-0.049944758411246,0.59993352905314)); #45251=DIRECTION('center_axis',(0.618356312349826,-0.785897875666504,0.)); #45252=DIRECTION('ref_axis',(-0.785897875666504,-0.618356312349826,0.)); #45253=DIRECTION('center_axis',(-0.999046780868687,0.0362664526832182,-0.0242955560893354)); #45254=DIRECTION('ref_axis',(-0.0436523726264004,-0.830009473180003,0.556038438235649)); #45255=DIRECTION('center_axis',(0.623616527781326,-0.78173040511289,0.)); #45256=DIRECTION('ref_axis',(-0.78173040511289,-0.623616527781326,0.)); #45257=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #45258=DIRECTION('ref_axis',(1.,0.,0.)); #45259=DIRECTION('center_axis',(0.,0.,1.)); #45260=DIRECTION('ref_axis',(-1.,2.63891417200003E-16,0.)); #45261=DIRECTION('center_axis',(0.,-1.,0.)); #45262=DIRECTION('ref_axis',(1.,0.,0.)); #45263=DIRECTION('center_axis',(0.,0.,1.)); #45264=DIRECTION('ref_axis',(1.,0.,0.)); #45265=DIRECTION('center_axis',(0.,0.,1.)); #45266=DIRECTION('ref_axis',(-1.,-9.77375615793464E-17,0.)); #45267=DIRECTION('center_axis',(0.,1.,0.)); #45268=DIRECTION('ref_axis',(-0.745355991178401,0.,0.666666668144181)); #45269=DIRECTION('center_axis',(0.,0.,1.)); #45270=DIRECTION('ref_axis',(1.,0.,0.)); #45271=DIRECTION('center_axis',(0.,0.,1.)); #45272=DIRECTION('ref_axis',(-1.,-4.14261015873396E-16,0.)); #45273=DIRECTION('',(0.,-1.,0.)); #45274=DIRECTION('',(1.,0.,0.)); #45275=DIRECTION('',(0.,1.,0.)); #45276=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #45277=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #45278=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #45279=DIRECTION('ref_axis',(1.,0.,0.)); #45280=DIRECTION('center_axis',(0.,0.,1.)); #45281=DIRECTION('ref_axis',(-1.,2.34747908994924E-16,0.)); #45282=DIRECTION('center_axis',(0.,0.,-1.)); #45283=DIRECTION('ref_axis',(-1.,0.,0.)); #45284=DIRECTION('center_axis',(0.,0.,1.)); #45285=DIRECTION('ref_axis',(1.,0.,0.)); #45286=DIRECTION('center_axis',(0.,1.,0.)); #45287=DIRECTION('ref_axis',(0.664211164155072,0.,0.747545001596402)); #45288=DIRECTION('center_axis',(0.,1.,0.)); #45289=DIRECTION('ref_axis',(0.745355991178401,0.,0.666666668144181)); #45290=DIRECTION('center_axis',(0.,-1.,0.)); #45291=DIRECTION('ref_axis',(0.745355991178401,0.,0.666666668144181)); #45292=DIRECTION('',(0.,1.,0.)); #45293=DIRECTION('center_axis',(0.,1.,0.)); #45294=DIRECTION('ref_axis',(1.,0.,0.)); #45295=DIRECTION('',(0.,-1.,0.)); #45296=DIRECTION('center_axis',(0.,1.,0.)); #45297=DIRECTION('ref_axis',(1.,0.,0.)); #45298=DIRECTION('center_axis',(0.,-1.,0.)); #45299=DIRECTION('ref_axis',(-0.664211164155072,0.,0.747545001596402)); #45300=DIRECTION('center_axis',(0.,-1.,0.)); #45301=DIRECTION('ref_axis',(-0.664211164155072,0.,0.747545001596402)); #45302=DIRECTION('center_axis',(0.,1.,0.)); #45303=DIRECTION('ref_axis',(0.664211164155072,0.,0.747545001596402)); #45304=DIRECTION('center_axis',(0.,-1.,0.)); #45305=DIRECTION('ref_axis',(0.664211164155072,0.,0.747545001596402)); #45306=DIRECTION('center_axis',(0.,1.,0.)); #45307=DIRECTION('ref_axis',(-0.745355991178401,0.,0.666666668144181)); #45308=DIRECTION('center_axis',(0.,-1.,0.)); #45309=DIRECTION('ref_axis',(-0.745355991178401,0.,0.666666668144181)); #45310=DIRECTION('',(0.,-1.,0.)); #45311=DIRECTION('center_axis',(0.,1.,0.)); #45312=DIRECTION('ref_axis',(1.,0.,0.)); #45313=DIRECTION('center_axis',(0.,1.,0.)); #45314=DIRECTION('ref_axis',(1.,0.,0.)); #45315=DIRECTION('',(0.,1.,0.)); #45316=DIRECTION('center_axis',(0.,1.,0.)); #45317=DIRECTION('ref_axis',(1.,0.,0.)); #45318=DIRECTION('',(1.,0.,0.)); #45319=DIRECTION('center_axis',(0.,0.,1.)); #45320=DIRECTION('ref_axis',(1.,0.,0.)); #45321=DIRECTION('center_axis',(0.,0.,-1.)); #45322=DIRECTION('ref_axis',(1.,0.,0.)); #45323=DIRECTION('',(0.,0.,-1.)); #45324=DIRECTION('center_axis',(0.,0.,-1.)); #45325=DIRECTION('ref_axis',(1.,0.,0.)); #45326=DIRECTION('center_axis',(0.,0.,1.)); #45327=DIRECTION('ref_axis',(1.,0.,0.)); #45328=DIRECTION('center_axis',(0.,0.,-1.)); #45329=DIRECTION('ref_axis',(1.,0.,0.)); #45330=DIRECTION('',(0.,0.,-1.)); #45331=DIRECTION('center_axis',(0.,0.,-1.)); #45332=DIRECTION('ref_axis',(1.,0.,0.)); #45333=DIRECTION('center_axis',(0.,0.,1.)); #45334=DIRECTION('ref_axis',(1.,0.,0.)); #45335=DIRECTION('center_axis',(0.,0.,-1.)); #45336=DIRECTION('ref_axis',(1.,0.,0.)); #45337=DIRECTION('',(0.,0.,-1.)); #45338=DIRECTION('center_axis',(0.,0.,-1.)); #45339=DIRECTION('ref_axis',(1.,0.,0.)); #45340=DIRECTION('center_axis',(0.,0.,1.)); #45341=DIRECTION('ref_axis',(1.,0.,0.)); #45342=DIRECTION('center_axis',(0.,0.,-1.)); #45343=DIRECTION('ref_axis',(1.,0.,0.)); #45344=DIRECTION('',(0.,0.,-1.)); #45345=DIRECTION('center_axis',(0.,0.,-1.)); #45346=DIRECTION('ref_axis',(1.,0.,0.)); #45347=DIRECTION('center_axis',(0.,0.,1.)); #45348=DIRECTION('ref_axis',(1.,0.,0.)); #45349=DIRECTION('center_axis',(0.,0.,-1.)); #45350=DIRECTION('ref_axis',(1.,0.,0.)); #45351=DIRECTION('',(0.,0.,-1.)); #45352=DIRECTION('center_axis',(0.,0.,-1.)); #45353=DIRECTION('ref_axis',(1.,0.,0.)); #45354=DIRECTION('center_axis',(0.,0.,1.)); #45355=DIRECTION('ref_axis',(1.,0.,0.)); #45356=DIRECTION('center_axis',(0.,0.,-1.)); #45357=DIRECTION('ref_axis',(1.,0.,0.)); #45358=DIRECTION('',(0.,0.,-1.)); #45359=DIRECTION('center_axis',(0.,0.,-1.)); #45360=DIRECTION('ref_axis',(1.,0.,0.)); #45361=DIRECTION('center_axis',(-0.499722453489577,0.8661855860486,0.)); #45362=DIRECTION('ref_axis',(-0.866185586048601,-0.499722453489577,0.)); #45363=DIRECTION('',(0.866185586048601,0.499722453489577,0.)); #45364=DIRECTION('',(0.,0.,1.)); #45365=DIRECTION('',(-0.866185586048601,-0.499722453489577,0.)); #45366=DIRECTION('',(0.,0.,1.)); #45367=DIRECTION('center_axis',(0.,0.,1.)); #45368=DIRECTION('ref_axis',(3.93386111087659E-15,1.,0.)); #45369=DIRECTION('center_axis',(0.,0.,1.)); #45370=DIRECTION('ref_axis',(3.93386111087659E-15,1.,0.)); #45371=DIRECTION('center_axis',(0.,0.,1.)); #45372=DIRECTION('ref_axis',(3.93386111087659E-15,1.,0.)); #45373=DIRECTION('',(0.,0.,1.)); #45374=DIRECTION('center_axis',(0.,1.,0.)); #45375=DIRECTION('ref_axis',(-1.,0.,0.)); #45376=DIRECTION('',(-1.,0.,0.)); #45377=DIRECTION('',(-1.,0.,0.)); #45378=DIRECTION('',(0.,0.,1.)); #45379=DIRECTION('center_axis',(0.,0.,1.)); #45380=DIRECTION('ref_axis',(0.499722453489579,0.8661855860486,0.)); #45381=DIRECTION('center_axis',(0.,0.,1.)); #45382=DIRECTION('ref_axis',(0.499722453489579,0.8661855860486,0.)); #45383=DIRECTION('center_axis',(0.,0.,1.)); #45384=DIRECTION('ref_axis',(0.499722453489579,0.8661855860486,0.)); #45385=DIRECTION('',(0.,0.,1.)); #45386=DIRECTION('center_axis',(0.499722453489577,0.8661855860486,0.)); #45387=DIRECTION('ref_axis',(-0.8661855860486,0.499722453489577,0.)); #45388=DIRECTION('',(0.8661855860486,-0.499722453489577,0.)); #45389=DIRECTION('',(-0.8661855860486,0.499722453489577,0.)); #45390=DIRECTION('',(0.,0.,1.)); #45391=DIRECTION('center_axis',(0.,0.,1.)); #45392=DIRECTION('ref_axis',(1.,-1.31128703695885E-15,0.)); #45393=DIRECTION('center_axis',(0.,0.,1.)); #45394=DIRECTION('ref_axis',(1.,-1.31128703695885E-15,0.)); #45395=DIRECTION('center_axis',(0.,0.,1.)); #45396=DIRECTION('ref_axis',(1.,-1.31128703695885E-15,0.)); #45397=DIRECTION('',(0.,0.,1.)); #45398=DIRECTION('center_axis',(1.,0.,0.)); #45399=DIRECTION('ref_axis',(0.,1.,0.)); #45400=DIRECTION('',(0.,1.,0.)); #45401=DIRECTION('',(0.,1.,0.)); #45402=DIRECTION('',(0.,0.,1.)); #45403=DIRECTION('center_axis',(0.,0.,1.)); #45404=DIRECTION('ref_axis',(-8.74191357972564E-16,-1.,0.)); #45405=DIRECTION('center_axis',(0.,0.,1.)); #45406=DIRECTION('ref_axis',(-8.74191357972564E-16,-1.,0.)); #45407=DIRECTION('center_axis',(0.,0.,1.)); #45408=DIRECTION('ref_axis',(-8.74191357972564E-16,-1.,0.)); #45409=DIRECTION('',(0.,0.,1.)); #45410=DIRECTION('center_axis',(0.,-1.,0.)); #45411=DIRECTION('ref_axis',(1.,0.,0.)); #45412=DIRECTION('',(1.,0.,0.)); #45413=DIRECTION('',(1.,0.,0.)); #45414=DIRECTION('',(0.,0.,1.)); #45415=DIRECTION('center_axis',(0.,0.,1.)); #45416=DIRECTION('ref_axis',(-1.,-2.18547839493141E-16,0.)); #45417=DIRECTION('center_axis',(0.,0.,1.)); #45418=DIRECTION('ref_axis',(-1.,-2.18547839493141E-16,0.)); #45419=DIRECTION('center_axis',(0.,0.,1.)); #45420=DIRECTION('ref_axis',(-1.,-2.18547839493141E-16,0.)); #45421=DIRECTION('',(0.,0.,1.)); #45422=DIRECTION('center_axis',(-1.,0.,0.)); #45423=DIRECTION('ref_axis',(0.,-1.,0.)); #45424=DIRECTION('',(0.,-1.,0.)); #45425=DIRECTION('',(0.,-1.,0.)); #45426=DIRECTION('',(0.,0.,1.)); #45427=DIRECTION('center_axis',(0.,0.,1.)); #45428=DIRECTION('ref_axis',(-0.499722453489579,0.866185586048599,0.)); #45429=DIRECTION('center_axis',(0.,0.,1.)); #45430=DIRECTION('ref_axis',(-0.499722453489579,0.866185586048599,0.)); #45431=DIRECTION('center_axis',(0.,0.,1.)); #45432=DIRECTION('ref_axis',(-0.499722453489579,0.866185586048599,0.)); #45433=DIRECTION('center_axis',(0.,0.,1.)); #45434=DIRECTION('ref_axis',(1.,0.,0.)); #45435=DIRECTION('center_axis',(0.,0.,1.)); #45436=DIRECTION('ref_axis',(1.,0.,0.)); #45437=DIRECTION('axis',(0.,0.,1.)); #45438=DIRECTION('refdir',(1.,0.,0.)); #45439=DIRECTION('center_axis',(1.,0.,0.)); #45440=DIRECTION('ref_axis',(0.,1.,0.)); #45441=DIRECTION('',(0.,1.,0.)); #45442=DIRECTION('',(0.,0.,1.)); #45443=DIRECTION('',(0.,-1.,0.)); #45444=DIRECTION('',(0.,0.,1.)); #45445=DIRECTION('center_axis',(-1.,0.,0.)); #45446=DIRECTION('ref_axis',(0.,-0.980580675690974,0.196116135137916)); #45447=DIRECTION('',(0.,0.196116135138187,0.98058067569092)); #45448=DIRECTION('center_axis',(-1.,0.,0.)); #45449=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45450=DIRECTION('',(0.,1.,-2.97916285236055E-15)); #45451=DIRECTION('center_axis',(-1.,0.,0.)); #45452=DIRECTION('ref_axis',(0.,0.980580675690935,0.196116135138111)); #45453=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #45454=DIRECTION('center_axis',(1.,0.,0.)); #45455=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45456=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45457=DIRECTION('center_axis',(1.,0.,0.)); #45458=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45459=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45460=DIRECTION('center_axis',(-1.,0.,0.)); #45461=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45462=DIRECTION('',(0.,1.,-8.93748855708164E-15)); #45463=DIRECTION('center_axis',(-1.,0.,0.)); #45464=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45465=DIRECTION('',(0.,0.196116135138186,-0.98058067569092)); #45466=DIRECTION('center_axis',(1.,0.,0.)); #45467=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45468=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45469=DIRECTION('center_axis',(1.,0.,0.)); #45470=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45471=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45472=DIRECTION('center_axis',(-1.,0.,0.)); #45473=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45474=DIRECTION('',(0.,1.,-8.93748855708164E-15)); #45475=DIRECTION('center_axis',(-1.,0.,0.)); #45476=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45477=DIRECTION('',(0.,0.196116135138186,-0.98058067569092)); #45478=DIRECTION('center_axis',(1.,0.,0.)); #45479=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45480=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45481=DIRECTION('center_axis',(1.,0.,0.)); #45482=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45483=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45484=DIRECTION('center_axis',(-1.,0.,0.)); #45485=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45486=DIRECTION('',(0.,1.,-8.93748855708164E-15)); #45487=DIRECTION('center_axis',(-1.,0.,0.)); #45488=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45489=DIRECTION('',(0.,0.196116135138186,-0.98058067569092)); #45490=DIRECTION('center_axis',(1.,0.,0.)); #45491=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45492=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45493=DIRECTION('center_axis',(1.,0.,0.)); #45494=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45495=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45496=DIRECTION('center_axis',(-1.,0.,0.)); #45497=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45498=DIRECTION('',(0.,1.,-8.93748855708164E-15)); #45499=DIRECTION('center_axis',(-1.,0.,0.)); #45500=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45501=DIRECTION('',(0.,0.196116135138186,-0.98058067569092)); #45502=DIRECTION('center_axis',(1.,0.,0.)); #45503=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45504=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45505=DIRECTION('center_axis',(1.,0.,0.)); #45506=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45507=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45508=DIRECTION('center_axis',(-1.,0.,0.)); #45509=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45510=DIRECTION('',(0.,1.,-8.93748855708164E-15)); #45511=DIRECTION('center_axis',(-1.,0.,0.)); #45512=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45513=DIRECTION('',(0.,0.196116135138186,-0.98058067569092)); #45514=DIRECTION('center_axis',(1.,0.,0.)); #45515=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45516=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45517=DIRECTION('center_axis',(1.,0.,0.)); #45518=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45519=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45520=DIRECTION('center_axis',(-1.,0.,0.)); #45521=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45522=DIRECTION('',(0.,1.,-8.93748855708164E-15)); #45523=DIRECTION('center_axis',(-1.,0.,0.)); #45524=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45525=DIRECTION('',(0.,0.196116135138186,-0.98058067569092)); #45526=DIRECTION('center_axis',(1.,0.,0.)); #45527=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45528=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45529=DIRECTION('center_axis',(1.,0.,0.)); #45530=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45531=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45532=DIRECTION('center_axis',(-1.,0.,0.)); #45533=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45534=DIRECTION('',(0.,1.,-8.93748855708164E-15)); #45535=DIRECTION('center_axis',(-1.,0.,0.)); #45536=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45537=DIRECTION('',(0.,0.196116135138186,-0.98058067569092)); #45538=DIRECTION('center_axis',(1.,0.,0.)); #45539=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45540=DIRECTION('',(0.,1.,-5.95832570472102E-15)); #45541=DIRECTION('center_axis',(1.,0.,0.)); #45542=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45543=DIRECTION('',(0.,0.196116135138187,0.980580675690919)); #45544=DIRECTION('center_axis',(-1.,0.,0.)); #45545=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45546=DIRECTION('',(0.,1.,-5.95832570472113E-15)); #45547=DIRECTION('center_axis',(-1.,0.,0.)); #45548=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45549=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #45550=DIRECTION('center_axis',(1.,0.,0.)); #45551=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45552=DIRECTION('',(0.,1.,0.)); #45553=DIRECTION('center_axis',(1.,0.,0.)); #45554=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45555=DIRECTION('',(0.,0.196116135138185,0.98058067569092)); #45556=DIRECTION('center_axis',(-1.,0.,0.)); #45557=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45558=DIRECTION('',(0.,1.,-2.97916285236058E-15)); #45559=DIRECTION('center_axis',(-1.,0.,0.)); #45560=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45561=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #45562=DIRECTION('center_axis',(1.,0.,0.)); #45563=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45564=DIRECTION('',(0.,1.,0.)); #45565=DIRECTION('center_axis',(1.,0.,0.)); #45566=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45567=DIRECTION('',(0.,0.196116135138185,0.98058067569092)); #45568=DIRECTION('center_axis',(-1.,0.,0.)); #45569=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45570=DIRECTION('',(0.,1.,-2.97916285236058E-15)); #45571=DIRECTION('center_axis',(-1.,0.,0.)); #45572=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45573=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #45574=DIRECTION('center_axis',(1.,0.,0.)); #45575=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45576=DIRECTION('',(0.,1.,0.)); #45577=DIRECTION('center_axis',(1.,0.,0.)); #45578=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45579=DIRECTION('',(0.,0.196116135138185,0.98058067569092)); #45580=DIRECTION('center_axis',(-1.,0.,0.)); #45581=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45582=DIRECTION('',(0.,1.,-2.97916285236058E-15)); #45583=DIRECTION('center_axis',(-1.,0.,0.)); #45584=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45585=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #45586=DIRECTION('center_axis',(1.,0.,0.)); #45587=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45588=DIRECTION('',(0.,1.,0.)); #45589=DIRECTION('center_axis',(1.,0.,0.)); #45590=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45591=DIRECTION('',(0.,0.196116135138185,0.98058067569092)); #45592=DIRECTION('center_axis',(-1.,0.,0.)); #45593=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45594=DIRECTION('',(0.,1.,-2.97916285236058E-15)); #45595=DIRECTION('center_axis',(-1.,0.,0.)); #45596=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45597=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #45598=DIRECTION('center_axis',(1.,0.,0.)); #45599=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45600=DIRECTION('',(0.,1.,-5.95832570472109E-15)); #45601=DIRECTION('center_axis',(1.,0.,0.)); #45602=DIRECTION('ref_axis',(0.,6.93889390390708E-15,-1.)); #45603=DIRECTION('',(0.,0.196116135138185,0.98058067569092)); #45604=DIRECTION('center_axis',(-1.,0.,0.)); #45605=DIRECTION('ref_axis',(0.,-6.93889390390717E-15,1.)); #45606=DIRECTION('',(0.,1.,0.)); #45607=DIRECTION('center_axis',(-1.,0.,0.)); #45608=DIRECTION('ref_axis',(0.,0.980580675690921,0.19611613513818)); #45609=DIRECTION('',(0.,0.196116135138185,-0.98058067569092)); #45610=DIRECTION('center_axis',(1.,0.,0.)); #45611=DIRECTION('ref_axis',(0.,-0.980580675690923,-0.196116135138171)); #45612=DIRECTION('',(0.,1.,-5.95832570472106E-15)); #45613=DIRECTION('center_axis',(1.,0.,0.)); #45614=DIRECTION('ref_axis',(0.,0.,-1.)); #45615=DIRECTION('',(0.,0.196116135138185,0.98058067569092)); #45616=DIRECTION('center_axis',(-1.,0.,0.)); #45617=DIRECTION('ref_axis',(0.,-6.93889390390717E-15,1.)); #45618=DIRECTION('',(0.,1.,0.)); #45619=DIRECTION('center_axis',(-1.,0.,0.)); #45620=DIRECTION('ref_axis',(0.,0.980580675690921,0.19611613513818)); #45621=DIRECTION('',(0.,0.196116135138185,-0.98058067569092)); #45622=DIRECTION('center_axis',(1.,0.,0.)); #45623=DIRECTION('ref_axis',(0.,-0.980580675690923,-0.196116135138171)); #45624=DIRECTION('',(0.,1.,0.)); #45625=DIRECTION('center_axis',(-1.,0.,0.)); #45626=DIRECTION('ref_axis',(0.,0.,-1.)); #45627=DIRECTION('',(0.,-1.,0.)); #45628=DIRECTION('center_axis',(1.,0.,0.)); #45629=DIRECTION('ref_axis',(0.,-7.64917438225993E-16,1.)); #45630=DIRECTION('',(0.,0.,-1.)); #45631=DIRECTION('center_axis',(0.,2.97916285236055E-15,1.)); #45632=DIRECTION('ref_axis',(0.,-1.,2.97916285236055E-15)); #45633=DIRECTION('',(-1.,0.,0.)); #45634=DIRECTION('',(0.,-1.,2.97916285236055E-15)); #45635=DIRECTION('',(1.,0.,0.)); #45636=DIRECTION('center_axis',(1.,0.,0.)); #45637=DIRECTION('ref_axis',(0.,0.980580675690935,0.196116135138111)); #45638=DIRECTION('center_axis',(1.,0.,0.)); #45639=DIRECTION('ref_axis',(0.,0.980580675690935,0.196116135138111)); #45640=DIRECTION('',(1.,0.,0.)); #45641=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138184)); #45642=DIRECTION('ref_axis',(0.,-0.196116135138184,0.98058067569092)); #45643=DIRECTION('',(0.,-0.196116135138184,0.98058067569092)); #45644=DIRECTION('',(1.,0.,0.)); #45645=DIRECTION('center_axis',(1.,0.,0.)); #45646=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45647=DIRECTION('center_axis',(-1.,0.,0.)); #45648=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45649=DIRECTION('',(1.,0.,0.)); #45650=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45651=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45652=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45653=DIRECTION('',(1.,0.,0.)); #45654=DIRECTION('center_axis',(1.,0.,0.)); #45655=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45656=DIRECTION('center_axis',(-1.,0.,0.)); #45657=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45658=DIRECTION('',(1.,0.,0.)); #45659=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45660=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45661=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45662=DIRECTION('',(1.,0.,0.)); #45663=DIRECTION('center_axis',(1.,0.,0.)); #45664=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45665=DIRECTION('center_axis',(1.,0.,0.)); #45666=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45667=DIRECTION('',(1.,0.,0.)); #45668=DIRECTION('center_axis',(0.,8.93748855708164E-15,1.)); #45669=DIRECTION('ref_axis',(0.,-1.,8.93748855708164E-15)); #45670=DIRECTION('',(0.,-1.,8.93748855708164E-15)); #45671=DIRECTION('',(1.,0.,0.)); #45672=DIRECTION('center_axis',(1.,0.,0.)); #45673=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45674=DIRECTION('center_axis',(1.,0.,0.)); #45675=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45676=DIRECTION('',(1.,0.,0.)); #45677=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138186)); #45678=DIRECTION('ref_axis',(0.,-0.196116135138186,0.98058067569092)); #45679=DIRECTION('',(0.,-0.196116135138186,0.98058067569092)); #45680=DIRECTION('',(1.,0.,0.)); #45681=DIRECTION('center_axis',(1.,0.,0.)); #45682=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45683=DIRECTION('center_axis',(-1.,0.,0.)); #45684=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45685=DIRECTION('',(1.,0.,0.)); #45686=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45687=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45688=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45689=DIRECTION('',(1.,0.,0.)); #45690=DIRECTION('center_axis',(1.,0.,0.)); #45691=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45692=DIRECTION('center_axis',(-1.,0.,0.)); #45693=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45694=DIRECTION('',(1.,0.,0.)); #45695=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45696=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45697=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45698=DIRECTION('',(1.,0.,0.)); #45699=DIRECTION('center_axis',(1.,0.,0.)); #45700=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45701=DIRECTION('center_axis',(1.,0.,0.)); #45702=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45703=DIRECTION('',(1.,0.,0.)); #45704=DIRECTION('center_axis',(0.,8.93748855708164E-15,1.)); #45705=DIRECTION('ref_axis',(0.,-1.,8.93748855708164E-15)); #45706=DIRECTION('',(0.,-1.,8.93748855708164E-15)); #45707=DIRECTION('',(1.,0.,0.)); #45708=DIRECTION('center_axis',(1.,0.,0.)); #45709=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45710=DIRECTION('center_axis',(1.,0.,0.)); #45711=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45712=DIRECTION('',(1.,0.,0.)); #45713=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138186)); #45714=DIRECTION('ref_axis',(0.,-0.196116135138186,0.98058067569092)); #45715=DIRECTION('',(0.,-0.196116135138186,0.98058067569092)); #45716=DIRECTION('',(1.,0.,0.)); #45717=DIRECTION('center_axis',(1.,0.,0.)); #45718=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45719=DIRECTION('center_axis',(-1.,0.,0.)); #45720=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45721=DIRECTION('',(1.,0.,0.)); #45722=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45723=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45724=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45725=DIRECTION('',(1.,0.,0.)); #45726=DIRECTION('center_axis',(1.,0.,0.)); #45727=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45728=DIRECTION('center_axis',(-1.,0.,0.)); #45729=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45730=DIRECTION('',(1.,0.,0.)); #45731=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45732=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45733=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45734=DIRECTION('',(1.,0.,0.)); #45735=DIRECTION('center_axis',(1.,0.,0.)); #45736=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45737=DIRECTION('center_axis',(1.,0.,0.)); #45738=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45739=DIRECTION('',(1.,0.,0.)); #45740=DIRECTION('center_axis',(0.,8.93748855708164E-15,1.)); #45741=DIRECTION('ref_axis',(0.,-1.,8.93748855708164E-15)); #45742=DIRECTION('',(0.,-1.,8.93748855708164E-15)); #45743=DIRECTION('',(1.,0.,0.)); #45744=DIRECTION('center_axis',(1.,0.,0.)); #45745=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45746=DIRECTION('center_axis',(1.,0.,0.)); #45747=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45748=DIRECTION('',(1.,0.,0.)); #45749=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138186)); #45750=DIRECTION('ref_axis',(0.,-0.196116135138186,0.98058067569092)); #45751=DIRECTION('',(0.,-0.196116135138186,0.98058067569092)); #45752=DIRECTION('',(1.,0.,0.)); #45753=DIRECTION('center_axis',(1.,0.,0.)); #45754=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45755=DIRECTION('center_axis',(-1.,0.,0.)); #45756=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45757=DIRECTION('',(1.,0.,0.)); #45758=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45759=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45760=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45761=DIRECTION('',(1.,0.,0.)); #45762=DIRECTION('center_axis',(1.,0.,0.)); #45763=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45764=DIRECTION('center_axis',(-1.,0.,0.)); #45765=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45766=DIRECTION('',(1.,0.,0.)); #45767=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45768=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45769=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45770=DIRECTION('',(1.,0.,0.)); #45771=DIRECTION('center_axis',(1.,0.,0.)); #45772=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45773=DIRECTION('center_axis',(1.,0.,0.)); #45774=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45775=DIRECTION('',(1.,0.,0.)); #45776=DIRECTION('center_axis',(0.,8.93748855708164E-15,1.)); #45777=DIRECTION('ref_axis',(0.,-1.,8.93748855708164E-15)); #45778=DIRECTION('',(0.,-1.,8.93748855708164E-15)); #45779=DIRECTION('',(1.,0.,0.)); #45780=DIRECTION('center_axis',(1.,0.,0.)); #45781=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45782=DIRECTION('center_axis',(1.,0.,0.)); #45783=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45784=DIRECTION('',(1.,0.,0.)); #45785=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138186)); #45786=DIRECTION('ref_axis',(0.,-0.196116135138186,0.98058067569092)); #45787=DIRECTION('',(0.,-0.196116135138186,0.98058067569092)); #45788=DIRECTION('',(1.,0.,0.)); #45789=DIRECTION('center_axis',(1.,0.,0.)); #45790=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45791=DIRECTION('center_axis',(-1.,0.,0.)); #45792=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45793=DIRECTION('',(1.,0.,0.)); #45794=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45795=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45796=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45797=DIRECTION('',(1.,0.,0.)); #45798=DIRECTION('center_axis',(1.,0.,0.)); #45799=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45800=DIRECTION('center_axis',(-1.,0.,0.)); #45801=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45802=DIRECTION('',(1.,0.,0.)); #45803=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45804=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45805=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45806=DIRECTION('',(1.,0.,0.)); #45807=DIRECTION('center_axis',(1.,0.,0.)); #45808=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45809=DIRECTION('center_axis',(1.,0.,0.)); #45810=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45811=DIRECTION('',(1.,0.,0.)); #45812=DIRECTION('center_axis',(0.,8.93748855708164E-15,1.)); #45813=DIRECTION('ref_axis',(0.,-1.,8.93748855708164E-15)); #45814=DIRECTION('',(0.,-1.,8.93748855708164E-15)); #45815=DIRECTION('',(1.,0.,0.)); #45816=DIRECTION('center_axis',(1.,0.,0.)); #45817=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45818=DIRECTION('center_axis',(1.,0.,0.)); #45819=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45820=DIRECTION('',(1.,0.,0.)); #45821=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138186)); #45822=DIRECTION('ref_axis',(0.,-0.196116135138186,0.98058067569092)); #45823=DIRECTION('',(0.,-0.196116135138186,0.98058067569092)); #45824=DIRECTION('',(1.,0.,0.)); #45825=DIRECTION('center_axis',(1.,0.,0.)); #45826=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45827=DIRECTION('center_axis',(-1.,0.,0.)); #45828=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45829=DIRECTION('',(1.,0.,0.)); #45830=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45831=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45832=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45833=DIRECTION('',(1.,0.,0.)); #45834=DIRECTION('center_axis',(1.,0.,0.)); #45835=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45836=DIRECTION('center_axis',(-1.,0.,0.)); #45837=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45838=DIRECTION('',(1.,0.,0.)); #45839=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45840=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45841=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45842=DIRECTION('',(1.,0.,0.)); #45843=DIRECTION('center_axis',(1.,0.,0.)); #45844=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45845=DIRECTION('center_axis',(1.,0.,0.)); #45846=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45847=DIRECTION('',(1.,0.,0.)); #45848=DIRECTION('center_axis',(0.,8.93748855708164E-15,1.)); #45849=DIRECTION('ref_axis',(0.,-1.,8.93748855708164E-15)); #45850=DIRECTION('',(0.,-1.,8.93748855708164E-15)); #45851=DIRECTION('',(1.,0.,0.)); #45852=DIRECTION('center_axis',(1.,0.,0.)); #45853=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45854=DIRECTION('center_axis',(1.,0.,0.)); #45855=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45856=DIRECTION('',(1.,0.,0.)); #45857=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138186)); #45858=DIRECTION('ref_axis',(0.,-0.196116135138186,0.98058067569092)); #45859=DIRECTION('',(0.,-0.196116135138186,0.98058067569092)); #45860=DIRECTION('',(1.,0.,0.)); #45861=DIRECTION('center_axis',(1.,0.,0.)); #45862=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45863=DIRECTION('center_axis',(-1.,0.,0.)); #45864=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45865=DIRECTION('',(1.,0.,0.)); #45866=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45867=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45868=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45869=DIRECTION('',(1.,0.,0.)); #45870=DIRECTION('center_axis',(1.,0.,0.)); #45871=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45872=DIRECTION('center_axis',(-1.,0.,0.)); #45873=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45874=DIRECTION('',(1.,0.,0.)); #45875=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45876=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45877=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45878=DIRECTION('',(1.,0.,0.)); #45879=DIRECTION('center_axis',(1.,0.,0.)); #45880=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45881=DIRECTION('center_axis',(1.,0.,0.)); #45882=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45883=DIRECTION('',(1.,0.,0.)); #45884=DIRECTION('center_axis',(0.,8.93748855708164E-15,1.)); #45885=DIRECTION('ref_axis',(0.,-1.,8.93748855708164E-15)); #45886=DIRECTION('',(0.,-1.,8.93748855708164E-15)); #45887=DIRECTION('',(1.,0.,0.)); #45888=DIRECTION('center_axis',(1.,0.,0.)); #45889=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45890=DIRECTION('center_axis',(1.,0.,0.)); #45891=DIRECTION('ref_axis',(0.,0.980580675690934,0.196116135138116)); #45892=DIRECTION('',(1.,0.,0.)); #45893=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138186)); #45894=DIRECTION('ref_axis',(0.,-0.196116135138186,0.98058067569092)); #45895=DIRECTION('',(0.,-0.196116135138186,0.98058067569092)); #45896=DIRECTION('',(1.,0.,0.)); #45897=DIRECTION('center_axis',(1.,0.,0.)); #45898=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45899=DIRECTION('center_axis',(-1.,0.,0.)); #45900=DIRECTION('ref_axis',(0.,-0.980580675690934,-0.196116135138116)); #45901=DIRECTION('',(1.,0.,0.)); #45902=DIRECTION('center_axis',(0.,5.95832570472102E-15,1.)); #45903=DIRECTION('ref_axis',(0.,-1.,5.95832570472102E-15)); #45904=DIRECTION('',(0.,-1.,5.95832570472102E-15)); #45905=DIRECTION('',(1.,0.,0.)); #45906=DIRECTION('center_axis',(1.,0.,0.)); #45907=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45908=DIRECTION('center_axis',(-1.,0.,0.)); #45909=DIRECTION('ref_axis',(0.,-2.77555756156304E-14,-1.)); #45910=DIRECTION('',(1.,0.,0.)); #45911=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #45912=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.980580675690919)); #45913=DIRECTION('',(0.,-0.196116135138187,-0.980580675690919)); #45914=DIRECTION('',(1.,0.,0.)); #45915=DIRECTION('center_axis',(1.,0.,0.)); #45916=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45917=DIRECTION('center_axis',(1.,0.,0.)); #45918=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #45919=DIRECTION('',(1.,0.,0.)); #45920=DIRECTION('center_axis',(0.,5.95832570472113E-15,1.)); #45921=DIRECTION('ref_axis',(0.,-1.,5.95832570472113E-15)); #45922=DIRECTION('',(0.,-1.,5.95832570472113E-15)); #45923=DIRECTION('',(1.,0.,0.)); #45924=DIRECTION('center_axis',(1.,0.,0.)); #45925=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45926=DIRECTION('center_axis',(1.,0.,0.)); #45927=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45928=DIRECTION('',(1.,0.,0.)); #45929=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138184)); #45930=DIRECTION('ref_axis',(0.,-0.196116135138184,0.98058067569092)); #45931=DIRECTION('',(0.,-0.196116135138184,0.98058067569092)); #45932=DIRECTION('',(1.,0.,0.)); #45933=DIRECTION('center_axis',(1.,0.,0.)); #45934=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45935=DIRECTION('center_axis',(-1.,0.,0.)); #45936=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45937=DIRECTION('',(1.,0.,0.)); #45938=DIRECTION('center_axis',(0.,0.,1.)); #45939=DIRECTION('ref_axis',(0.,-1.,0.)); #45940=DIRECTION('',(0.,-1.,0.)); #45941=DIRECTION('',(1.,0.,0.)); #45942=DIRECTION('center_axis',(1.,0.,0.)); #45943=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45944=DIRECTION('center_axis',(-1.,0.,0.)); #45945=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45946=DIRECTION('',(1.,0.,0.)); #45947=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138185)); #45948=DIRECTION('ref_axis',(0.,-0.196116135138185,-0.98058067569092)); #45949=DIRECTION('',(0.,-0.196116135138185,-0.98058067569092)); #45950=DIRECTION('',(1.,0.,0.)); #45951=DIRECTION('center_axis',(1.,0.,0.)); #45952=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45953=DIRECTION('center_axis',(1.,0.,0.)); #45954=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45955=DIRECTION('',(1.,0.,0.)); #45956=DIRECTION('center_axis',(0.,2.97916285236058E-15,1.)); #45957=DIRECTION('ref_axis',(0.,-1.,2.97916285236058E-15)); #45958=DIRECTION('',(0.,-1.,2.97916285236058E-15)); #45959=DIRECTION('',(1.,0.,0.)); #45960=DIRECTION('center_axis',(1.,0.,0.)); #45961=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45962=DIRECTION('center_axis',(1.,0.,0.)); #45963=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45964=DIRECTION('',(1.,0.,0.)); #45965=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138184)); #45966=DIRECTION('ref_axis',(0.,-0.196116135138184,0.98058067569092)); #45967=DIRECTION('',(0.,-0.196116135138184,0.98058067569092)); #45968=DIRECTION('',(1.,0.,0.)); #45969=DIRECTION('center_axis',(1.,0.,0.)); #45970=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45971=DIRECTION('center_axis',(-1.,0.,0.)); #45972=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #45973=DIRECTION('',(1.,0.,0.)); #45974=DIRECTION('center_axis',(0.,0.,1.)); #45975=DIRECTION('ref_axis',(0.,-1.,0.)); #45976=DIRECTION('',(0.,-1.,0.)); #45977=DIRECTION('',(1.,0.,0.)); #45978=DIRECTION('center_axis',(1.,0.,0.)); #45979=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45980=DIRECTION('center_axis',(-1.,0.,0.)); #45981=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #45982=DIRECTION('',(1.,0.,0.)); #45983=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138185)); #45984=DIRECTION('ref_axis',(0.,-0.196116135138185,-0.98058067569092)); #45985=DIRECTION('',(0.,-0.196116135138185,-0.98058067569092)); #45986=DIRECTION('',(1.,0.,0.)); #45987=DIRECTION('center_axis',(1.,0.,0.)); #45988=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45989=DIRECTION('center_axis',(1.,0.,0.)); #45990=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #45991=DIRECTION('',(1.,0.,0.)); #45992=DIRECTION('center_axis',(0.,2.97916285236058E-15,1.)); #45993=DIRECTION('ref_axis',(0.,-1.,2.97916285236058E-15)); #45994=DIRECTION('',(0.,-1.,2.97916285236058E-15)); #45995=DIRECTION('',(1.,0.,0.)); #45996=DIRECTION('center_axis',(1.,0.,0.)); #45997=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #45998=DIRECTION('center_axis',(1.,0.,0.)); #45999=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #46000=DIRECTION('',(1.,0.,0.)); #46001=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138184)); #46002=DIRECTION('ref_axis',(0.,-0.196116135138184,0.98058067569092)); #46003=DIRECTION('',(0.,-0.196116135138184,0.98058067569092)); #46004=DIRECTION('',(1.,0.,0.)); #46005=DIRECTION('center_axis',(1.,0.,0.)); #46006=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #46007=DIRECTION('center_axis',(-1.,0.,0.)); #46008=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #46009=DIRECTION('',(1.,0.,0.)); #46010=DIRECTION('center_axis',(0.,0.,1.)); #46011=DIRECTION('ref_axis',(0.,-1.,0.)); #46012=DIRECTION('',(0.,-1.,0.)); #46013=DIRECTION('',(1.,0.,0.)); #46014=DIRECTION('center_axis',(1.,0.,0.)); #46015=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #46016=DIRECTION('center_axis',(-1.,0.,0.)); #46017=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #46018=DIRECTION('',(1.,0.,0.)); #46019=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138185)); #46020=DIRECTION('ref_axis',(0.,-0.196116135138185,-0.98058067569092)); #46021=DIRECTION('',(0.,-0.196116135138185,-0.98058067569092)); #46022=DIRECTION('',(1.,0.,0.)); #46023=DIRECTION('center_axis',(1.,0.,0.)); #46024=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #46025=DIRECTION('center_axis',(1.,0.,0.)); #46026=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #46027=DIRECTION('',(1.,0.,0.)); #46028=DIRECTION('center_axis',(0.,2.97916285236058E-15,1.)); #46029=DIRECTION('ref_axis',(0.,-1.,2.97916285236058E-15)); #46030=DIRECTION('',(0.,-1.,2.97916285236058E-15)); #46031=DIRECTION('',(1.,0.,0.)); #46032=DIRECTION('center_axis',(1.,0.,0.)); #46033=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #46034=DIRECTION('center_axis',(1.,0.,0.)); #46035=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #46036=DIRECTION('',(1.,0.,0.)); #46037=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138184)); #46038=DIRECTION('ref_axis',(0.,-0.196116135138184,0.98058067569092)); #46039=DIRECTION('',(0.,-0.196116135138184,0.98058067569092)); #46040=DIRECTION('',(1.,0.,0.)); #46041=DIRECTION('center_axis',(1.,0.,0.)); #46042=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #46043=DIRECTION('center_axis',(-1.,0.,0.)); #46044=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #46045=DIRECTION('',(1.,0.,0.)); #46046=DIRECTION('center_axis',(0.,0.,1.)); #46047=DIRECTION('ref_axis',(0.,-1.,0.)); #46048=DIRECTION('',(0.,-1.,0.)); #46049=DIRECTION('',(1.,0.,0.)); #46050=DIRECTION('center_axis',(1.,0.,0.)); #46051=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #46052=DIRECTION('center_axis',(-1.,0.,0.)); #46053=DIRECTION('ref_axis',(0.,1.38777878078142E-14,-1.)); #46054=DIRECTION('',(1.,0.,0.)); #46055=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138185)); #46056=DIRECTION('ref_axis',(0.,-0.196116135138185,-0.98058067569092)); #46057=DIRECTION('',(0.,-0.196116135138185,-0.98058067569092)); #46058=DIRECTION('',(1.,0.,0.)); #46059=DIRECTION('center_axis',(1.,0.,0.)); #46060=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #46061=DIRECTION('center_axis',(1.,0.,0.)); #46062=DIRECTION('ref_axis',(0.,-1.38777878078142E-14,1.)); #46063=DIRECTION('',(1.,0.,0.)); #46064=DIRECTION('center_axis',(0.,2.97916285236058E-15,1.)); #46065=DIRECTION('ref_axis',(0.,-1.,2.97916285236058E-15)); #46066=DIRECTION('',(0.,-1.,2.97916285236058E-15)); #46067=DIRECTION('',(1.,0.,0.)); #46068=DIRECTION('center_axis',(1.,0.,0.)); #46069=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #46070=DIRECTION('center_axis',(1.,0.,0.)); #46071=DIRECTION('ref_axis',(0.,0.980580675690917,0.1961161351382)); #46072=DIRECTION('',(1.,0.,0.)); #46073=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138184)); #46074=DIRECTION('ref_axis',(0.,-0.196116135138184,0.98058067569092)); #46075=DIRECTION('',(0.,-0.196116135138184,0.98058067569092)); #46076=DIRECTION('',(1.,0.,0.)); #46077=DIRECTION('center_axis',(1.,0.,0.)); #46078=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #46079=DIRECTION('center_axis',(-1.,0.,0.)); #46080=DIRECTION('ref_axis',(0.,-0.980580675690917,-0.1961161351382)); #46081=DIRECTION('',(1.,0.,0.)); #46082=DIRECTION('center_axis',(0.,5.95832570472109E-15,1.)); #46083=DIRECTION('ref_axis',(0.,-1.,5.95832570472109E-15)); #46084=DIRECTION('',(0.,-1.,5.95832570472109E-15)); #46085=DIRECTION('',(1.,0.,0.)); #46086=DIRECTION('center_axis',(1.,0.,0.)); #46087=DIRECTION('ref_axis',(0.,6.93889390390708E-15,-1.)); #46088=DIRECTION('center_axis',(-1.,0.,0.)); #46089=DIRECTION('ref_axis',(0.,6.93889390390708E-15,-1.)); #46090=DIRECTION('',(1.,0.,0.)); #46091=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138186)); #46092=DIRECTION('ref_axis',(0.,-0.196116135138185,-0.98058067569092)); #46093=DIRECTION('',(0.,-0.196116135138185,-0.98058067569092)); #46094=DIRECTION('',(1.,0.,0.)); #46095=DIRECTION('center_axis',(1.,0.,0.)); #46096=DIRECTION('ref_axis',(0.,-6.93889390390717E-15,1.)); #46097=DIRECTION('center_axis',(1.,0.,0.)); #46098=DIRECTION('ref_axis',(0.,-6.93889390390717E-15,1.)); #46099=DIRECTION('',(1.,0.,0.)); #46100=DIRECTION('center_axis',(0.,0.,1.)); #46101=DIRECTION('ref_axis',(0.,-1.,0.)); #46102=DIRECTION('',(0.,-1.,0.)); #46103=DIRECTION('',(1.,0.,0.)); #46104=DIRECTION('center_axis',(1.,0.,0.)); #46105=DIRECTION('ref_axis',(0.,0.980580675690921,0.19611613513818)); #46106=DIRECTION('center_axis',(1.,0.,0.)); #46107=DIRECTION('ref_axis',(0.,0.980580675690921,0.19611613513818)); #46108=DIRECTION('',(1.,0.,0.)); #46109=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138185)); #46110=DIRECTION('ref_axis',(0.,-0.196116135138185,0.98058067569092)); #46111=DIRECTION('',(0.,-0.196116135138185,0.98058067569092)); #46112=DIRECTION('',(1.,0.,0.)); #46113=DIRECTION('center_axis',(1.,0.,0.)); #46114=DIRECTION('ref_axis',(0.,-0.980580675690923,-0.196116135138171)); #46115=DIRECTION('center_axis',(-1.,0.,0.)); #46116=DIRECTION('ref_axis',(0.,-0.980580675690923,-0.196116135138171)); #46117=DIRECTION('',(1.,0.,0.)); #46118=DIRECTION('center_axis',(0.,5.95832570472106E-15,1.)); #46119=DIRECTION('ref_axis',(0.,-1.,5.95832570472106E-15)); #46120=DIRECTION('',(0.,-1.,5.95832570472106E-15)); #46121=DIRECTION('',(1.,0.,0.)); #46122=DIRECTION('center_axis',(1.,0.,0.)); #46123=DIRECTION('ref_axis',(0.,0.,-1.)); #46124=DIRECTION('center_axis',(-1.,0.,0.)); #46125=DIRECTION('ref_axis',(0.,0.,-1.)); #46126=DIRECTION('',(1.,0.,0.)); #46127=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138185)); #46128=DIRECTION('ref_axis',(0.,-0.196116135138185,-0.98058067569092)); #46129=DIRECTION('',(0.,-0.196116135138185,-0.98058067569092)); #46130=DIRECTION('',(1.,0.,0.)); #46131=DIRECTION('center_axis',(1.,0.,0.)); #46132=DIRECTION('ref_axis',(0.,-6.93889390390717E-15,1.)); #46133=DIRECTION('center_axis',(1.,0.,0.)); #46134=DIRECTION('ref_axis',(0.,-6.93889390390717E-15,1.)); #46135=DIRECTION('',(1.,0.,0.)); #46136=DIRECTION('center_axis',(0.,0.,1.)); #46137=DIRECTION('ref_axis',(0.,-1.,0.)); #46138=DIRECTION('',(0.,-1.,0.)); #46139=DIRECTION('',(1.,0.,0.)); #46140=DIRECTION('center_axis',(1.,0.,0.)); #46141=DIRECTION('ref_axis',(0.,0.980580675690921,0.19611613513818)); #46142=DIRECTION('center_axis',(1.,0.,0.)); #46143=DIRECTION('ref_axis',(0.,0.980580675690921,0.19611613513818)); #46144=DIRECTION('',(1.,0.,0.)); #46145=DIRECTION('center_axis',(0.,0.98058067569092,0.196116135138185)); #46146=DIRECTION('ref_axis',(0.,-0.196116135138185,0.98058067569092)); #46147=DIRECTION('',(0.,-0.196116135138185,0.98058067569092)); #46148=DIRECTION('',(1.,0.,0.)); #46149=DIRECTION('center_axis',(1.,0.,0.)); #46150=DIRECTION('ref_axis',(0.,-0.980580675690923,-0.196116135138171)); #46151=DIRECTION('center_axis',(-1.,0.,0.)); #46152=DIRECTION('ref_axis',(0.,-0.980580675690923,-0.196116135138171)); #46153=DIRECTION('',(1.,0.,0.)); #46154=DIRECTION('center_axis',(0.,0.,1.)); #46155=DIRECTION('ref_axis',(0.,-1.,0.)); #46156=DIRECTION('',(0.,-1.,0.)); #46157=DIRECTION('',(1.,0.,0.)); #46158=DIRECTION('center_axis',(1.,0.,0.)); #46159=DIRECTION('ref_axis',(0.,0.,-1.)); #46160=DIRECTION('center_axis',(1.,0.,0.)); #46161=DIRECTION('ref_axis',(0.,0.,-1.)); #46162=DIRECTION('',(1.,0.,0.)); #46163=DIRECTION('center_axis',(0.,0.,-1.)); #46164=DIRECTION('ref_axis',(0.,1.,0.)); #46165=DIRECTION('',(0.,1.,0.)); #46166=DIRECTION('',(1.,0.,0.)); #46167=DIRECTION('center_axis',(1.,0.,0.)); #46168=DIRECTION('ref_axis',(0.,-7.64917438225993E-16,1.)); #46169=DIRECTION('center_axis',(-1.,0.,0.)); #46170=DIRECTION('ref_axis',(0.,-7.64917438225993E-16,1.)); #46171=DIRECTION('',(1.,0.,0.)); #46172=DIRECTION('center_axis',(0.,-1.,0.)); #46173=DIRECTION('ref_axis',(0.,0.,-1.)); #46174=DIRECTION('',(1.,0.,0.)); #46175=DIRECTION('',(0.,0.,-1.)); #46176=DIRECTION('',(1.,0.,0.)); #46177=DIRECTION('center_axis',(1.,0.,0.)); #46178=DIRECTION('ref_axis',(0.,-0.980580675690974,0.196116135137916)); #46179=DIRECTION('center_axis',(1.,0.,0.)); #46180=DIRECTION('ref_axis',(0.,-0.980580675690974,0.196116135137916)); #46181=DIRECTION('',(1.,0.,0.)); #46182=DIRECTION('center_axis',(0.,-0.98058067569092,0.196116135138187)); #46183=DIRECTION('ref_axis',(0.,-0.196116135138187,-0.98058067569092)); #46184=DIRECTION('',(0.,-0.196116135138187,-0.98058067569092)); #46185=DIRECTION('',(1.,0.,0.)); #46186=DIRECTION('center_axis',(1.,0.,0.)); #46187=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #46188=DIRECTION('center_axis',(1.,0.,0.)); #46189=DIRECTION('ref_axis',(0.,2.77555756156306E-14,1.)); #46190=DIRECTION('center_axis',(1.,0.,0.)); #46191=DIRECTION('ref_axis',(0.,1.,0.)); #46192=DIRECTION('',(0.,-1.,0.)); #46193=DIRECTION('',(0.,0.,1.)); #46194=DIRECTION('',(0.,1.,0.)); #46195=DIRECTION('',(0.,0.,-1.)); #46196=DIRECTION('center_axis',(0.,0.,1.)); #46197=DIRECTION('ref_axis',(1.,0.,0.)); #46198=DIRECTION('',(-1.,0.,0.)); #46199=DIRECTION('center_axis',(0.,0.,1.)); #46200=DIRECTION('ref_axis',(0.,1.,0.)); #46201=DIRECTION('',(0.,-1.,0.)); #46202=DIRECTION('center_axis',(0.,0.,1.)); #46203=DIRECTION('ref_axis',(-1.,0.,0.)); #46204=DIRECTION('',(0.64018439966448,-0.768221279597376,0.)); #46205=DIRECTION('center_axis',(0.,0.,1.)); #46206=DIRECTION('ref_axis',(-0.768221279597375,-0.640184399664481,0.)); #46207=DIRECTION('',(1.,0.,0.)); #46208=DIRECTION('center_axis',(0.,0.,-1.)); #46209=DIRECTION('ref_axis',(1.,0.,0.)); #46210=DIRECTION('center_axis',(0.,0.,-1.)); #46211=DIRECTION('ref_axis',(1.,0.,0.)); #46212=DIRECTION('center_axis',(0.,0.,-1.)); #46213=DIRECTION('ref_axis',(1.,0.,0.)); #46214=DIRECTION('center_axis',(0.,0.,-1.)); #46215=DIRECTION('ref_axis',(1.,0.,0.)); #46216=DIRECTION('center_axis',(0.,-1.,0.)); #46217=DIRECTION('ref_axis',(1.,0.,0.)); #46218=DIRECTION('',(0.,0.,1.)); #46219=DIRECTION('',(-1.,0.,0.)); #46220=DIRECTION('center_axis',(0.,-1.,0.)); #46221=DIRECTION('ref_axis',(1.,0.,0.)); #46222=DIRECTION('',(-1.,0.,0.)); #46223=DIRECTION('',(0.,0.,1.)); #46224=DIRECTION('',(1.,0.,0.)); #46225=DIRECTION('center_axis',(0.,0.,1.)); #46226=DIRECTION('ref_axis',(1.,0.,0.)); #46227=DIRECTION('center_axis',(0.,0.,-1.)); #46228=DIRECTION('ref_axis',(1.,0.,0.)); #46229=DIRECTION('',(0.,0.,-1.)); #46230=DIRECTION('center_axis',(0.,0.,-1.)); #46231=DIRECTION('ref_axis',(1.,0.,0.)); #46232=DIRECTION('center_axis',(0.,0.,1.)); #46233=DIRECTION('ref_axis',(1.,0.,0.)); #46234=DIRECTION('center_axis',(0.,0.,-1.)); #46235=DIRECTION('ref_axis',(1.,0.,0.)); #46236=DIRECTION('',(0.,0.,-1.)); #46237=DIRECTION('center_axis',(0.,0.,-1.)); #46238=DIRECTION('ref_axis',(1.,0.,0.)); #46239=DIRECTION('center_axis',(0.,0.,1.)); #46240=DIRECTION('ref_axis',(1.,0.,0.)); #46241=DIRECTION('',(0.,0.,-1.)); #46242=DIRECTION('center_axis',(0.,0.,-1.)); #46243=DIRECTION('ref_axis',(1.,0.,0.)); #46244=DIRECTION('center_axis',(0.,0.,1.)); #46245=DIRECTION('ref_axis',(1.,0.,0.)); #46246=DIRECTION('center_axis',(0.,0.,-1.)); #46247=DIRECTION('ref_axis',(1.,0.,0.)); #46248=DIRECTION('',(0.,0.,-1.)); #46249=DIRECTION('center_axis',(0.,0.,-1.)); #46250=DIRECTION('ref_axis',(1.,0.,0.)); #46251=DIRECTION('center_axis',(0.,0.,1.)); #46252=DIRECTION('ref_axis',(1.,0.,0.)); #46253=DIRECTION('',(0.,0.,-1.)); #46254=DIRECTION('center_axis',(0.,0.,-1.)); #46255=DIRECTION('ref_axis',(1.,0.,0.)); #46256=DIRECTION('center_axis',(0.,0.,1.)); #46257=DIRECTION('ref_axis',(1.,0.,0.)); #46258=DIRECTION('center_axis',(0.,0.,-1.)); #46259=DIRECTION('ref_axis',(1.,0.,0.)); #46260=DIRECTION('',(0.,0.,-1.)); #46261=DIRECTION('center_axis',(0.,0.,-1.)); #46262=DIRECTION('ref_axis',(1.,0.,0.)); #46263=DIRECTION('center_axis',(0.,0.,1.)); #46264=DIRECTION('ref_axis',(1.,0.,0.)); #46265=DIRECTION('',(0.,0.,-1.)); #46266=DIRECTION('center_axis',(0.,0.,-1.)); #46267=DIRECTION('ref_axis',(1.,0.,0.)); #46268=DIRECTION('center_axis',(0.,0.,1.)); #46269=DIRECTION('ref_axis',(1.,0.,0.)); #46270=DIRECTION('',(0.,0.,-1.)); #46271=DIRECTION('center_axis',(0.,0.,-1.)); #46272=DIRECTION('ref_axis',(1.,0.,0.)); #46273=DIRECTION('center_axis',(-1.,0.,0.)); #46274=DIRECTION('ref_axis',(0.,-1.,0.)); #46275=DIRECTION('',(0.,1.,0.)); #46276=DIRECTION('',(0.,0.,1.)); #46277=DIRECTION('',(0.,0.,1.)); #46278=DIRECTION('center_axis',(0.,0.,1.)); #46279=DIRECTION('ref_axis',(0.,1.,0.)); #46280=DIRECTION('center_axis',(0.,0.,1.)); #46281=DIRECTION('ref_axis',(0.,1.,0.)); #46282=DIRECTION('',(0.,0.,1.)); #46283=DIRECTION('center_axis',(0.,1.,0.)); #46284=DIRECTION('ref_axis',(-1.,0.,0.)); #46285=DIRECTION('',(-1.,0.,0.)); #46286=DIRECTION('',(0.,0.,1.)); #46287=DIRECTION('',(1.,0.,0.)); #46288=DIRECTION('center_axis',(0.,0.,1.)); #46289=DIRECTION('ref_axis',(1.,0.,0.)); #46290=DIRECTION('center_axis',(0.,0.,1.)); #46291=DIRECTION('ref_axis',(1.,0.,0.)); #46292=DIRECTION('center_axis',(0.,0.,1.)); #46293=DIRECTION('ref_axis',(1.,0.,0.)); #46294=DIRECTION('',(0.,0.,1.)); #46295=DIRECTION('center_axis',(1.,0.,0.)); #46296=DIRECTION('ref_axis',(0.,1.,0.)); #46297=DIRECTION('',(0.,-1.,0.)); #46298=DIRECTION('',(0.,1.,0.)); #46299=DIRECTION('',(0.,0.,1.)); #46300=DIRECTION('center_axis',(0.,0.,1.)); #46301=DIRECTION('ref_axis',(0.768221279597376,-0.64018439966448,0.)); #46302=DIRECTION('center_axis',(0.,0.,1.)); #46303=DIRECTION('ref_axis',(0.768221279597376,-0.64018439966448,0.)); #46304=DIRECTION('center_axis',(0.,0.,1.)); #46305=DIRECTION('ref_axis',(0.768221279597376,-0.64018439966448,0.)); #46306=DIRECTION('',(0.,0.,1.)); #46307=DIRECTION('center_axis',(0.768221279597376,-0.64018439966448,0.)); #46308=DIRECTION('ref_axis',(0.64018439966448,0.768221279597376,0.)); #46309=DIRECTION('',(-0.64018439966448,-0.768221279597376,0.)); #46310=DIRECTION('',(0.64018439966448,0.768221279597376,0.)); #46311=DIRECTION('',(0.,0.,1.)); #46312=DIRECTION('center_axis',(0.,0.,1.)); #46313=DIRECTION('ref_axis',(1.3987061727561E-15,-1.,0.)); #46314=DIRECTION('center_axis',(0.,0.,1.)); #46315=DIRECTION('ref_axis',(1.3987061727561E-15,-1.,0.)); #46316=DIRECTION('center_axis',(0.,0.,1.)); #46317=DIRECTION('ref_axis',(1.3987061727561E-15,-1.,0.)); #46318=DIRECTION('center_axis',(0.,0.,1.)); #46319=DIRECTION('ref_axis',(-0.768221279597375,-0.640184399664481,0.)); #46320=DIRECTION('center_axis',(0.,0.,1.)); #46321=DIRECTION('ref_axis',(-0.768221279597375,-0.640184399664481,0.)); #46322=DIRECTION('',(0.,0.,1.)); #46323=DIRECTION('center_axis',(-0.768221279597376,-0.64018439966448,0.)); #46324=DIRECTION('ref_axis',(0.64018439966448,-0.768221279597376,0.)); #46325=DIRECTION('',(0.64018439966448,-0.768221279597376,0.)); #46326=DIRECTION('',(0.,0.,1.)); #46327=DIRECTION('center_axis',(0.,0.,1.)); #46328=DIRECTION('ref_axis',(-1.,0.,0.)); #46329=DIRECTION('center_axis',(0.,0.,1.)); #46330=DIRECTION('ref_axis',(-1.,0.,0.)); #46331=DIRECTION('center_axis',(0.,0.,1.)); #46332=DIRECTION('ref_axis',(1.,0.,0.)); #46333=DIRECTION('center_axis',(0.,0.,1.)); #46334=DIRECTION('ref_axis',(1.,0.,0.)); #46335=DIRECTION('axis',(0.,0.,1.)); #46336=DIRECTION('refdir',(1.,0.,0.)); #46337=DIRECTION('axis',(0.,0.,1.)); #46338=DIRECTION('refdir',(1.,0.,0.)); #46339=DIRECTION('center_axis',(1.,0.,0.)); #46340=DIRECTION('ref_axis',(0.,1.,0.)); #46341=DIRECTION('center_axis',(-1.,0.,0.)); #46342=DIRECTION('ref_axis',(0.,1.,0.)); #46343=DIRECTION('',(-1.,0.,0.)); #46344=DIRECTION('center_axis',(-1.,0.,0.)); #46345=DIRECTION('ref_axis',(0.,1.,0.)); #46346=DIRECTION('center_axis',(0.,-1.,0.)); #46347=DIRECTION('ref_axis',(0.,0.,-1.)); #46348=DIRECTION('',(0.,0.,1.)); #46349=DIRECTION('',(1.,0.,0.)); #46350=DIRECTION('',(0.,0.,-1.)); #46351=DIRECTION('',(1.,0.,0.)); #46352=DIRECTION('center_axis',(0.,0.,-1.)); #46353=DIRECTION('ref_axis',(0.,1.,0.)); #46354=DIRECTION('',(0.,-1.,0.)); #46355=DIRECTION('',(0.,1.,0.)); #46356=DIRECTION('',(1.,0.,0.)); #46357=DIRECTION('center_axis',(0.,-1.,0.)); #46358=DIRECTION('ref_axis',(0.,0.,-1.)); #46359=DIRECTION('',(0.,0.,1.)); #46360=DIRECTION('',(0.,0.,-1.)); #46361=DIRECTION('',(1.,0.,0.)); #46362=DIRECTION('center_axis',(0.,0.,1.)); #46363=DIRECTION('ref_axis',(0.,-1.,0.)); #46364=DIRECTION('',(0.,1.,0.)); #46365=DIRECTION('',(0.,-1.,0.)); #46366=DIRECTION('',(1.,0.,0.)); #46367=DIRECTION('center_axis',(0.,-1.,0.)); #46368=DIRECTION('ref_axis',(0.,0.,-1.)); #46369=DIRECTION('',(0.,0.,1.)); #46370=DIRECTION('',(0.,0.,-1.)); #46371=DIRECTION('',(1.,0.,0.)); #46372=DIRECTION('center_axis',(0.,0.,1.)); #46373=DIRECTION('ref_axis',(0.,-1.,0.)); #46374=DIRECTION('',(0.,1.,0.)); #46375=DIRECTION('',(0.,-1.,0.)); #46376=DIRECTION('',(1.,0.,0.)); #46377=DIRECTION('center_axis',(0.,1.,0.)); #46378=DIRECTION('ref_axis',(0.,0.,1.)); #46379=DIRECTION('',(0.,0.,-1.)); #46380=DIRECTION('',(0.,0.,1.)); #46381=DIRECTION('',(1.,0.,0.)); #46382=DIRECTION('center_axis',(0.,-1.35166444411962E-7,0.999999999999991)); #46383=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.35166444411962E-7)); #46384=DIRECTION('',(0.,0.999999999999991,1.35166444411962E-7)); #46385=DIRECTION('',(0.,-0.999999999999991,-1.35166444411962E-7)); #46386=DIRECTION('',(1.,0.,0.)); #46387=DIRECTION('center_axis',(0.,1.,0.)); #46388=DIRECTION('ref_axis',(0.,0.,1.)); #46389=DIRECTION('',(0.,0.,1.)); #46390=DIRECTION('',(0.,0.,1.)); #46391=DIRECTION('',(1.,0.,0.)); #46392=DIRECTION('center_axis',(0.,0.,-1.)); #46393=DIRECTION('ref_axis',(0.,1.,0.)); #46394=DIRECTION('',(0.,1.,0.)); #46395=DIRECTION('',(0.,1.,0.)); #46396=DIRECTION('',(1.,0.,0.)); #46397=DIRECTION('center_axis',(0.,1.,-1.3987061727561E-15)); #46398=DIRECTION('ref_axis',(0.,1.3987061727561E-15,1.)); #46399=DIRECTION('',(0.,1.3987061727561E-15,1.)); #46400=DIRECTION('',(0.,1.3987061727561E-15,1.)); #46401=DIRECTION('',(1.,0.,0.)); #46402=DIRECTION('center_axis',(0.,0.,-1.)); #46403=DIRECTION('ref_axis',(0.,1.,0.)); #46404=DIRECTION('',(0.,1.,0.)); #46405=DIRECTION('',(0.,1.,0.)); #46406=DIRECTION('center_axis',(1.,0.,0.)); #46407=DIRECTION('ref_axis',(0.,1.,0.)); #46408=DIRECTION('center_axis',(1.,0.,0.)); #46409=DIRECTION('ref_axis',(0.,1.,0.)); #46410=DIRECTION('center_axis',(0.,1.6784474073069E-13,1.)); #46411=DIRECTION('ref_axis',(0.,1.,-1.6784474073069E-13)); #46412=DIRECTION('',(0.,-1.,1.6784474073069E-13)); #46413=DIRECTION('',(-1.,0.,0.)); #46414=DIRECTION('',(0.,1.,-1.6784474073069E-13)); #46415=DIRECTION('',(-1.,0.,0.)); #46416=DIRECTION('center_axis',(0.,-1.,-4.0562479009927E-14)); #46417=DIRECTION('ref_axis',(0.,-4.0562479009927E-14,1.)); #46418=DIRECTION('',(0.,4.0562479009927E-14,-1.)); #46419=DIRECTION('',(0.,-4.0562479009927E-14,1.)); #46420=DIRECTION('',(-1.,0.,0.)); #46421=DIRECTION('center_axis',(0.,-1.90224039494842E-13,-1.)); #46422=DIRECTION('ref_axis',(0.,-1.,1.90224039494842E-13)); #46423=DIRECTION('',(0.,1.,-1.90224039494842E-13)); #46424=DIRECTION('',(0.,-1.,1.90224039494842E-13)); #46425=DIRECTION('',(-1.,0.,0.)); #46426=DIRECTION('center_axis',(0.,1.,6.9935308637805E-16)); #46427=DIRECTION('ref_axis',(0.,6.9935308637805E-16,-1.)); #46428=DIRECTION('',(0.,-6.9935308637805E-16,1.)); #46429=DIRECTION('',(0.,6.9935308637805E-16,-1.)); #46430=DIRECTION('center_axis',(1.,0.,0.)); #46431=DIRECTION('ref_axis',(0.,1.,0.)); #46432=DIRECTION('center_axis',(-1.,0.,0.)); #46433=DIRECTION('ref_axis',(0.,1.,0.)); #46434=DIRECTION('',(-1.,0.,0.)); #46435=DIRECTION('center_axis',(-1.,0.,0.)); #46436=DIRECTION('ref_axis',(0.,1.,0.)); #46437=DIRECTION('center_axis',(1.,0.,0.)); #46438=DIRECTION('ref_axis',(0.,1.,0.)); #46439=DIRECTION('center_axis',(-1.,0.,0.)); #46440=DIRECTION('ref_axis',(0.,1.,0.)); #46441=DIRECTION('',(-1.,0.,0.)); #46442=DIRECTION('center_axis',(-1.,0.,0.)); #46443=DIRECTION('ref_axis',(0.,1.,0.)); #46444=DIRECTION('center_axis',(0.,1.35166443916799E-7,-0.999999999999991)); #46445=DIRECTION('ref_axis',(0.,0.999999999999991,1.35166443916799E-7)); #46446=DIRECTION('',(0.,-0.999999999999991,-1.35166443916799E-7)); #46447=DIRECTION('',(1.,0.,0.)); #46448=DIRECTION('',(0.,0.999999999999991,1.35166443916799E-7)); #46449=DIRECTION('',(1.,0.,0.)); #46450=DIRECTION('center_axis',(0.,-0.999999999999991,-1.35166443916799E-7)); #46451=DIRECTION('ref_axis',(0.,1.35166443916799E-7,-0.999999999999991)); #46452=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46453=DIRECTION('',(0.,1.35166443916799E-7,-0.999999999999991)); #46454=DIRECTION('',(1.,0.,0.)); #46455=DIRECTION('center_axis',(0.,1.35166443916799E-7,-0.999999999999991)); #46456=DIRECTION('ref_axis',(0.,0.999999999999991,1.35166443916799E-7)); #46457=DIRECTION('',(0.,-0.999999999999991,-1.35166443916799E-7)); #46458=DIRECTION('',(0.,0.999999999999991,1.35166443916799E-7)); #46459=DIRECTION('',(1.,0.,0.)); #46460=DIRECTION('center_axis',(0.,-0.999999999999991,-1.35166443916799E-7)); #46461=DIRECTION('ref_axis',(0.,1.35166443916799E-7,-0.999999999999991)); #46462=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46463=DIRECTION('',(0.,1.35166443916799E-7,-0.999999999999991)); #46464=DIRECTION('',(1.,0.,0.)); #46465=DIRECTION('center_axis',(0.,-1.35166443916801E-7,0.999999999999991)); #46466=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.35166443916801E-7)); #46467=DIRECTION('',(0.,0.999999999999991,1.35166443916801E-7)); #46468=DIRECTION('',(0.,-0.999999999999991,-1.35166443916801E-7)); #46469=DIRECTION('',(1.,0.,0.)); #46470=DIRECTION('center_axis',(0.,-0.999999999999991,-1.35166446009811E-7)); #46471=DIRECTION('ref_axis',(0.,1.35166446009811E-7,-0.999999999999991)); #46472=DIRECTION('',(0.,-1.35166446009811E-7,0.999999999999991)); #46473=DIRECTION('',(0.,1.35166446009811E-7,-0.999999999999991)); #46474=DIRECTION('',(1.,0.,0.)); #46475=DIRECTION('center_axis',(0.,-1.35166443916804E-7,0.999999999999991)); #46476=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.35166443916804E-7)); #46477=DIRECTION('',(0.,0.999999999999991,1.35166443916804E-7)); #46478=DIRECTION('',(0.,-0.999999999999991,-1.35166443916804E-7)); #46479=DIRECTION('',(1.,0.,0.)); #46480=DIRECTION('center_axis',(0.,0.999999999999991,1.35166446714215E-7)); #46481=DIRECTION('ref_axis',(0.,-1.35166446714215E-7,0.999999999999991)); #46482=DIRECTION('',(0.,1.35166446714215E-7,-0.999999999999991)); #46483=DIRECTION('',(0.,-1.35166446714215E-7,0.999999999999991)); #46484=DIRECTION('',(1.,0.,0.)); #46485=DIRECTION('center_axis',(0.,-1.3516644484927E-7,0.999999999999991)); #46486=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.3516644484927E-7)); #46487=DIRECTION('',(0.,0.999999999999991,1.3516644484927E-7)); #46488=DIRECTION('',(0.,-0.999999999999991,-1.3516644484927E-7)); #46489=DIRECTION('',(1.,0.,0.)); #46490=DIRECTION('center_axis',(0.,0.999999999999991,1.35166444965829E-7)); #46491=DIRECTION('ref_axis',(0.,-1.35166444965829E-7,0.999999999999991)); #46492=DIRECTION('',(0.,1.35166444965829E-7,-0.999999999999991)); #46493=DIRECTION('',(0.,-1.35166444965829E-7,0.999999999999991)); #46494=DIRECTION('',(1.,0.,0.)); #46495=DIRECTION('center_axis',(0.,-4.50554813056002E-8,-0.999999999999999)); #46496=DIRECTION('ref_axis',(0.,0.999999999999999,-4.50554813056002E-8)); #46497=DIRECTION('',(0.,-0.999999999999999,4.50554813056002E-8)); #46498=DIRECTION('',(0.,0.999999999999999,-4.50554813056002E-8)); #46499=DIRECTION('',(1.,0.,0.)); #46500=DIRECTION('center_axis',(0.,0.999999999999991,1.35166443916799E-7)); #46501=DIRECTION('ref_axis',(0.,-1.35166443916799E-7,0.999999999999991)); #46502=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46503=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46504=DIRECTION('center_axis',(1.,0.,0.)); #46505=DIRECTION('ref_axis',(0.,1.,0.)); #46506=DIRECTION('center_axis',(1.,0.,0.)); #46507=DIRECTION('ref_axis',(0.,1.,0.)); #46508=DIRECTION('center_axis',(1.,0.,0.)); #46509=DIRECTION('ref_axis',(0.,1.,0.)); #46510=DIRECTION('center_axis',(-1.,0.,0.)); #46511=DIRECTION('ref_axis',(0.,1.,0.)); #46512=DIRECTION('',(1.,0.,0.)); #46513=DIRECTION('center_axis',(-1.,0.,0.)); #46514=DIRECTION('ref_axis',(0.,1.,0.)); #46515=DIRECTION('center_axis',(0.,1.,0.)); #46516=DIRECTION('ref_axis',(0.,0.,-1.)); #46517=DIRECTION('',(0.,0.,1.)); #46518=DIRECTION('',(-1.,0.,0.)); #46519=DIRECTION('',(0.,0.,-1.)); #46520=DIRECTION('',(-1.,0.,0.)); #46521=DIRECTION('center_axis',(0.,0.,1.)); #46522=DIRECTION('ref_axis',(0.,1.,0.)); #46523=DIRECTION('',(0.,-1.,0.)); #46524=DIRECTION('',(-1.,0.,0.)); #46525=DIRECTION('',(0.,1.,0.)); #46526=DIRECTION('center_axis',(0.,1.,0.)); #46527=DIRECTION('ref_axis',(0.,0.,-1.)); #46528=DIRECTION('',(0.,0.,1.)); #46529=DIRECTION('',(-1.,0.,0.)); #46530=DIRECTION('',(0.,0.,-1.)); #46531=DIRECTION('center_axis',(0.,0.,-1.)); #46532=DIRECTION('ref_axis',(0.,-1.,0.)); #46533=DIRECTION('',(0.,1.,0.)); #46534=DIRECTION('',(-1.,0.,0.)); #46535=DIRECTION('',(0.,-1.,0.)); #46536=DIRECTION('center_axis',(0.,1.,0.)); #46537=DIRECTION('ref_axis',(0.,0.,-1.)); #46538=DIRECTION('',(0.,0.,1.)); #46539=DIRECTION('',(-1.,0.,0.)); #46540=DIRECTION('',(0.,0.,-1.)); #46541=DIRECTION('center_axis',(0.,0.,-1.)); #46542=DIRECTION('ref_axis',(0.,-1.,0.)); #46543=DIRECTION('',(0.,1.,0.)); #46544=DIRECTION('',(-1.,0.,0.)); #46545=DIRECTION('',(0.,-1.,0.)); #46546=DIRECTION('center_axis',(0.,-1.,0.)); #46547=DIRECTION('ref_axis',(0.,0.,1.)); #46548=DIRECTION('',(0.,0.,-1.)); #46549=DIRECTION('',(-1.,0.,0.)); #46550=DIRECTION('',(0.,0.,1.)); #46551=DIRECTION('center_axis',(0.,1.35166444411962E-7,-0.999999999999991)); #46552=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.35166444411962E-7)); #46553=DIRECTION('',(0.,0.999999999999991,1.35166444411962E-7)); #46554=DIRECTION('',(-1.,0.,0.)); #46555=DIRECTION('',(0.,-0.999999999999991,-1.35166444411962E-7)); #46556=DIRECTION('center_axis',(0.,-1.,0.)); #46557=DIRECTION('ref_axis',(0.,0.,1.)); #46558=DIRECTION('',(0.,0.,1.)); #46559=DIRECTION('',(-1.,0.,0.)); #46560=DIRECTION('',(0.,0.,1.)); #46561=DIRECTION('center_axis',(0.,0.,1.)); #46562=DIRECTION('ref_axis',(0.,1.,0.)); #46563=DIRECTION('',(0.,1.,0.)); #46564=DIRECTION('',(-1.,0.,0.)); #46565=DIRECTION('',(0.,1.,0.)); #46566=DIRECTION('center_axis',(0.,-1.,1.3987061727561E-15)); #46567=DIRECTION('ref_axis',(0.,1.3987061727561E-15,1.)); #46568=DIRECTION('',(0.,1.3987061727561E-15,1.)); #46569=DIRECTION('',(-1.,0.,0.)); #46570=DIRECTION('',(0.,1.3987061727561E-15,1.)); #46571=DIRECTION('center_axis',(0.,0.,1.)); #46572=DIRECTION('ref_axis',(0.,1.,0.)); #46573=DIRECTION('',(0.,1.,0.)); #46574=DIRECTION('',(0.,1.,0.)); #46575=DIRECTION('center_axis',(1.,0.,0.)); #46576=DIRECTION('ref_axis',(0.,1.,0.)); #46577=DIRECTION('center_axis',(1.,0.,0.)); #46578=DIRECTION('ref_axis',(0.,1.,0.)); #46579=DIRECTION('center_axis',(0.,1.6784474073069E-13,1.)); #46580=DIRECTION('ref_axis',(0.,1.,-1.6784474073069E-13)); #46581=DIRECTION('',(0.,1.,-1.6784474073069E-13)); #46582=DIRECTION('',(-1.,0.,0.)); #46583=DIRECTION('',(0.,-1.,1.6784474073069E-13)); #46584=DIRECTION('',(-1.,0.,0.)); #46585=DIRECTION('center_axis',(0.,1.,6.9935308637805E-16)); #46586=DIRECTION('ref_axis',(0.,6.9935308637805E-16,-1.)); #46587=DIRECTION('',(0.,6.9935308637805E-16,-1.)); #46588=DIRECTION('',(0.,-6.9935308637805E-16,1.)); #46589=DIRECTION('',(-1.,0.,0.)); #46590=DIRECTION('center_axis',(0.,-1.90224039494842E-13,-1.)); #46591=DIRECTION('ref_axis',(0.,-1.,1.90224039494842E-13)); #46592=DIRECTION('',(0.,-1.,1.90224039494842E-13)); #46593=DIRECTION('',(0.,1.,-1.90224039494842E-13)); #46594=DIRECTION('',(-1.,0.,0.)); #46595=DIRECTION('center_axis',(0.,-1.,-4.0562479009927E-14)); #46596=DIRECTION('ref_axis',(0.,-4.0562479009927E-14,1.)); #46597=DIRECTION('',(0.,-4.0562479009927E-14,1.)); #46598=DIRECTION('',(0.,4.0562479009927E-14,-1.)); #46599=DIRECTION('center_axis',(1.,0.,0.)); #46600=DIRECTION('ref_axis',(0.,1.,0.)); #46601=DIRECTION('center_axis',(-1.,0.,0.)); #46602=DIRECTION('ref_axis',(0.,1.,0.)); #46603=DIRECTION('',(1.,0.,0.)); #46604=DIRECTION('center_axis',(-1.,0.,0.)); #46605=DIRECTION('ref_axis',(0.,1.,0.)); #46606=DIRECTION('center_axis',(1.,0.,0.)); #46607=DIRECTION('ref_axis',(0.,1.,0.)); #46608=DIRECTION('center_axis',(-1.,0.,0.)); #46609=DIRECTION('ref_axis',(0.,1.,0.)); #46610=DIRECTION('',(1.,0.,0.)); #46611=DIRECTION('center_axis',(-1.,0.,0.)); #46612=DIRECTION('ref_axis',(0.,1.,0.)); #46613=DIRECTION('center_axis',(0.,-1.35166443916799E-7,0.999999999999991)); #46614=DIRECTION('ref_axis',(0.,0.999999999999991,1.35166443916799E-7)); #46615=DIRECTION('',(0.,-0.999999999999991,-1.35166443916799E-7)); #46616=DIRECTION('',(-1.,0.,0.)); #46617=DIRECTION('',(0.,0.999999999999991,1.35166443916799E-7)); #46618=DIRECTION('',(-1.,0.,0.)); #46619=DIRECTION('center_axis',(0.,0.999999999999991,1.35166443916799E-7)); #46620=DIRECTION('ref_axis',(0.,1.35166443916799E-7,-0.999999999999991)); #46621=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46622=DIRECTION('',(-1.,0.,0.)); #46623=DIRECTION('',(0.,1.35166443916799E-7,-0.999999999999991)); #46624=DIRECTION('center_axis',(0.,-1.35166443916799E-7,0.999999999999991)); #46625=DIRECTION('ref_axis',(0.,0.999999999999991,1.35166443916799E-7)); #46626=DIRECTION('',(0.,-0.999999999999991,-1.35166443916799E-7)); #46627=DIRECTION('',(-1.,0.,0.)); #46628=DIRECTION('',(0.,0.999999999999991,1.35166443916799E-7)); #46629=DIRECTION('center_axis',(0.,0.999999999999991,1.35166443916799E-7)); #46630=DIRECTION('ref_axis',(0.,1.35166443916799E-7,-0.999999999999991)); #46631=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46632=DIRECTION('',(-1.,0.,0.)); #46633=DIRECTION('',(0.,1.35166443916799E-7,-0.999999999999991)); #46634=DIRECTION('center_axis',(0.,1.35166443916801E-7,-0.999999999999991)); #46635=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.35166443916801E-7)); #46636=DIRECTION('',(0.,0.999999999999991,1.35166443916801E-7)); #46637=DIRECTION('',(-1.,0.,0.)); #46638=DIRECTION('',(0.,-0.999999999999991,-1.35166443916801E-7)); #46639=DIRECTION('center_axis',(0.,0.999999999999991,1.35166446009811E-7)); #46640=DIRECTION('ref_axis',(0.,1.35166446009811E-7,-0.999999999999991)); #46641=DIRECTION('',(0.,-1.35166446009811E-7,0.999999999999991)); #46642=DIRECTION('',(-1.,0.,0.)); #46643=DIRECTION('',(0.,1.35166446009811E-7,-0.999999999999991)); #46644=DIRECTION('center_axis',(0.,1.35166443916804E-7,-0.999999999999991)); #46645=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.35166443916804E-7)); #46646=DIRECTION('',(0.,0.999999999999991,1.35166443916804E-7)); #46647=DIRECTION('',(-1.,0.,0.)); #46648=DIRECTION('',(0.,-0.999999999999991,-1.35166443916804E-7)); #46649=DIRECTION('center_axis',(0.,-0.999999999999991,-1.35166446714215E-7)); #46650=DIRECTION('ref_axis',(0.,-1.35166446714215E-7,0.999999999999991)); #46651=DIRECTION('',(0.,1.35166446714215E-7,-0.999999999999991)); #46652=DIRECTION('',(-1.,0.,0.)); #46653=DIRECTION('',(0.,-1.35166446714215E-7,0.999999999999991)); #46654=DIRECTION('center_axis',(0.,1.3516644484927E-7,-0.999999999999991)); #46655=DIRECTION('ref_axis',(0.,-0.999999999999991,-1.3516644484927E-7)); #46656=DIRECTION('',(0.,0.999999999999991,1.3516644484927E-7)); #46657=DIRECTION('',(-1.,0.,0.)); #46658=DIRECTION('',(0.,-0.999999999999991,-1.3516644484927E-7)); #46659=DIRECTION('center_axis',(0.,-0.999999999999991,-1.35166444965829E-7)); #46660=DIRECTION('ref_axis',(0.,-1.35166444965829E-7,0.999999999999991)); #46661=DIRECTION('',(0.,1.35166444965829E-7,-0.999999999999991)); #46662=DIRECTION('',(-1.,0.,0.)); #46663=DIRECTION('',(0.,-1.35166444965829E-7,0.999999999999991)); #46664=DIRECTION('center_axis',(0.,4.50554813056002E-8,0.999999999999999)); #46665=DIRECTION('ref_axis',(0.,0.999999999999999,-4.50554813056002E-8)); #46666=DIRECTION('',(0.,-0.999999999999999,4.50554813056002E-8)); #46667=DIRECTION('',(-1.,0.,0.)); #46668=DIRECTION('',(0.,0.999999999999999,-4.50554813056002E-8)); #46669=DIRECTION('center_axis',(0.,-0.999999999999991,-1.35166443916799E-7)); #46670=DIRECTION('ref_axis',(0.,-1.35166443916799E-7,0.999999999999991)); #46671=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46672=DIRECTION('',(0.,-1.35166443916799E-7,0.999999999999991)); #46673=DIRECTION('center_axis',(1.,0.,0.)); #46674=DIRECTION('ref_axis',(0.,1.,0.)); #46675=DIRECTION('center_axis',(1.,0.,0.)); #46676=DIRECTION('ref_axis',(0.,1.,0.)); #46677=DIRECTION('center_axis',(0.,0.999999999999998,-6.75832443376985E-8)); #46678=DIRECTION('ref_axis',(-1.,0.,0.)); #46679=DIRECTION('center_axis',(0.,-0.999999999999998,6.75832401415799E-8)); #46680=DIRECTION('ref_axis',(-1.,0.,0.)); #46681=DIRECTION('',(0.,-0.999999999999998,6.75832443376985E-8)); #46682=DIRECTION('center_axis',(0.,-0.999999999999998,6.75832401415799E-8)); #46683=DIRECTION('ref_axis',(-1.,0.,0.)); #46684=DIRECTION('center_axis',(1.,0.,0.)); #46685=DIRECTION('ref_axis',(0.,-6.75832401415799E-8,-0.999999999999998)); #46686=DIRECTION('',(0.,-6.75832401415799E-8,-0.999999999999998)); #46687=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46688=DIRECTION('',(0.,-6.75832401415799E-8,-0.999999999999998)); #46689=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46690=DIRECTION('center_axis',(0.,-6.75832443376985E-8,-0.999999999999998)); #46691=DIRECTION('ref_axis',(-1.,0.,0.)); #46692=DIRECTION('',(-1.,0.,0.)); #46693=DIRECTION('',(-1.,0.,0.)); #46694=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46695=DIRECTION('center_axis',(-1.,0.,0.)); #46696=DIRECTION('ref_axis',(0.,6.75832401415799E-8,0.999999999999998)); #46697=DIRECTION('',(0.,6.75832401415799E-8,0.999999999999998)); #46698=DIRECTION('',(0.,6.75832401415799E-8,0.999999999999998)); #46699=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46700=DIRECTION('center_axis',(0.,6.75832443376985E-8,0.999999999999998)); #46701=DIRECTION('ref_axis',(1.,0.,0.)); #46702=DIRECTION('',(1.,0.,0.)); #46703=DIRECTION('',(1.,0.,0.)); #46704=DIRECTION('center_axis',(0.,0.999999999999998,-6.75832443376985E-8)); #46705=DIRECTION('ref_axis',(-1.,0.,0.)); #46706=DIRECTION('center_axis',(0.,-0.999999999999998,6.75832401415799E-8)); #46707=DIRECTION('ref_axis',(-1.,0.,0.)); #46708=DIRECTION('',(0.,-0.999999999999998,6.75832443376985E-8)); #46709=DIRECTION('center_axis',(0.,-0.999999999999998,6.75832401415799E-8)); #46710=DIRECTION('ref_axis',(-1.,0.,0.)); #46711=DIRECTION('center_axis',(0.,6.75832443376985E-8,0.999999999999998)); #46712=DIRECTION('ref_axis',(1.,0.,0.)); #46713=DIRECTION('',(-1.,0.,0.)); #46714=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46715=DIRECTION('',(1.,0.,0.)); #46716=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46717=DIRECTION('center_axis',(1.,0.,0.)); #46718=DIRECTION('ref_axis',(0.,-6.75832401415799E-8,-0.999999999999998)); #46719=DIRECTION('',(0.,6.75832401415799E-8,0.999999999999998)); #46720=DIRECTION('',(0.,-6.75832401415799E-8,-0.999999999999998)); #46721=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46722=DIRECTION('center_axis',(0.,6.75832443376985E-8,0.999999999999998)); #46723=DIRECTION('ref_axis',(1.,0.,0.)); #46724=DIRECTION('',(-1.,0.,0.)); #46725=DIRECTION('',(1.,0.,0.)); #46726=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46727=DIRECTION('center_axis',(-1.,0.,0.)); #46728=DIRECTION('ref_axis',(0.,6.75832401415799E-8,0.999999999999998)); #46729=DIRECTION('',(0.,-6.75832401415799E-8,-0.999999999999998)); #46730=DIRECTION('',(0.,6.75832401415799E-8,0.999999999999998)); #46731=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46732=DIRECTION('center_axis',(0.,6.75832443376985E-8,0.999999999999998)); #46733=DIRECTION('ref_axis',(1.,0.,0.)); #46734=DIRECTION('',(-1.,0.,0.)); #46735=DIRECTION('',(1.,0.,0.)); #46736=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46737=DIRECTION('center_axis',(-1.,0.,0.)); #46738=DIRECTION('ref_axis',(0.,6.75832401415799E-8,0.999999999999998)); #46739=DIRECTION('',(0.,-6.75832401415799E-8,-0.999999999999998)); #46740=DIRECTION('',(0.,6.75832401415799E-8,0.999999999999998)); #46741=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46742=DIRECTION('center_axis',(0.,-6.75832443376985E-8,-0.999999999999998)); #46743=DIRECTION('ref_axis',(-1.,0.,0.)); #46744=DIRECTION('',(-1.,0.,0.)); #46745=DIRECTION('',(-1.,0.,0.)); #46746=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46747=DIRECTION('center_axis',(-1.,-3.78116404120082E-22,-5.59482469102427E-15)); #46748=DIRECTION('ref_axis',(-5.59482469102428E-15,6.75832401415799E-8, 0.999999999999998)); #46749=DIRECTION('',(-5.59482469102428E-15,6.75832401415799E-8,0.999999999999998)); #46750=DIRECTION('',(-5.59482469102428E-15,6.75832401415799E-8,0.999999999999998)); #46751=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46752=DIRECTION('center_axis',(6.71378979055889E-15,-6.75832443376985E-8, -0.999999999999998)); #46753=DIRECTION('ref_axis',(-1.,-4.53739667675429E-22,-6.71378979055888E-15)); #46754=DIRECTION('',(-1.,-4.53739667675429E-22,-6.71378979055888E-15)); #46755=DIRECTION('',(-1.,-4.53739667675429E-22,-6.71378979055888E-15)); #46756=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46757=DIRECTION('center_axis',(1.,3.78116404120091E-22,5.5948246910244E-15)); #46758=DIRECTION('ref_axis',(5.59482469102441E-15,-6.75832401415799E-8, -0.999999999999998)); #46759=DIRECTION('',(5.59482469102441E-15,-6.75832401415799E-8,-0.999999999999998)); #46760=DIRECTION('',(5.59482469102441E-15,-6.75832401415799E-8,-0.999999999999998)); #46761=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46762=DIRECTION('center_axis',(5.5948246910244E-15,-6.75832443376985E-8, -0.999999999999998)); #46763=DIRECTION('ref_axis',(-1.,-3.78116380643543E-22,-5.59482469102439E-15)); #46764=DIRECTION('',(-1.,-3.78116380643543E-22,-5.59482469102439E-15)); #46765=DIRECTION('',(-1.,-3.78116380643543E-22,-5.59482469102439E-15)); #46766=DIRECTION('',(0.,0.999999999999998,-6.75832443376985E-8)); #46767=DIRECTION('center_axis',(1.,0.,0.)); #46768=DIRECTION('ref_axis',(0.,-6.75832401415799E-8,-0.999999999999998)); #46769=DIRECTION('',(0.,-6.75832401415799E-8,-0.999999999999998)); #46770=DIRECTION('',(0.,-6.75832401415799E-8,-0.999999999999998)); #46771=DIRECTION('center_axis',(0.,0.999999999999998,-6.75832401415799E-8)); #46772=DIRECTION('ref_axis',(-1.,0.,0.)); #46773=DIRECTION('center_axis',(0.,0.999999999999998,-6.75832401415799E-8)); #46774=DIRECTION('ref_axis',(-1.,0.,0.)); #46775=DIRECTION('center_axis',(-1.,0.,0.)); #46776=DIRECTION('ref_axis',(0.,-1.,0.)); #46777=DIRECTION('center_axis',(1.,0.,0.)); #46778=DIRECTION('ref_axis',(0.,-1.,0.)); #46779=DIRECTION('',(1.,0.,0.)); #46780=DIRECTION('center_axis',(1.,0.,0.)); #46781=DIRECTION('ref_axis',(0.,-1.,0.)); #46782=DIRECTION('center_axis',(-1.,0.,0.)); #46783=DIRECTION('ref_axis',(0.,-1.,0.)); #46784=DIRECTION('center_axis',(1.,0.,0.)); #46785=DIRECTION('ref_axis',(0.,-1.,0.)); #46786=DIRECTION('',(1.,0.,0.)); #46787=DIRECTION('center_axis',(1.,0.,0.)); #46788=DIRECTION('ref_axis',(0.,-1.,0.)); #46789=DIRECTION('center_axis',(-1.,0.,0.)); #46790=DIRECTION('ref_axis',(0.,-1.,0.)); #46791=DIRECTION('center_axis',(1.,0.,0.)); #46792=DIRECTION('ref_axis',(0.,-1.,0.)); #46793=DIRECTION('',(1.,0.,0.)); #46794=DIRECTION('center_axis',(1.,0.,0.)); #46795=DIRECTION('ref_axis',(0.,-1.,0.)); #46796=DIRECTION('center_axis',(-1.,0.,0.)); #46797=DIRECTION('ref_axis',(0.,-1.,0.)); #46798=DIRECTION('center_axis',(1.,0.,0.)); #46799=DIRECTION('ref_axis',(0.,-1.,0.)); #46800=DIRECTION('',(1.,0.,0.)); #46801=DIRECTION('center_axis',(1.,0.,0.)); #46802=DIRECTION('ref_axis',(0.,-1.,0.)); #46803=DIRECTION('center_axis',(-1.,0.,0.)); #46804=DIRECTION('ref_axis',(0.,-1.,0.)); #46805=DIRECTION('center_axis',(1.,0.,0.)); #46806=DIRECTION('ref_axis',(0.,-1.,0.)); #46807=DIRECTION('',(1.,0.,0.)); #46808=DIRECTION('center_axis',(1.,0.,0.)); #46809=DIRECTION('ref_axis',(0.,-1.,0.)); #46810=DIRECTION('center_axis',(-1.,0.,0.)); #46811=DIRECTION('ref_axis',(0.,-1.,0.)); #46812=DIRECTION('center_axis',(1.,0.,0.)); #46813=DIRECTION('ref_axis',(0.,-1.,0.)); #46814=DIRECTION('',(1.,0.,0.)); #46815=DIRECTION('center_axis',(1.,0.,0.)); #46816=DIRECTION('ref_axis',(0.,-1.,0.)); #46817=DIRECTION('center_axis',(-1.,0.,0.)); #46818=DIRECTION('ref_axis',(0.,-1.,0.)); #46819=DIRECTION('center_axis',(1.,0.,0.)); #46820=DIRECTION('ref_axis',(0.,-1.,0.)); #46821=DIRECTION('',(1.,0.,0.)); #46822=DIRECTION('center_axis',(1.,0.,0.)); #46823=DIRECTION('ref_axis',(0.,-1.,0.)); #46824=DIRECTION('center_axis',(-1.,0.,0.)); #46825=DIRECTION('ref_axis',(0.,-1.,0.)); #46826=DIRECTION('center_axis',(1.,0.,0.)); #46827=DIRECTION('ref_axis',(0.,-1.,0.)); #46828=DIRECTION('',(1.,0.,0.)); #46829=DIRECTION('center_axis',(1.,0.,0.)); #46830=DIRECTION('ref_axis',(0.,-1.,0.)); #46831=DIRECTION('center_axis',(-1.,0.,0.)); #46832=DIRECTION('ref_axis',(0.,-1.,0.)); #46833=DIRECTION('center_axis',(1.,0.,0.)); #46834=DIRECTION('ref_axis',(0.,-1.,0.)); #46835=DIRECTION('',(1.,0.,0.)); #46836=DIRECTION('center_axis',(1.,0.,0.)); #46837=DIRECTION('ref_axis',(0.,-1.,0.)); #46838=DIRECTION('center_axis',(-1.,0.,0.)); #46839=DIRECTION('ref_axis',(0.,-1.,0.)); #46840=DIRECTION('center_axis',(1.,0.,0.)); #46841=DIRECTION('ref_axis',(0.,-1.,0.)); #46842=DIRECTION('',(1.,0.,0.)); #46843=DIRECTION('center_axis',(1.,0.,0.)); #46844=DIRECTION('ref_axis',(0.,-1.,0.)); #46845=DIRECTION('center_axis',(-1.,0.,0.)); #46846=DIRECTION('ref_axis',(0.,-1.,0.)); #46847=DIRECTION('center_axis',(1.,0.,0.)); #46848=DIRECTION('ref_axis',(0.,-1.,0.)); #46849=DIRECTION('',(1.,0.,0.)); #46850=DIRECTION('center_axis',(1.,0.,0.)); #46851=DIRECTION('ref_axis',(0.,-1.,0.)); #46852=DIRECTION('center_axis',(-1.,0.,0.)); #46853=DIRECTION('ref_axis',(0.,-1.,0.)); #46854=DIRECTION('center_axis',(1.,0.,0.)); #46855=DIRECTION('ref_axis',(0.,-1.,0.)); #46856=DIRECTION('',(1.,0.,0.)); #46857=DIRECTION('center_axis',(1.,0.,0.)); #46858=DIRECTION('ref_axis',(0.,-1.,0.)); #46859=DIRECTION('center_axis',(-1.,0.,0.)); #46860=DIRECTION('ref_axis',(0.,-1.,0.)); #46861=DIRECTION('center_axis',(1.,0.,0.)); #46862=DIRECTION('ref_axis',(0.,-1.,0.)); #46863=DIRECTION('',(1.,0.,0.)); #46864=DIRECTION('center_axis',(1.,0.,0.)); #46865=DIRECTION('ref_axis',(0.,-1.,0.)); #46866=DIRECTION('center_axis',(0.,-1.,0.)); #46867=DIRECTION('ref_axis',(0.,0.,1.)); #46868=DIRECTION('',(0.,0.,-1.)); #46869=DIRECTION('',(-1.,0.,0.)); #46870=DIRECTION('',(0.,0.,1.)); #46871=DIRECTION('',(-1.,0.,0.)); #46872=DIRECTION('center_axis',(0.,0.,-1.)); #46873=DIRECTION('ref_axis',(0.,-1.,0.)); #46874=DIRECTION('',(0.,-1.,0.)); #46875=DIRECTION('',(0.,-1.,0.)); #46876=DIRECTION('',(-1.,0.,0.)); #46877=DIRECTION('center_axis',(0.,1.,0.)); #46878=DIRECTION('ref_axis',(0.,0.,-1.)); #46879=DIRECTION('',(0.,0.,1.)); #46880=DIRECTION('',(0.,0.,-1.)); #46881=DIRECTION('',(-1.,0.,0.)); #46882=DIRECTION('center_axis',(0.,-8.27358035171918E-8,-0.999999999999997)); #46883=DIRECTION('ref_axis',(0.,-0.999999999999997,8.27358035171918E-8)); #46884=DIRECTION('',(0.,0.999999999999997,-8.27358035171918E-8)); #46885=DIRECTION('',(0.,-0.999999999999997,8.27358035171918E-8)); #46886=DIRECTION('',(-1.,0.,0.)); #46887=DIRECTION('center_axis',(0.,-1.,1.3987061727561E-15)); #46888=DIRECTION('ref_axis',(0.,1.3987061727561E-15,1.)); #46889=DIRECTION('',(0.,1.3987061727561E-15,1.)); #46890=DIRECTION('',(0.,1.3987061727561E-15,1.)); #46891=DIRECTION('',(-1.,0.,0.)); #46892=DIRECTION('center_axis',(0.,0.,-1.)); #46893=DIRECTION('ref_axis',(0.,-1.,0.)); #46894=DIRECTION('',(0.,-1.,0.)); #46895=DIRECTION('',(0.,-1.,0.)); #46896=DIRECTION('',(-1.,0.,0.)); #46897=DIRECTION('center_axis',(0.,1.,0.)); #46898=DIRECTION('ref_axis',(0.,0.,-1.)); #46899=DIRECTION('',(0.,0.,-1.)); #46900=DIRECTION('',(0.,0.,-1.)); #46901=DIRECTION('',(-1.,0.,0.)); #46902=DIRECTION('center_axis',(0.,0.,1.)); #46903=DIRECTION('ref_axis',(0.,1.,0.)); #46904=DIRECTION('',(0.,1.,0.)); #46905=DIRECTION('',(0.,1.,0.)); #46906=DIRECTION('',(-1.,0.,0.)); #46907=DIRECTION('center_axis',(0.,-1.,0.)); #46908=DIRECTION('ref_axis',(0.,0.,1.)); #46909=DIRECTION('',(0.,0.,1.)); #46910=DIRECTION('',(0.,0.,1.)); #46911=DIRECTION('',(-1.,0.,0.)); #46912=DIRECTION('center_axis',(0.,0.,1.)); #46913=DIRECTION('ref_axis',(0.,1.,0.)); #46914=DIRECTION('',(0.,1.,0.)); #46915=DIRECTION('',(0.,1.,0.)); #46916=DIRECTION('',(-1.,0.,0.)); #46917=DIRECTION('center_axis',(0.,1.,0.)); #46918=DIRECTION('ref_axis',(0.,0.,-1.)); #46919=DIRECTION('',(0.,0.,-1.)); #46920=DIRECTION('',(0.,0.,-1.)); #46921=DIRECTION('',(-1.,0.,0.)); #46922=DIRECTION('center_axis',(0.,0.,1.)); #46923=DIRECTION('ref_axis',(0.,1.,0.)); #46924=DIRECTION('',(0.,1.,0.)); #46925=DIRECTION('',(0.,1.,0.)); #46926=DIRECTION('',(-1.,0.,0.)); #46927=DIRECTION('center_axis',(0.,-1.,-2.79741234551221E-15)); #46928=DIRECTION('ref_axis',(0.,-2.79741234551221E-15,1.)); #46929=DIRECTION('',(0.,-2.79741234551221E-15,1.)); #46930=DIRECTION('',(0.,-2.79741234551221E-15,1.)); #46931=DIRECTION('',(-1.,0.,0.)); #46932=DIRECTION('center_axis',(0.,0.,-1.)); #46933=DIRECTION('ref_axis',(0.,-1.,0.)); #46934=DIRECTION('',(0.,-1.,0.)); #46935=DIRECTION('',(0.,-1.,0.)); #46936=DIRECTION('',(-1.,0.,0.)); #46937=DIRECTION('center_axis',(0.,1.,0.)); #46938=DIRECTION('ref_axis',(0.,0.,-1.)); #46939=DIRECTION('',(0.,0.,-1.)); #46940=DIRECTION('',(0.,0.,-1.)); #46941=DIRECTION('',(-1.,0.,0.)); #46942=DIRECTION('center_axis',(0.,0.,-1.)); #46943=DIRECTION('ref_axis',(0.,-1.,0.)); #46944=DIRECTION('',(0.,1.,0.)); #46945=DIRECTION('',(0.,-1.,0.)); #46946=DIRECTION('center_axis',(-1.,0.,0.)); #46947=DIRECTION('ref_axis',(0.,-1.,0.)); #46948=DIRECTION('center_axis',(-1.,0.,0.)); #46949=DIRECTION('ref_axis',(0.,-1.,0.)); #46950=DIRECTION('center_axis',(-1.,0.,0.)); #46951=DIRECTION('ref_axis',(0.,-1.,0.)); #46952=DIRECTION('center_axis',(1.,0.,0.)); #46953=DIRECTION('ref_axis',(0.,-1.,0.)); #46954=DIRECTION('',(-1.,0.,0.)); #46955=DIRECTION('center_axis',(1.,0.,0.)); #46956=DIRECTION('ref_axis',(0.,-1.,0.)); #46957=DIRECTION('center_axis',(-1.,0.,0.)); #46958=DIRECTION('ref_axis',(0.,-1.,0.)); #46959=DIRECTION('center_axis',(1.,0.,0.)); #46960=DIRECTION('ref_axis',(0.,-1.,0.)); #46961=DIRECTION('',(-1.,0.,0.)); #46962=DIRECTION('center_axis',(1.,0.,0.)); #46963=DIRECTION('ref_axis',(0.,-1.,0.)); #46964=DIRECTION('center_axis',(-1.,0.,0.)); #46965=DIRECTION('ref_axis',(0.,-1.,0.)); #46966=DIRECTION('center_axis',(1.,0.,0.)); #46967=DIRECTION('ref_axis',(0.,-1.,0.)); #46968=DIRECTION('',(-1.,0.,0.)); #46969=DIRECTION('center_axis',(1.,0.,0.)); #46970=DIRECTION('ref_axis',(0.,-1.,0.)); #46971=DIRECTION('center_axis',(-1.,0.,0.)); #46972=DIRECTION('ref_axis',(0.,-1.,0.)); #46973=DIRECTION('center_axis',(1.,0.,0.)); #46974=DIRECTION('ref_axis',(0.,-1.,0.)); #46975=DIRECTION('',(-1.,0.,0.)); #46976=DIRECTION('center_axis',(1.,0.,0.)); #46977=DIRECTION('ref_axis',(0.,-1.,0.)); #46978=DIRECTION('center_axis',(-1.,0.,0.)); #46979=DIRECTION('ref_axis',(0.,-1.,0.)); #46980=DIRECTION('center_axis',(1.,0.,0.)); #46981=DIRECTION('ref_axis',(0.,-1.,0.)); #46982=DIRECTION('',(-1.,0.,0.)); #46983=DIRECTION('center_axis',(1.,0.,0.)); #46984=DIRECTION('ref_axis',(0.,-1.,0.)); #46985=DIRECTION('center_axis',(-1.,0.,0.)); #46986=DIRECTION('ref_axis',(0.,-1.,0.)); #46987=DIRECTION('center_axis',(1.,0.,0.)); #46988=DIRECTION('ref_axis',(0.,-1.,0.)); #46989=DIRECTION('',(-1.,0.,0.)); #46990=DIRECTION('center_axis',(1.,0.,0.)); #46991=DIRECTION('ref_axis',(0.,-1.,0.)); #46992=DIRECTION('center_axis',(-1.,0.,0.)); #46993=DIRECTION('ref_axis',(0.,-1.,0.)); #46994=DIRECTION('center_axis',(1.,0.,0.)); #46995=DIRECTION('ref_axis',(0.,-1.,0.)); #46996=DIRECTION('',(-1.,0.,0.)); #46997=DIRECTION('center_axis',(1.,0.,0.)); #46998=DIRECTION('ref_axis',(0.,-1.,0.)); #46999=DIRECTION('center_axis',(-1.,0.,0.)); #47000=DIRECTION('ref_axis',(0.,-1.,0.)); #47001=DIRECTION('center_axis',(1.,0.,0.)); #47002=DIRECTION('ref_axis',(0.,-1.,0.)); #47003=DIRECTION('',(-1.,0.,0.)); #47004=DIRECTION('center_axis',(1.,0.,0.)); #47005=DIRECTION('ref_axis',(0.,-1.,0.)); #47006=DIRECTION('center_axis',(-1.,0.,0.)); #47007=DIRECTION('ref_axis',(0.,-1.,0.)); #47008=DIRECTION('center_axis',(1.,0.,0.)); #47009=DIRECTION('ref_axis',(0.,-1.,0.)); #47010=DIRECTION('',(-1.,0.,0.)); #47011=DIRECTION('center_axis',(1.,0.,0.)); #47012=DIRECTION('ref_axis',(0.,-1.,0.)); #47013=DIRECTION('center_axis',(-1.,0.,0.)); #47014=DIRECTION('ref_axis',(0.,-1.,0.)); #47015=DIRECTION('center_axis',(1.,0.,0.)); #47016=DIRECTION('ref_axis',(0.,-1.,0.)); #47017=DIRECTION('',(-1.,0.,0.)); #47018=DIRECTION('center_axis',(1.,0.,0.)); #47019=DIRECTION('ref_axis',(0.,-1.,0.)); #47020=DIRECTION('center_axis',(-1.,0.,0.)); #47021=DIRECTION('ref_axis',(0.,-1.,0.)); #47022=DIRECTION('center_axis',(1.,0.,0.)); #47023=DIRECTION('ref_axis',(0.,-1.,0.)); #47024=DIRECTION('',(-1.,0.,0.)); #47025=DIRECTION('center_axis',(1.,0.,0.)); #47026=DIRECTION('ref_axis',(0.,-1.,0.)); #47027=DIRECTION('center_axis',(-1.,0.,0.)); #47028=DIRECTION('ref_axis',(0.,-1.,0.)); #47029=DIRECTION('center_axis',(1.,0.,0.)); #47030=DIRECTION('ref_axis',(0.,-1.,0.)); #47031=DIRECTION('',(-1.,0.,0.)); #47032=DIRECTION('center_axis',(1.,0.,0.)); #47033=DIRECTION('ref_axis',(0.,-1.,0.)); #47034=DIRECTION('center_axis',(-1.,0.,0.)); #47035=DIRECTION('ref_axis',(0.,-1.,0.)); #47036=DIRECTION('center_axis',(1.,0.,0.)); #47037=DIRECTION('ref_axis',(0.,-1.,0.)); #47038=DIRECTION('',(-1.,0.,0.)); #47039=DIRECTION('center_axis',(1.,0.,0.)); #47040=DIRECTION('ref_axis',(0.,-1.,0.)); #47041=DIRECTION('center_axis',(0.,1.,0.)); #47042=DIRECTION('ref_axis',(0.,0.,1.)); #47043=DIRECTION('',(0.,0.,-1.)); #47044=DIRECTION('',(1.,0.,0.)); #47045=DIRECTION('',(0.,0.,1.)); #47046=DIRECTION('',(1.,0.,0.)); #47047=DIRECTION('center_axis',(0.,0.,1.)); #47048=DIRECTION('ref_axis',(0.,-1.,0.)); #47049=DIRECTION('',(0.,-1.,0.)); #47050=DIRECTION('',(1.,0.,0.)); #47051=DIRECTION('',(0.,-1.,0.)); #47052=DIRECTION('center_axis',(0.,-1.,0.)); #47053=DIRECTION('ref_axis',(0.,0.,-1.)); #47054=DIRECTION('',(0.,0.,1.)); #47055=DIRECTION('',(1.,0.,0.)); #47056=DIRECTION('',(0.,0.,-1.)); #47057=DIRECTION('center_axis',(0.,8.27358035171918E-8,0.999999999999997)); #47058=DIRECTION('ref_axis',(0.,-0.999999999999997,8.27358035171918E-8)); #47059=DIRECTION('',(0.,0.999999999999997,-8.27358035171918E-8)); #47060=DIRECTION('',(1.,0.,0.)); #47061=DIRECTION('',(0.,-0.999999999999997,8.27358035171918E-8)); #47062=DIRECTION('center_axis',(0.,1.,-1.3987061727561E-15)); #47063=DIRECTION('ref_axis',(0.,1.3987061727561E-15,1.)); #47064=DIRECTION('',(0.,1.3987061727561E-15,1.)); #47065=DIRECTION('',(1.,0.,0.)); #47066=DIRECTION('',(0.,1.3987061727561E-15,1.)); #47067=DIRECTION('center_axis',(0.,0.,1.)); #47068=DIRECTION('ref_axis',(0.,-1.,0.)); #47069=DIRECTION('',(0.,-1.,0.)); #47070=DIRECTION('',(1.,0.,0.)); #47071=DIRECTION('',(0.,-1.,0.)); #47072=DIRECTION('center_axis',(0.,-1.,0.)); #47073=DIRECTION('ref_axis',(0.,0.,-1.)); #47074=DIRECTION('',(0.,0.,-1.)); #47075=DIRECTION('',(1.,0.,0.)); #47076=DIRECTION('',(0.,0.,-1.)); #47077=DIRECTION('center_axis',(0.,0.,-1.)); #47078=DIRECTION('ref_axis',(0.,1.,0.)); #47079=DIRECTION('',(0.,1.,0.)); #47080=DIRECTION('',(1.,0.,0.)); #47081=DIRECTION('',(0.,1.,0.)); #47082=DIRECTION('center_axis',(0.,1.,0.)); #47083=DIRECTION('ref_axis',(0.,0.,1.)); #47084=DIRECTION('',(0.,0.,1.)); #47085=DIRECTION('',(1.,0.,0.)); #47086=DIRECTION('',(0.,0.,1.)); #47087=DIRECTION('center_axis',(0.,0.,-1.)); #47088=DIRECTION('ref_axis',(0.,1.,0.)); #47089=DIRECTION('',(0.,1.,0.)); #47090=DIRECTION('',(1.,0.,0.)); #47091=DIRECTION('',(0.,1.,0.)); #47092=DIRECTION('center_axis',(0.,-1.,0.)); #47093=DIRECTION('ref_axis',(0.,0.,-1.)); #47094=DIRECTION('',(0.,0.,-1.)); #47095=DIRECTION('',(1.,0.,0.)); #47096=DIRECTION('',(0.,0.,-1.)); #47097=DIRECTION('center_axis',(0.,0.,-1.)); #47098=DIRECTION('ref_axis',(0.,1.,0.)); #47099=DIRECTION('',(0.,1.,0.)); #47100=DIRECTION('',(1.,0.,0.)); #47101=DIRECTION('',(0.,1.,0.)); #47102=DIRECTION('center_axis',(0.,1.,2.79741234551221E-15)); #47103=DIRECTION('ref_axis',(0.,-2.79741234551221E-15,1.)); #47104=DIRECTION('',(0.,-2.79741234551221E-15,1.)); #47105=DIRECTION('',(1.,0.,0.)); #47106=DIRECTION('',(0.,-2.79741234551221E-15,1.)); #47107=DIRECTION('center_axis',(0.,0.,1.)); #47108=DIRECTION('ref_axis',(0.,-1.,0.)); #47109=DIRECTION('',(0.,-1.,0.)); #47110=DIRECTION('',(1.,0.,0.)); #47111=DIRECTION('',(0.,-1.,0.)); #47112=DIRECTION('center_axis',(0.,-1.,0.)); #47113=DIRECTION('ref_axis',(0.,0.,-1.)); #47114=DIRECTION('',(0.,0.,-1.)); #47115=DIRECTION('',(1.,0.,0.)); #47116=DIRECTION('',(0.,0.,-1.)); #47117=DIRECTION('center_axis',(0.,0.,1.)); #47118=DIRECTION('ref_axis',(0.,-1.,0.)); #47119=DIRECTION('',(0.,1.,0.)); #47120=DIRECTION('',(0.,-1.,0.)); #47121=DIRECTION('center_axis',(-1.,0.,0.)); #47122=DIRECTION('ref_axis',(0.,-1.,0.)); #47123=DIRECTION('center_axis',(-1.,0.,0.)); #47124=DIRECTION('ref_axis',(0.,-1.,0.)); #47125=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47126=DIRECTION('ref_axis',(-1.,6.80242687045303E-18,-6.69695319324051E-15)); #47127=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47128=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47129=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47130=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47131=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47132=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47133=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47134=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47135=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47136=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47137=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47138=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47139=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47140=DIRECTION('ref_axis',(-1.,6.80242687045303E-18,-6.69695319324051E-15)); #47141=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47142=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47143=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47144=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47145=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47146=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47147=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47148=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47149=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47150=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47151=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47152=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47153=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47154=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47155=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47156=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47157=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47158=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47159=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47160=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47161=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47162=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47163=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47164=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47165=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47166=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47167=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47168=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47169=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47170=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47171=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47172=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47173=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47174=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47175=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47176=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47177=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47178=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47179=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47180=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47181=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47182=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47183=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47184=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47185=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47186=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47187=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47188=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47189=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47190=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47191=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47192=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47193=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47194=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47195=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47196=DIRECTION('ref_axis',(-1.,6.80242687045273E-18,-6.69695319324051E-15)); #47197=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47198=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47199=DIRECTION('',(6.20675864160519E-15,-0.374606593415911,-0.927183854566788)); #47200=DIRECTION('center_axis',(6.22491749676154E-15,-0.374606593415912, -0.927183854566787)); #47201=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47202=DIRECTION('center_axis',(2.51502992235179E-15,0.927183854566788, -0.374606593415911)); #47203=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47204=DIRECTION('',(1.,0.,6.71378979055889E-15)); #47205=DIRECTION('',(-6.20675864160519E-15,0.374606593415911,0.927183854566788)); #47206=DIRECTION('',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47207=DIRECTION('',(-6.20675864160519E-15,0.374606593415911,0.927183854566788)); #47208=DIRECTION('center_axis',(1.,-6.80242687045309E-18,6.69695319324051E-15)); #47209=DIRECTION('ref_axis',(2.5150299223518E-15,0.927183854566788,-0.374606593415912)); #47210=DIRECTION('',(-2.5150299223518E-15,-0.927183854566788,0.374606593415912)); #47211=DIRECTION('',(2.51502992235179E-15,0.927183854566788,-0.374606593415912)); #47212=DIRECTION('',(-6.20675864160519E-15,0.374606593415911,0.927183854566788)); #47213=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47214=DIRECTION('ref_axis',(-2.25277251496002E-15,-0.927183854566788,0.374606593415911)); #47215=DIRECTION('center_axis',(-6.22491749676154E-15,0.374606593415912, 0.927183854566787)); #47216=DIRECTION('ref_axis',(-2.25277251496003E-15,-0.927183854566787,0.374606593415912)); #47217=DIRECTION('center_axis',(-6.22491749676154E-15,0.374606593415912, 0.927183854566787)); #47218=DIRECTION('ref_axis',(-2.25277251496002E-15,-0.927183854566787,0.374606593415912)); #47219=DIRECTION('',(-6.20675864160519E-15,0.374606593415911,0.927183854566788)); #47220=DIRECTION('center_axis',(-2.51502992235179E-15,-0.927183854566788, 0.374606593415911)); #47221=DIRECTION('ref_axis',(1.,0.,6.71378979055889E-15)); #47222=DIRECTION('',(-1.,0.,-6.71378979055889E-15)); #47223=DIRECTION('',(1.,-1.97215226305253E-30,6.71378979055889E-15)); #47224=DIRECTION('',(-6.20675864160519E-15,0.374606593415911,0.927183854566788)); #47225=DIRECTION('center_axis',(-6.20675864160519E-15,0.374606593415911, 0.927183854566788)); #47226=DIRECTION('ref_axis',(-1.,6.80242687045281E-18,-6.69695319324051E-15)); #47227=DIRECTION('center_axis',(-6.22491749676154E-15,0.374606593415912, 0.927183854566787)); #47228=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47229=DIRECTION('center_axis',(-6.22491749676154E-15,0.374606593415912, 0.927183854566787)); #47230=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47231=DIRECTION('',(-6.20675864160519E-15,0.374606593415911,0.927183854566788)); #47232=DIRECTION('center_axis',(-1.,6.80242687045309E-18,-6.69695319324051E-15)); #47233=DIRECTION('ref_axis',(-2.5150299223518E-15,-0.927183854566788,0.374606593415912)); #47234=DIRECTION('',(2.5150299223518E-15,0.927183854566788,-0.374606593415912)); #47235=DIRECTION('',(-2.51502992235179E-15,-0.927183854566788,0.374606593415912)); #47236=DIRECTION('center_axis',(-6.22491749676154E-15,0.374606593415912, 0.927183854566787)); #47237=DIRECTION('ref_axis',(-1.,1.97215226305253E-30,-6.71378979055889E-15)); #47238=DIRECTION('center_axis',(-6.22491749676154E-15,0.374606593415912, 0.927183854566787)); #47239=DIRECTION('ref_axis',(-1.,0.,-6.71378979055889E-15)); #47240=DIRECTION('center_axis',(1.54072114598356E-14,-0.927183854566788, 0.374606593415911)); #47241=DIRECTION('ref_axis',(1.,1.66172128472129E-14,-1.05291914115468E-30)); #47242=DIRECTION('',(1.,1.66172128472129E-14,-1.05291914115468E-30)); #47243=DIRECTION('',(-7.68615940125108E-15,0.374606593415911,0.927183854566788)); #47244=DIRECTION('',(1.,1.66172128472129E-14,-1.05291914115468E-30)); #47245=DIRECTION('',(-7.68615940125108E-15,0.374606593415911,0.927183854566788)); #47246=DIRECTION('center_axis',(-1.,-1.71646036992103E-14,-1.35483990145914E-15)); #47247=DIRECTION('ref_axis',(1.54072114598356E-14,-0.927183854566787,0.374606593415912)); #47248=DIRECTION('',(1.54072114598356E-14,-0.927183854566787,0.374606593415912)); #47249=DIRECTION('',(1.54072114598356E-14,-0.927183854566787,0.374606593415912)); #47250=DIRECTION('',(-7.68615940125108E-15,0.374606593415911,0.927183854566788)); #47251=DIRECTION('center_axis',(-1.54072114598356E-14,0.927183854566788, -0.374606593415911)); #47252=DIRECTION('ref_axis',(-1.,-1.71836610143112E-14,-1.40200841152688E-15)); #47253=DIRECTION('',(-1.,-1.71836610143112E-14,-1.40200841152688E-15)); #47254=DIRECTION('',(-1.,-1.71836610143112E-14,-1.40200841152688E-15)); #47255=DIRECTION('',(-7.68615940125108E-15,0.374606593415911,0.927183854566788)); #47256=DIRECTION('center_axis',(1.,1.71646036992103E-14,1.35483990145914E-15)); #47257=DIRECTION('ref_axis',(-1.54072114598356E-14,0.927183854566788,-0.374606593415912)); #47258=DIRECTION('',(-1.54072114598356E-14,0.927183854566788,-0.374606593415912)); #47259=DIRECTION('',(-1.54072114598356E-14,0.927183854566788,-0.374606593415912)); #47260=DIRECTION('center_axis',(-7.68615940125108E-15,0.374606593415911, 0.927183854566788)); #47261=DIRECTION('ref_axis',(1.,-3.15544362088424E-30,8.28978995200722E-15)); #47262=DIRECTION('center_axis',(-7.73703227811948E-15,0.374606593415912, 0.927183854566788)); #47263=DIRECTION('ref_axis',(-1.,-1.71836610143112E-14,-1.40200841152688E-15)); #47264=DIRECTION('center_axis',(-1.,0.,0.)); #47265=DIRECTION('ref_axis',(0.,-1.,0.)); #47266=DIRECTION('',(0.,-1.,0.)); #47267=DIRECTION('',(0.,0.,1.)); #47268=DIRECTION('',(0.,-1.,0.)); #47269=DIRECTION('',(0.,0.,1.)); #47270=DIRECTION('center_axis',(0.,-1.,0.)); #47271=DIRECTION('ref_axis',(1.,0.,0.)); #47272=DIRECTION('',(1.,0.,0.)); #47273=DIRECTION('',(1.,0.,0.)); #47274=DIRECTION('',(0.,0.,1.)); #47275=DIRECTION('center_axis',(1.,0.,0.)); #47276=DIRECTION('ref_axis',(0.,1.,0.)); #47277=DIRECTION('',(0.,1.,0.)); #47278=DIRECTION('',(0.,1.,0.)); #47279=DIRECTION('',(0.,0.,1.)); #47280=DIRECTION('center_axis',(0.,1.,0.)); #47281=DIRECTION('ref_axis',(-1.,0.,0.)); #47282=DIRECTION('',(-1.,0.,0.)); #47283=DIRECTION('',(-1.,0.,0.)); #47284=DIRECTION('center_axis',(0.,0.,1.)); #47285=DIRECTION('ref_axis',(-1.,0.,0.)); #47286=DIRECTION('center_axis',(0.,0.,-1.)); #47287=DIRECTION('ref_axis',(-1.,0.,0.)); #47288=DIRECTION('',(0.,0.,-1.)); #47289=DIRECTION('center_axis',(0.,0.,-1.)); #47290=DIRECTION('ref_axis',(-1.,0.,0.)); #47291=DIRECTION('center_axis',(0.,-1.,0.)); #47292=DIRECTION('ref_axis',(1.,0.,0.)); #47293=DIRECTION('',(1.,0.,0.)); #47294=DIRECTION('',(0.,0.,1.)); #47295=DIRECTION('',(1.,0.,0.)); #47296=DIRECTION('',(0.,0.,1.)); #47297=DIRECTION('center_axis',(1.,0.,0.)); #47298=DIRECTION('ref_axis',(0.,1.,0.)); #47299=DIRECTION('',(0.,1.,0.)); #47300=DIRECTION('',(0.,1.,0.)); #47301=DIRECTION('',(0.,0.,1.)); #47302=DIRECTION('center_axis',(0.,1.,0.)); #47303=DIRECTION('ref_axis',(-1.,0.,0.)); #47304=DIRECTION('',(-1.,0.,0.)); #47305=DIRECTION('',(-1.,0.,0.)); #47306=DIRECTION('',(0.,0.,1.)); #47307=DIRECTION('center_axis',(-1.,0.,0.)); #47308=DIRECTION('ref_axis',(0.,-1.,0.)); #47309=DIRECTION('',(0.,-1.,0.)); #47310=DIRECTION('',(0.,-1.,0.)); #47311=DIRECTION('center_axis',(0.,-1.,0.)); #47312=DIRECTION('ref_axis',(1.,0.,0.)); #47313=DIRECTION('',(1.,0.,0.)); #47314=DIRECTION('',(0.,0.,1.)); #47315=DIRECTION('',(1.,0.,0.)); #47316=DIRECTION('',(0.,0.,1.)); #47317=DIRECTION('center_axis',(1.,0.,0.)); #47318=DIRECTION('ref_axis',(0.,1.,0.)); #47319=DIRECTION('',(0.,1.,0.)); #47320=DIRECTION('',(0.,1.,0.)); #47321=DIRECTION('',(0.,0.,1.)); #47322=DIRECTION('center_axis',(0.,1.,0.)); #47323=DIRECTION('ref_axis',(-1.,0.,0.)); #47324=DIRECTION('',(-1.,0.,0.)); #47325=DIRECTION('',(-1.,0.,0.)); #47326=DIRECTION('',(0.,0.,1.)); #47327=DIRECTION('center_axis',(-1.,0.,0.)); #47328=DIRECTION('ref_axis',(0.,-1.,0.)); #47329=DIRECTION('',(0.,-1.,0.)); #47330=DIRECTION('',(0.,-1.,0.)); #47331=DIRECTION('center_axis',(0.,-1.,0.)); #47332=DIRECTION('ref_axis',(1.,0.,0.)); #47333=DIRECTION('',(1.,0.,0.)); #47334=DIRECTION('',(0.,0.,1.)); #47335=DIRECTION('',(1.,0.,0.)); #47336=DIRECTION('',(0.,0.,1.)); #47337=DIRECTION('center_axis',(-1.,0.,0.)); #47338=DIRECTION('ref_axis',(0.,-1.,0.)); #47339=DIRECTION('',(0.,1.,0.)); #47340=DIRECTION('',(0.,-1.,0.)); #47341=DIRECTION('',(0.,0.,1.)); #47342=DIRECTION('center_axis',(0.,-1.,0.)); #47343=DIRECTION('ref_axis',(1.,0.,0.)); #47344=DIRECTION('',(-1.,0.,0.)); #47345=DIRECTION('',(1.,0.,0.)); #47346=DIRECTION('',(0.,0.,1.)); #47347=DIRECTION('center_axis',(1.,0.,0.)); #47348=DIRECTION('ref_axis',(0.,1.,0.)); #47349=DIRECTION('',(0.,-1.,0.)); #47350=DIRECTION('',(0.,1.,0.)); #47351=DIRECTION('',(0.,0.,1.)); #47352=DIRECTION('center_axis',(0.,-1.,0.)); #47353=DIRECTION('ref_axis',(1.,0.,0.)); #47354=DIRECTION('',(1.,0.,0.)); #47355=DIRECTION('',(1.,0.,0.)); #47356=DIRECTION('',(0.,0.,1.)); #47357=DIRECTION('center_axis',(1.,0.,0.)); #47358=DIRECTION('ref_axis',(0.,1.,0.)); #47359=DIRECTION('',(0.,1.,0.)); #47360=DIRECTION('',(0.,1.,0.)); #47361=DIRECTION('',(0.,0.,1.)); #47362=DIRECTION('center_axis',(0.,1.,0.)); #47363=DIRECTION('ref_axis',(-1.,0.,0.)); #47364=DIRECTION('',(1.,0.,0.)); #47365=DIRECTION('',(-1.,0.,0.)); #47366=DIRECTION('',(0.,0.,1.)); #47367=DIRECTION('center_axis',(1.,0.,0.)); #47368=DIRECTION('ref_axis',(0.,1.,0.)); #47369=DIRECTION('',(0.,-1.,0.)); #47370=DIRECTION('',(0.,1.,0.)); #47371=DIRECTION('',(0.,0.,1.)); #47372=DIRECTION('center_axis',(0.,-1.,0.)); #47373=DIRECTION('ref_axis',(1.,0.,0.)); #47374=DIRECTION('',(-1.,0.,0.)); #47375=DIRECTION('',(1.,0.,0.)); #47376=DIRECTION('',(0.,0.,1.)); #47377=DIRECTION('center_axis',(1.,0.,0.)); #47378=DIRECTION('ref_axis',(0.,1.,0.)); #47379=DIRECTION('',(0.,-1.,0.)); #47380=DIRECTION('',(0.,1.,0.)); #47381=DIRECTION('',(0.,0.,1.)); #47382=DIRECTION('center_axis',(0.,-1.,0.)); #47383=DIRECTION('ref_axis',(1.,0.,0.)); #47384=DIRECTION('',(-1.,0.,0.)); #47385=DIRECTION('',(1.,0.,0.)); #47386=DIRECTION('',(0.,0.,1.)); #47387=DIRECTION('center_axis',(-1.,0.,0.)); #47388=DIRECTION('ref_axis',(0.,-1.,0.)); #47389=DIRECTION('',(0.,1.,0.)); #47390=DIRECTION('',(0.,-1.,0.)); #47391=DIRECTION('',(0.,0.,1.)); #47392=DIRECTION('center_axis',(0.,1.,0.)); #47393=DIRECTION('ref_axis',(-1.,0.,0.)); #47394=DIRECTION('',(1.,0.,0.)); #47395=DIRECTION('',(-1.,0.,0.)); #47396=DIRECTION('',(0.,0.,1.)); #47397=DIRECTION('center_axis',(-1.,-6.99353086378051E-16,0.)); #47398=DIRECTION('ref_axis',(6.99353086378051E-16,-1.,0.)); #47399=DIRECTION('',(6.99353086378051E-16,-1.,0.)); #47400=DIRECTION('',(6.99353086378051E-16,-1.,0.)); #47401=DIRECTION('',(0.,0.,1.)); #47402=DIRECTION('center_axis',(1.39870592067864E-15,-1.,0.)); #47403=DIRECTION('ref_axis',(1.,1.39870592067864E-15,0.)); #47404=DIRECTION('',(-1.,-1.39870592067864E-15,0.)); #47405=DIRECTION('',(1.,1.39870592067864E-15,0.)); #47406=DIRECTION('',(0.,0.,1.)); #47407=DIRECTION('center_axis',(-1.,0.,0.)); #47408=DIRECTION('ref_axis',(0.,-1.,0.)); #47409=DIRECTION('',(0.,1.,0.)); #47410=DIRECTION('',(0.,-1.,0.)); #47411=DIRECTION('',(0.,0.,1.)); #47412=DIRECTION('center_axis',(0.,1.,0.)); #47413=DIRECTION('ref_axis',(-1.,0.,0.)); #47414=DIRECTION('',(1.,0.,0.)); #47415=DIRECTION('',(-1.,0.,0.)); #47416=DIRECTION('',(0.,0.,1.)); #47417=DIRECTION('center_axis',(-1.,0.,0.)); #47418=DIRECTION('ref_axis',(0.,-1.,0.)); #47419=DIRECTION('',(0.,-1.,0.)); #47420=DIRECTION('',(0.,-1.,0.)); #47421=DIRECTION('',(0.,0.,1.)); #47422=DIRECTION('center_axis',(0.,-1.,0.)); #47423=DIRECTION('ref_axis',(1.,0.,0.)); #47424=DIRECTION('',(1.,0.,0.)); #47425=DIRECTION('',(1.,0.,0.)); #47426=DIRECTION('',(0.,0.,1.)); #47427=DIRECTION('center_axis',(-1.,0.,0.)); #47428=DIRECTION('ref_axis',(0.,-1.,0.)); #47429=DIRECTION('',(0.,-1.,0.)); #47430=DIRECTION('',(0.,-1.,0.)); #47431=DIRECTION('',(0.,0.,1.)); #47432=DIRECTION('center_axis',(0.,1.,0.)); #47433=DIRECTION('ref_axis',(-1.,0.,0.)); #47434=DIRECTION('',(1.,0.,0.)); #47435=DIRECTION('',(-1.,0.,0.)); #47436=DIRECTION('',(0.,0.,1.)); #47437=DIRECTION('center_axis',(1.,0.,0.)); #47438=DIRECTION('ref_axis',(0.,1.,0.)); #47439=DIRECTION('',(0.,-1.,0.)); #47440=DIRECTION('',(0.,1.,0.)); #47441=DIRECTION('',(0.,0.,1.)); #47442=DIRECTION('center_axis',(0.,-1.,0.)); #47443=DIRECTION('ref_axis',(1.,0.,0.)); #47444=DIRECTION('',(-1.,0.,0.)); #47445=DIRECTION('',(1.,0.,0.)); #47446=DIRECTION('',(0.,0.,1.)); #47447=DIRECTION('center_axis',(1.,0.,0.)); #47448=DIRECTION('ref_axis',(0.,1.,0.)); #47449=DIRECTION('',(0.,-1.,0.)); #47450=DIRECTION('',(0.,1.,0.)); #47451=DIRECTION('',(0.,0.,1.)); #47452=DIRECTION('center_axis',(0.,1.,0.)); #47453=DIRECTION('ref_axis',(-1.,0.,0.)); #47454=DIRECTION('',(-1.,0.,0.)); #47455=DIRECTION('',(-1.,0.,0.)); #47456=DIRECTION('',(0.,0.,1.)); #47457=DIRECTION('center_axis',(1.,0.,0.)); #47458=DIRECTION('ref_axis',(0.,1.,0.)); #47459=DIRECTION('',(0.,1.,0.)); #47460=DIRECTION('',(0.,1.,0.)); #47461=DIRECTION('',(0.,0.,1.)); #47462=DIRECTION('center_axis',(-2.79741184135728E-15,-1.,0.)); #47463=DIRECTION('ref_axis',(1.,-2.79741184135728E-15,0.)); #47464=DIRECTION('',(1.,-2.79741184135728E-15,0.)); #47465=DIRECTION('',(1.,-2.79741184135728E-15,0.)); #47466=DIRECTION('',(0.,0.,1.)); #47467=DIRECTION('center_axis',(1.,0.,0.)); #47468=DIRECTION('ref_axis',(0.,1.,0.)); #47469=DIRECTION('',(0.,-1.,0.)); #47470=DIRECTION('',(0.,1.,0.)); #47471=DIRECTION('',(0.,0.,1.)); #47472=DIRECTION('center_axis',(0.,1.,0.)); #47473=DIRECTION('ref_axis',(-1.,0.,0.)); #47474=DIRECTION('',(-1.,0.,0.)); #47475=DIRECTION('',(-1.,0.,0.)); #47476=DIRECTION('',(0.,0.,1.)); #47477=DIRECTION('center_axis',(1.,0.,0.)); #47478=DIRECTION('ref_axis',(0.,1.,0.)); #47479=DIRECTION('',(0.,1.,0.)); #47480=DIRECTION('',(0.,1.,0.)); #47481=DIRECTION('',(0.,0.,1.)); #47482=DIRECTION('center_axis',(0.,-1.,0.)); #47483=DIRECTION('ref_axis',(1.,0.,0.)); #47484=DIRECTION('',(-1.,0.,0.)); #47485=DIRECTION('',(1.,0.,0.)); #47486=DIRECTION('',(0.,0.,1.)); #47487=DIRECTION('center_axis',(-1.,0.,0.)); #47488=DIRECTION('ref_axis',(0.,-1.,0.)); #47489=DIRECTION('',(0.,1.,0.)); #47490=DIRECTION('',(0.,-1.,0.)); #47491=DIRECTION('',(0.,0.,1.)); #47492=DIRECTION('center_axis',(0.,-1.,0.)); #47493=DIRECTION('ref_axis',(1.,0.,0.)); #47494=DIRECTION('',(-1.,0.,0.)); #47495=DIRECTION('',(1.,0.,0.)); #47496=DIRECTION('',(0.,0.,1.)); #47497=DIRECTION('center_axis',(-1.,0.,0.)); #47498=DIRECTION('ref_axis',(0.,-1.,0.)); #47499=DIRECTION('',(0.,1.,0.)); #47500=DIRECTION('',(0.,-1.,0.)); #47501=DIRECTION('',(0.,0.,1.)); #47502=DIRECTION('center_axis',(0.,1.,0.)); #47503=DIRECTION('ref_axis',(-1.,0.,0.)); #47504=DIRECTION('',(-1.,0.,0.)); #47505=DIRECTION('',(-1.,0.,0.)); #47506=DIRECTION('',(0.,0.,1.)); #47507=DIRECTION('center_axis',(-1.,0.,0.)); #47508=DIRECTION('ref_axis',(0.,-1.,0.)); #47509=DIRECTION('',(0.,-1.,0.)); #47510=DIRECTION('',(0.,-1.,0.)); #47511=DIRECTION('center_axis',(0.,0.,1.)); #47512=DIRECTION('ref_axis',(-1.,0.,0.)); #47513=DIRECTION('center_axis',(0.,0.,1.)); #47514=DIRECTION('ref_axis',(-1.,0.,0.)); #47515=DIRECTION('center_axis',(0.,0.,1.)); #47516=DIRECTION('ref_axis',(1.,0.,0.)); #47517=DIRECTION('',(1.,0.,0.)); #47518=DIRECTION('',(0.,1.,0.)); #47519=DIRECTION('',(1.,0.,0.)); #47520=DIRECTION('',(0.,1.,0.)); #47521=DIRECTION('center_axis',(1.,0.,0.)); #47522=DIRECTION('ref_axis',(0.,0.,-1.)); #47523=DIRECTION('',(0.,0.,-1.)); #47524=DIRECTION('',(0.,0.,-1.)); #47525=DIRECTION('',(0.,1.,0.)); #47526=DIRECTION('center_axis',(0.,0.,-1.)); #47527=DIRECTION('ref_axis',(-1.,0.,0.)); #47528=DIRECTION('',(-1.,0.,0.)); #47529=DIRECTION('',(-1.,0.,0.)); #47530=DIRECTION('',(0.,1.,0.)); #47531=DIRECTION('center_axis',(-1.,0.,0.)); #47532=DIRECTION('ref_axis',(0.,0.,1.)); #47533=DIRECTION('',(0.,0.,1.)); #47534=DIRECTION('',(0.,0.,1.)); #47535=DIRECTION('center_axis',(-1.,0.,0.)); #47536=DIRECTION('ref_axis',(0.,0.,1.)); #47537=DIRECTION('',(0.,0.,1.)); #47538=DIRECTION('',(0.,1.,0.)); #47539=DIRECTION('',(0.,0.,1.)); #47540=DIRECTION('',(0.,1.,0.)); #47541=DIRECTION('center_axis',(0.,0.,1.)); #47542=DIRECTION('ref_axis',(1.,0.,0.)); #47543=DIRECTION('',(1.,0.,0.)); #47544=DIRECTION('',(1.,0.,0.)); #47545=DIRECTION('',(0.,1.,0.)); #47546=DIRECTION('center_axis',(1.,0.,0.)); #47547=DIRECTION('ref_axis',(0.,0.,-1.)); #47548=DIRECTION('',(0.,0.,-1.)); #47549=DIRECTION('',(0.,0.,-1.)); #47550=DIRECTION('',(0.,1.,0.)); #47551=DIRECTION('center_axis',(0.,0.,-1.)); #47552=DIRECTION('ref_axis',(-1.,0.,0.)); #47553=DIRECTION('',(-1.,0.,0.)); #47554=DIRECTION('',(-1.,0.,0.)); #47555=DIRECTION('center_axis',(1.,0.,-5.2451481478354E-16)); #47556=DIRECTION('ref_axis',(-5.2451481478354E-16,0.,-1.)); #47557=DIRECTION('',(5.2451481478354E-16,0.,1.)); #47558=DIRECTION('',(0.,1.,0.)); #47559=DIRECTION('',(-5.2451481478354E-16,0.,-1.)); #47560=DIRECTION('',(0.,1.,0.)); #47561=DIRECTION('center_axis',(0.,1.,0.)); #47562=DIRECTION('ref_axis',(-1.,0.,2.79741234551214E-14)); #47563=DIRECTION('center_axis',(0.,1.,0.)); #47564=DIRECTION('ref_axis',(-1.,0.,2.79741234551214E-14)); #47565=DIRECTION('center_axis',(0.,-1.,0.)); #47566=DIRECTION('ref_axis',(-1.,0.,2.79741234551214E-14)); #47567=DIRECTION('',(0.,1.,0.)); #47568=DIRECTION('center_axis',(1.075927825197E-15,0.,-1.)); #47569=DIRECTION('ref_axis',(-1.,0.,-1.075927825197E-15)); #47570=DIRECTION('',(1.,0.,1.075927825197E-15)); #47571=DIRECTION('',(-1.,0.,-1.075927825197E-15)); #47572=DIRECTION('',(0.,1.,0.)); #47573=DIRECTION('center_axis',(0.,1.,0.)); #47574=DIRECTION('ref_axis',(2.27289753072859E-14,0.,1.)); #47575=DIRECTION('center_axis',(0.,1.,0.)); #47576=DIRECTION('ref_axis',(2.27289753072859E-14,0.,1.)); #47577=DIRECTION('center_axis',(0.,-1.,0.)); #47578=DIRECTION('ref_axis',(2.27289753072859E-14,0.,1.)); #47579=DIRECTION('',(0.,1.,0.)); #47580=DIRECTION('center_axis',(-1.,0.,2.09805925913416E-15)); #47581=DIRECTION('ref_axis',(2.09805925913416E-15,0.,1.)); #47582=DIRECTION('',(-2.09805925913416E-15,0.,-1.)); #47583=DIRECTION('',(2.09805925913416E-15,0.,1.)); #47584=DIRECTION('',(0.,1.,0.)); #47585=DIRECTION('center_axis',(0.,1.,0.)); #47586=DIRECTION('ref_axis',(8.74191357972566E-16,0.,-1.)); #47587=DIRECTION('center_axis',(0.,1.,0.)); #47588=DIRECTION('ref_axis',(8.74191357972566E-16,0.,-1.)); #47589=DIRECTION('center_axis',(0.,1.,0.)); #47590=DIRECTION('ref_axis',(8.74191357972566E-16,0.,-1.)); #47591=DIRECTION('',(0.,1.,0.)); #47592=DIRECTION('center_axis',(0.,0.,-1.)); #47593=DIRECTION('ref_axis',(-1.,0.,0.)); #47594=DIRECTION('',(1.,0.,0.)); #47595=DIRECTION('',(-1.,0.,0.)); #47596=DIRECTION('',(0.,1.,0.)); #47597=DIRECTION('center_axis',(1.,0.,0.)); #47598=DIRECTION('ref_axis',(0.,0.,-1.)); #47599=DIRECTION('',(0.,0.,1.)); #47600=DIRECTION('',(0.,0.,-1.)); #47601=DIRECTION('',(0.,1.,0.)); #47602=DIRECTION('center_axis',(0.,0.,-1.)); #47603=DIRECTION('ref_axis',(-1.,0.,0.)); #47604=DIRECTION('',(1.,0.,0.)); #47605=DIRECTION('',(-1.,0.,0.)); #47606=DIRECTION('',(0.,1.,0.)); #47607=DIRECTION('center_axis',(1.,0.,0.)); #47608=DIRECTION('ref_axis',(0.,0.,-1.)); #47609=DIRECTION('',(0.,0.,1.)); #47610=DIRECTION('',(0.,0.,-1.)); #47611=DIRECTION('',(0.,1.,0.)); #47612=DIRECTION('center_axis',(0.,1.,0.)); #47613=DIRECTION('ref_axis',(-9.4491348254211E-8,0.,0.999999999999996)); #47614=DIRECTION('center_axis',(0.,1.,0.)); #47615=DIRECTION('ref_axis',(-9.4491348254211E-8,0.,0.999999999999996)); #47616=DIRECTION('center_axis',(0.,1.,0.)); #47617=DIRECTION('ref_axis',(-9.4491348254211E-8,0.,0.999999999999996)); #47618=DIRECTION('',(0.,1.,0.)); #47619=DIRECTION('center_axis',(-9.44913525779141E-8,0.,0.999999999999996)); #47620=DIRECTION('ref_axis',(0.999999999999995,0.,9.44913525779141E-8)); #47621=DIRECTION('',(-0.999999999999995,0.,-9.44913525779141E-8)); #47622=DIRECTION('',(0.999999999999995,0.,9.44913525779141E-8)); #47623=DIRECTION('',(0.,1.,0.)); #47624=DIRECTION('center_axis',(0.,1.,0.)); #47625=DIRECTION('ref_axis',(-1.,0.,-3.49676543189028E-15)); #47626=DIRECTION('center_axis',(0.,1.,0.)); #47627=DIRECTION('ref_axis',(-1.,0.,-3.49676543189028E-15)); #47628=DIRECTION('center_axis',(0.,1.,0.)); #47629=DIRECTION('ref_axis',(-1.,0.,-3.49676543189028E-15)); #47630=DIRECTION('',(0.,1.,0.)); #47631=DIRECTION('center_axis',(-1.,0.,0.)); #47632=DIRECTION('ref_axis',(0.,0.,1.)); #47633=DIRECTION('',(0.,0.,1.)); #47634=DIRECTION('',(0.,0.,1.)); #47635=DIRECTION('',(0.,1.,0.)); #47636=DIRECTION('center_axis',(0.,0.,-1.)); #47637=DIRECTION('ref_axis',(-1.,0.,0.)); #47638=DIRECTION('',(1.,0.,0.)); #47639=DIRECTION('',(-1.,0.,0.)); #47640=DIRECTION('',(0.,1.,0.)); #47641=DIRECTION('center_axis',(-1.,0.,0.)); #47642=DIRECTION('ref_axis',(0.,0.,1.)); #47643=DIRECTION('',(0.,0.,-1.)); #47644=DIRECTION('',(0.,0.,1.)); #47645=DIRECTION('',(0.,1.,0.)); #47646=DIRECTION('center_axis',(0.,0.,-1.)); #47647=DIRECTION('ref_axis',(-1.,0.,0.)); #47648=DIRECTION('',(1.,0.,0.)); #47649=DIRECTION('',(-1.,0.,0.)); #47650=DIRECTION('',(0.,1.,0.)); #47651=DIRECTION('center_axis',(0.,1.,0.)); #47652=DIRECTION('ref_axis',(1.,0.,3.49676543189026E-15)); #47653=DIRECTION('center_axis',(0.,1.,0.)); #47654=DIRECTION('ref_axis',(1.,0.,3.49676543189026E-15)); #47655=DIRECTION('center_axis',(0.,1.,0.)); #47656=DIRECTION('ref_axis',(1.,0.,3.49676543189026E-15)); #47657=DIRECTION('center_axis',(0.,1.,0.)); #47658=DIRECTION('ref_axis',(-1.,0.,0.)); #47659=DIRECTION('center_axis',(0.,1.,0.)); #47660=DIRECTION('ref_axis',(-1.,0.,0.)); #47661=DIRECTION('center_axis',(-1.,0.,0.)); #47662=DIRECTION('ref_axis',(0.,-1.,0.)); #47663=DIRECTION('center_axis',(1.,0.,0.)); #47664=DIRECTION('ref_axis',(0.,-1.,0.)); #47665=DIRECTION('',(1.,0.,0.)); #47666=DIRECTION('center_axis',(1.,0.,0.)); #47667=DIRECTION('ref_axis',(0.,-1.,0.)); #47668=DIRECTION('center_axis',(0.,-1.,0.)); #47669=DIRECTION('ref_axis',(0.,0.,1.)); #47670=DIRECTION('',(0.,0.,-1.)); #47671=DIRECTION('',(-1.,0.,0.)); #47672=DIRECTION('',(0.,0.,1.)); #47673=DIRECTION('',(-1.,0.,0.)); #47674=DIRECTION('center_axis',(0.,0.,-1.)); #47675=DIRECTION('ref_axis',(0.,-1.,0.)); #47676=DIRECTION('',(0.,1.,0.)); #47677=DIRECTION('',(0.,-1.,0.)); #47678=DIRECTION('',(-1.,0.,0.)); #47679=DIRECTION('center_axis',(0.,1.,0.)); #47680=DIRECTION('ref_axis',(0.,0.,-1.)); #47681=DIRECTION('',(0.,0.,-1.)); #47682=DIRECTION('',(0.,0.,-1.)); #47683=DIRECTION('',(-1.,0.,0.)); #47684=DIRECTION('center_axis',(0.,0.,-1.)); #47685=DIRECTION('ref_axis',(0.,-1.,0.)); #47686=DIRECTION('',(0.,-1.,0.)); #47687=DIRECTION('',(0.,-1.,0.)); #47688=DIRECTION('',(-1.,0.,0.)); #47689=DIRECTION('center_axis',(0.,1.,0.)); #47690=DIRECTION('ref_axis',(0.,0.,-1.)); #47691=DIRECTION('',(0.,0.,-1.)); #47692=DIRECTION('',(0.,0.,-1.)); #47693=DIRECTION('',(-1.,0.,0.)); #47694=DIRECTION('center_axis',(0.,0.,1.)); #47695=DIRECTION('ref_axis',(0.,1.,0.)); #47696=DIRECTION('',(0.,1.,0.)); #47697=DIRECTION('',(0.,1.,0.)); #47698=DIRECTION('',(-1.,0.,0.)); #47699=DIRECTION('center_axis',(0.,1.,0.)); #47700=DIRECTION('ref_axis',(0.,0.,-1.)); #47701=DIRECTION('',(0.,0.,-1.)); #47702=DIRECTION('',(0.,0.,-1.)); #47703=DIRECTION('',(-1.,0.,0.)); #47704=DIRECTION('center_axis',(0.,0.,1.)); #47705=DIRECTION('ref_axis',(0.,1.,0.)); #47706=DIRECTION('',(0.,1.,0.)); #47707=DIRECTION('',(0.,1.,0.)); #47708=DIRECTION('',(-1.,0.,0.)); #47709=DIRECTION('center_axis',(0.,-1.,0.)); #47710=DIRECTION('ref_axis',(0.,0.,1.)); #47711=DIRECTION('',(0.,0.,1.)); #47712=DIRECTION('',(0.,0.,1.)); #47713=DIRECTION('',(-1.,0.,0.)); #47714=DIRECTION('center_axis',(0.,0.,1.)); #47715=DIRECTION('ref_axis',(0.,1.,0.)); #47716=DIRECTION('',(0.,1.,0.)); #47717=DIRECTION('',(0.,1.,0.)); #47718=DIRECTION('',(-1.,0.,0.)); #47719=DIRECTION('center_axis',(0.,-1.,0.)); #47720=DIRECTION('ref_axis',(0.,0.,1.)); #47721=DIRECTION('',(0.,0.,1.)); #47722=DIRECTION('',(0.,0.,1.)); #47723=DIRECTION('',(-1.,0.,0.)); #47724=DIRECTION('center_axis',(0.,0.,-1.)); #47725=DIRECTION('ref_axis',(0.,-1.,0.)); #47726=DIRECTION('',(0.,-1.,0.)); #47727=DIRECTION('',(0.,-1.,0.)); #47728=DIRECTION('center_axis',(-1.,0.,0.)); #47729=DIRECTION('ref_axis',(0.,-1.,0.)); #47730=DIRECTION('center_axis',(-1.,0.,0.)); #47731=DIRECTION('ref_axis',(0.,-1.,0.)); #47732=DIRECTION('center_axis',(-1.,0.,0.)); #47733=DIRECTION('ref_axis',(0.,0.,1.)); #47734=DIRECTION('',(0.,0.,1.)); #47735=DIRECTION('',(0.,1.,0.)); #47736=DIRECTION('',(0.,0.,1.)); #47737=DIRECTION('',(0.,1.,0.)); #47738=DIRECTION('center_axis',(0.,0.,1.)); #47739=DIRECTION('ref_axis',(1.,0.,0.)); #47740=DIRECTION('',(1.,0.,0.)); #47741=DIRECTION('',(1.,0.,0.)); #47742=DIRECTION('',(0.,1.,0.)); #47743=DIRECTION('center_axis',(1.,0.,0.)); #47744=DIRECTION('ref_axis',(0.,0.,-1.)); #47745=DIRECTION('',(0.,0.,-1.)); #47746=DIRECTION('',(0.,0.,-1.)); #47747=DIRECTION('',(0.,1.,0.)); #47748=DIRECTION('center_axis',(0.,0.,-1.)); #47749=DIRECTION('ref_axis',(-1.,0.,0.)); #47750=DIRECTION('',(-1.,0.,0.)); #47751=DIRECTION('',(-1.,0.,0.)); #47752=DIRECTION('center_axis',(0.,0.,1.)); #47753=DIRECTION('ref_axis',(1.,0.,0.)); #47754=DIRECTION('',(1.,0.,0.)); #47755=DIRECTION('',(0.,1.,0.)); #47756=DIRECTION('',(1.,0.,0.)); #47757=DIRECTION('',(0.,1.,0.)); #47758=DIRECTION('center_axis',(1.,0.,0.)); #47759=DIRECTION('ref_axis',(0.,0.,-1.)); #47760=DIRECTION('',(0.,0.,-1.)); #47761=DIRECTION('',(0.,0.,-1.)); #47762=DIRECTION('',(0.,1.,0.)); #47763=DIRECTION('center_axis',(0.,0.,-1.)); #47764=DIRECTION('ref_axis',(-1.,0.,0.)); #47765=DIRECTION('',(-1.,0.,0.)); #47766=DIRECTION('',(-1.,0.,0.)); #47767=DIRECTION('',(0.,1.,0.)); #47768=DIRECTION('center_axis',(-1.,0.,0.)); #47769=DIRECTION('ref_axis',(0.,0.,1.)); #47770=DIRECTION('',(0.,0.,1.)); #47771=DIRECTION('',(0.,0.,1.)); #47772=DIRECTION('center_axis',(1.,0.,-5.24514814783539E-16)); #47773=DIRECTION('ref_axis',(-5.24514814783539E-16,0.,-1.)); #47774=DIRECTION('',(5.24514814783539E-16,0.,1.)); #47775=DIRECTION('',(0.,1.,0.)); #47776=DIRECTION('',(-5.24514814783539E-16,0.,-1.)); #47777=DIRECTION('',(0.,1.,0.)); #47778=DIRECTION('center_axis',(0.,1.,0.)); #47779=DIRECTION('ref_axis',(-1.,0.,-1.3987061727561E-14)); #47780=DIRECTION('center_axis',(0.,1.,0.)); #47781=DIRECTION('ref_axis',(-1.,0.,-1.3987061727561E-14)); #47782=DIRECTION('center_axis',(0.,-1.,0.)); #47783=DIRECTION('ref_axis',(-1.,0.,-1.3987061727561E-14)); #47784=DIRECTION('',(0.,1.,0.)); #47785=DIRECTION('center_axis',(-1.075927825197E-15,0.,-1.)); #47786=DIRECTION('ref_axis',(-1.,0.,1.075927825197E-15)); #47787=DIRECTION('',(1.,0.,-1.075927825197E-15)); #47788=DIRECTION('',(-1.,0.,1.075927825197E-15)); #47789=DIRECTION('',(0.,1.,0.)); #47790=DIRECTION('center_axis',(0.,1.,0.)); #47791=DIRECTION('ref_axis',(-1.04902962956709E-14,0.,1.)); #47792=DIRECTION('center_axis',(0.,1.,0.)); #47793=DIRECTION('ref_axis',(-1.04902962956709E-14,0.,1.)); #47794=DIRECTION('center_axis',(0.,-1.,0.)); #47795=DIRECTION('ref_axis',(-1.04902962956709E-14,0.,1.)); #47796=DIRECTION('',(0.,1.,0.)); #47797=DIRECTION('center_axis',(-1.,0.,-1.3987061727561E-15)); #47798=DIRECTION('ref_axis',(-1.3987061727561E-15,0.,1.)); #47799=DIRECTION('',(1.3987061727561E-15,0.,-1.)); #47800=DIRECTION('',(-1.3987061727561E-15,0.,1.)); #47801=DIRECTION('',(0.,1.,0.)); #47802=DIRECTION('center_axis',(0.,1.,0.)); #47803=DIRECTION('ref_axis',(-2.18547839493141E-15,0.,-1.)); #47804=DIRECTION('center_axis',(0.,1.,0.)); #47805=DIRECTION('ref_axis',(-2.18547839493141E-15,0.,-1.)); #47806=DIRECTION('center_axis',(0.,1.,0.)); #47807=DIRECTION('ref_axis',(-2.18547839493141E-15,0.,-1.)); #47808=DIRECTION('',(0.,1.,0.)); #47809=DIRECTION('center_axis',(0.,0.,-1.)); #47810=DIRECTION('ref_axis',(-1.,0.,0.)); #47811=DIRECTION('',(1.,0.,0.)); #47812=DIRECTION('',(-1.,0.,0.)); #47813=DIRECTION('',(0.,1.,0.)); #47814=DIRECTION('center_axis',(1.,0.,0.)); #47815=DIRECTION('ref_axis',(0.,0.,-1.)); #47816=DIRECTION('',(0.,0.,1.)); #47817=DIRECTION('',(0.,0.,-1.)); #47818=DIRECTION('',(0.,1.,0.)); #47819=DIRECTION('center_axis',(0.,0.,-1.)); #47820=DIRECTION('ref_axis',(-1.,0.,0.)); #47821=DIRECTION('',(1.,0.,0.)); #47822=DIRECTION('',(-1.,0.,0.)); #47823=DIRECTION('',(0.,1.,0.)); #47824=DIRECTION('center_axis',(1.,0.,0.)); #47825=DIRECTION('ref_axis',(0.,0.,-1.)); #47826=DIRECTION('',(0.,0.,1.)); #47827=DIRECTION('',(0.,0.,-1.)); #47828=DIRECTION('',(0.,1.,0.)); #47829=DIRECTION('center_axis',(0.,1.,0.)); #47830=DIRECTION('ref_axis',(2.62257407391769E-15,0.,1.)); #47831=DIRECTION('center_axis',(0.,1.,0.)); #47832=DIRECTION('ref_axis',(2.62257407391769E-15,0.,1.)); #47833=DIRECTION('center_axis',(0.,1.,0.)); #47834=DIRECTION('ref_axis',(2.62257407391769E-15,0.,1.)); #47835=DIRECTION('',(0.,1.,0.)); #47836=DIRECTION('center_axis',(0.,0.,1.)); #47837=DIRECTION('ref_axis',(1.,0.,0.)); #47838=DIRECTION('',(-1.,0.,0.)); #47839=DIRECTION('',(1.,0.,0.)); #47840=DIRECTION('',(0.,1.,0.)); #47841=DIRECTION('center_axis',(0.,1.,0.)); #47842=DIRECTION('ref_axis',(-1.,0.,0.)); #47843=DIRECTION('center_axis',(0.,1.,0.)); #47844=DIRECTION('ref_axis',(-1.,0.,0.)); #47845=DIRECTION('center_axis',(0.,1.,0.)); #47846=DIRECTION('ref_axis',(-1.,0.,0.)); #47847=DIRECTION('',(0.,1.,0.)); #47848=DIRECTION('center_axis',(-1.,0.,2.590196616215E-16)); #47849=DIRECTION('ref_axis',(2.590196616215E-16,0.,1.)); #47850=DIRECTION('',(-2.590196616215E-16,0.,-1.)); #47851=DIRECTION('',(2.590196616215E-16,0.,1.)); #47852=DIRECTION('',(0.,1.,0.)); #47853=DIRECTION('center_axis',(0.,0.,-1.)); #47854=DIRECTION('ref_axis',(-1.,0.,0.)); #47855=DIRECTION('',(1.,0.,0.)); #47856=DIRECTION('',(-1.,0.,0.)); #47857=DIRECTION('',(0.,1.,0.)); #47858=DIRECTION('center_axis',(-1.,0.,0.)); #47859=DIRECTION('ref_axis',(0.,0.,1.)); #47860=DIRECTION('',(0.,0.,-1.)); #47861=DIRECTION('',(0.,0.,1.)); #47862=DIRECTION('',(0.,1.,0.)); #47863=DIRECTION('center_axis',(0.,0.,-1.)); #47864=DIRECTION('ref_axis',(-1.,0.,0.)); #47865=DIRECTION('',(1.,0.,0.)); #47866=DIRECTION('',(-1.,0.,0.)); #47867=DIRECTION('',(0.,1.,0.)); #47868=DIRECTION('center_axis',(0.,1.,0.)); #47869=DIRECTION('ref_axis',(1.,0.,0.)); #47870=DIRECTION('center_axis',(0.,1.,0.)); #47871=DIRECTION('ref_axis',(1.,0.,0.)); #47872=DIRECTION('center_axis',(0.,1.,0.)); #47873=DIRECTION('ref_axis',(1.,0.,0.)); #47874=DIRECTION('center_axis',(0.,1.,0.)); #47875=DIRECTION('ref_axis',(-1.,0.,0.)); #47876=DIRECTION('center_axis',(0.,1.,0.)); #47877=DIRECTION('ref_axis',(-1.,0.,0.)); #47878=DIRECTION('center_axis',(-1.,0.,0.)); #47879=DIRECTION('ref_axis',(0.,-1.,0.)); #47880=DIRECTION('center_axis',(1.,0.,0.)); #47881=DIRECTION('ref_axis',(0.,-1.,0.)); #47882=DIRECTION('',(1.,0.,0.)); #47883=DIRECTION('center_axis',(1.,0.,0.)); #47884=DIRECTION('ref_axis',(0.,-1.,0.)); #47885=DIRECTION('center_axis',(0.,0.,1.)); #47886=DIRECTION('ref_axis',(0.,1.,0.)); #47887=DIRECTION('',(0.,1.,0.)); #47888=DIRECTION('',(-1.,0.,0.)); #47889=DIRECTION('',(0.,1.,0.)); #47890=DIRECTION('',(-1.,0.,0.)); #47891=DIRECTION('center_axis',(0.,-1.,0.)); #47892=DIRECTION('ref_axis',(0.,0.,1.)); #47893=DIRECTION('',(0.,0.,1.)); #47894=DIRECTION('',(0.,0.,1.)); #47895=DIRECTION('',(-1.,0.,0.)); #47896=DIRECTION('center_axis',(0.,0.,1.)); #47897=DIRECTION('ref_axis',(0.,1.,0.)); #47898=DIRECTION('',(0.,1.,0.)); #47899=DIRECTION('',(0.,1.,0.)); #47900=DIRECTION('',(-1.,0.,0.)); #47901=DIRECTION('center_axis',(0.,-1.,0.)); #47902=DIRECTION('ref_axis',(0.,0.,1.)); #47903=DIRECTION('',(0.,0.,1.)); #47904=DIRECTION('',(0.,0.,1.)); #47905=DIRECTION('',(-1.,0.,0.)); #47906=DIRECTION('center_axis',(0.,0.,-1.)); #47907=DIRECTION('ref_axis',(0.,-1.,0.)); #47908=DIRECTION('',(0.,-1.,0.)); #47909=DIRECTION('',(0.,-1.,0.)); #47910=DIRECTION('',(-1.,0.,0.)); #47911=DIRECTION('center_axis',(0.,-1.,0.)); #47912=DIRECTION('ref_axis',(0.,0.,1.)); #47913=DIRECTION('',(0.,0.,1.)); #47914=DIRECTION('',(0.,0.,1.)); #47915=DIRECTION('',(-1.,0.,0.)); #47916=DIRECTION('center_axis',(0.,0.,-1.)); #47917=DIRECTION('ref_axis',(0.,-1.,0.)); #47918=DIRECTION('',(0.,-1.,0.)); #47919=DIRECTION('',(0.,-1.,0.)); #47920=DIRECTION('',(-1.,0.,0.)); #47921=DIRECTION('center_axis',(0.,1.,0.)); #47922=DIRECTION('ref_axis',(0.,0.,-1.)); #47923=DIRECTION('',(0.,0.,-1.)); #47924=DIRECTION('',(0.,0.,-1.)); #47925=DIRECTION('',(-1.,0.,0.)); #47926=DIRECTION('center_axis',(0.,0.,-1.)); #47927=DIRECTION('ref_axis',(0.,-1.,0.)); #47928=DIRECTION('',(0.,-1.,0.)); #47929=DIRECTION('',(0.,-1.,0.)); #47930=DIRECTION('',(-1.,0.,0.)); #47931=DIRECTION('center_axis',(0.,1.,0.)); #47932=DIRECTION('ref_axis',(0.,0.,-1.)); #47933=DIRECTION('',(0.,0.,-1.)); #47934=DIRECTION('',(0.,0.,-1.)); #47935=DIRECTION('',(-1.,0.,0.)); #47936=DIRECTION('center_axis',(0.,0.,1.)); #47937=DIRECTION('ref_axis',(0.,1.,0.)); #47938=DIRECTION('',(0.,1.,0.)); #47939=DIRECTION('',(0.,1.,0.)); #47940=DIRECTION('',(-1.,0.,0.)); #47941=DIRECTION('center_axis',(0.,0.99999995731957,-0.000292165804441295)); #47942=DIRECTION('ref_axis',(0.,-0.000292165804441295,-0.999999957319571)); #47943=DIRECTION('',(0.,-0.000292165804441295,-0.999999957319571)); #47944=DIRECTION('',(0.,-0.000292165804441295,-0.999999957319571)); #47945=DIRECTION('center_axis',(-1.,0.,0.)); #47946=DIRECTION('ref_axis',(0.,-1.,0.)); #47947=DIRECTION('center_axis',(-1.,0.,0.)); #47948=DIRECTION('ref_axis',(0.,-1.,0.)); #47949=DIRECTION('',(-7.68615940125108E-15,0.374606593415911,0.927183854566788)); #47950=DIRECTION('',(-1.,-1.57649343471282E-14,-1.92034302719799E-15)); #47951=DIRECTION('',(-7.68615940125108E-15,0.374606593415911,0.927183854566788)); #47952=DIRECTION('',(-1.,-2.76573871578271E-15,-7.17235897702336E-15)); #47953=DIRECTION('',(0.,0.,1.)); #47954=DIRECTION('',(1.,0.,0.)); #47955=DIRECTION('',(0.,0.,1.)); #47956=DIRECTION('',(1.,0.,0.)); #47957=DIRECTION('',(0.,0.,1.)); #47958=DIRECTION('',(1.,0.,0.)); #47959=DIRECTION('',(0.,0.,1.)); #47960=DIRECTION('',(1.,0.,0.)); #47961=DIRECTION('axis',(0.,0.,1.)); #47962=DIRECTION('refdir',(1.,0.,0.)); #47963=DIRECTION('',(0.,0.,1.)); #47964=DIRECTION('',(1.,0.,0.)); #47965=DIRECTION('',(0.,0.,1.)); #47966=DIRECTION('',(1.,0.,0.)); #47967=DIRECTION('',(0.,0.,1.)); #47968=DIRECTION('',(1.,0.,0.)); #47969=CARTESIAN_POINT('',(0.,0.,0.)); #47970=CARTESIAN_POINT('',(0.,0.,0.)); #47971=CARTESIAN_POINT('',(0.,0.,0.)); #47972=CARTESIAN_POINT('',(0.,0.,0.)); #47973=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,0.564999999999999)); #47974=CARTESIAN_POINT('',(6.00008222242569,6.6250002553148,0.564999999999999)); #47975=CARTESIAN_POINT('Origin',(6.0000822224257,6.5000002553148,0.564999999999999)); #47976=CARTESIAN_POINT('',(5.60008222242569,6.62500025531477,0.564999999999999)); #47977=CARTESIAN_POINT('',(5.60008222242569,6.62500025531477,0.564999999999999)); #47978=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,0.564999999999999)); #47979=CARTESIAN_POINT('Origin',(5.60008222242566,7.06500025531477,0.25)); #47980=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,0.564999999999999)); #47981=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,0.25)); #47982=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,0.564999999999999)); #47983=CARTESIAN_POINT('',(6.00008222242566,7.0650002553148,0.564999999999999)); #47984=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,0.564999999999999)); #47985=CARTESIAN_POINT('',(6.00008222242566,7.0650002553148,0.25)); #47986=CARTESIAN_POINT('',(6.00008222242566,7.0650002553148,0.564999999999999)); #47987=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,0.25)); #47988=CARTESIAN_POINT('Origin',(5.60008222242562,7.63250025531477,0.25)); #47989=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,0.25)); #47990=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,0.25)); #47991=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,0.25)); #47992=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,0.25)); #47993=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,0.25)); #47994=CARTESIAN_POINT('Origin',(5.60008222242562,7.63250025531477,-4.37095678986282E-17)); #47995=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,-4.37095678986282E-17)); #47996=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,-4.37095678986282E-17)); #47997=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,0.)); #47998=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,-4.37095678986282E-17)); #47999=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,-4.37095678986282E-17)); #48000=CARTESIAN_POINT('Origin',(5.60008222242578,5.36750025531477,-4.37095678986282E-17)); #48001=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,-4.37095678986282E-17)); #48002=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,-4.37095678986282E-17)); #48003=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,0.)); #48004=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,-4.37095678986282E-17)); #48005=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,-4.37095678986282E-17)); #48006=CARTESIAN_POINT('Origin',(5.60008222242578,5.36750025531477,0.25)); #48007=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,0.25)); #48008=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,0.25)); #48009=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,0.25)); #48010=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,0.25)); #48011=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,0.25)); #48012=CARTESIAN_POINT('Origin',(5.60008222242574,5.93500025531477,0.25)); #48013=CARTESIAN_POINT('',(5.60008222242574,5.93500025531477,0.25)); #48014=CARTESIAN_POINT('',(5.60008222242574,5.93500025531477,0.25)); #48015=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,0.25)); #48016=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,0.25)); #48017=CARTESIAN_POINT('',(5.60008222242574,5.93500025531477,0.25)); #48018=CARTESIAN_POINT('Origin',(5.60008222242574,5.93500025531478,0.564999999999999)); #48019=CARTESIAN_POINT('',(5.60008222242574,5.93500025531478,0.564999999999999)); #48020=CARTESIAN_POINT('',(5.60008222242574,5.93500025531478,0.564999999999999)); #48021=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,0.564999999999999)); #48022=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,0.564999999999999)); #48023=CARTESIAN_POINT('',(5.60008222242574,5.93500025531478,0.564999999999999)); #48024=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,0.564999999999999)); #48025=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,0.564999999999999)); #48026=CARTESIAN_POINT('Origin',(6.0000822224257,6.5000002553148,0.564999999999999)); #48027=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,0.564999999999999)); #48028=CARTESIAN_POINT('Origin',(6.0000822224257,6.5000002553148,0.564999999999999)); #48029=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,0.564999999999999)); #48030=CARTESIAN_POINT('',(4.20008222242565,6.62500025531467,0.564999999999999)); #48031=CARTESIAN_POINT('Origin',(4.20008222242566,6.50000025531468,0.564999999999999)); #48032=CARTESIAN_POINT('',(4.60008222242565,6.6250002553147,0.564999999999999)); #48033=CARTESIAN_POINT('',(4.60008222242565,6.6250002553147,0.564999999999999)); #48034=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,0.564999999999999)); #48035=CARTESIAN_POINT('Origin',(4.60008222242562,7.0650002553147,0.25)); #48036=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,0.564999999999999)); #48037=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,0.25)); #48038=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,0.564999999999999)); #48039=CARTESIAN_POINT('',(4.20008222242562,7.06500025531467,0.25)); #48040=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,0.25)); #48041=CARTESIAN_POINT('',(4.20008222242562,7.06500025531467,0.564999999999999)); #48042=CARTESIAN_POINT('',(4.20008222242562,7.06500025531467,0.564999999999999)); #48043=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,0.564999999999999)); #48044=CARTESIAN_POINT('Origin',(4.60008222242558,7.6325002553147,0.25)); #48045=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,0.25)); #48046=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,0.25)); #48047=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,0.25)); #48048=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,0.25)); #48049=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,0.25)); #48050=CARTESIAN_POINT('Origin',(4.60008222242558,7.6325002553147,-4.37095678986282E-17)); #48051=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,-4.37095678986282E-17)); #48052=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,-4.37095678986282E-17)); #48053=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,0.)); #48054=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,-4.37095678986282E-17)); #48055=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,-4.37095678986282E-17)); #48056=CARTESIAN_POINT('Origin',(4.60008222242574,5.3675002553147,-4.37095678986282E-17)); #48057=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,-4.37095678986282E-17)); #48058=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,-4.37095678986282E-17)); #48059=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,0.)); #48060=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,-4.37095678986282E-17)); #48061=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,-4.37095678986282E-17)); #48062=CARTESIAN_POINT('Origin',(4.60008222242574,5.3675002553147,0.25)); #48063=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,0.25)); #48064=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,0.25)); #48065=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,0.25)); #48066=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,0.25)); #48067=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,0.25)); #48068=CARTESIAN_POINT('Origin',(4.6000822224257,5.9350002553147,0.25)); #48069=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,0.25)); #48070=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,0.25)); #48071=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,0.25)); #48072=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,0.25)); #48073=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,0.25)); #48074=CARTESIAN_POINT('Origin',(4.6000822224257,5.9350002553147,0.564999999999999)); #48075=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,0.564999999999999)); #48076=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,0.564999999999999)); #48077=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,0.564999999999999)); #48078=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,0.564999999999999)); #48079=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,0.564999999999999)); #48080=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,0.564999999999999)); #48081=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,0.564999999999999)); #48082=CARTESIAN_POINT('Origin',(4.20008222242566,6.50000025531468,0.564999999999999)); #48083=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,0.564999999999999)); #48084=CARTESIAN_POINT('Origin',(4.20008222242566,6.50000025531468,0.564999999999999)); #48085=CARTESIAN_POINT('Origin',(5.55008222242564,6.50000025531444,0.564999999999999)); #48086=CARTESIAN_POINT('',(5.55008222242564,5.02500025531444,0.565)); #48087=CARTESIAN_POINT('Origin',(5.55008222242564,6.50000025531444,0.564999999999999)); #48088=CARTESIAN_POINT('',(5.55008222242564,6.37500025531444,0.564999999999999)); #48089=CARTESIAN_POINT('Origin',(5.55008222242564,6.50000025531444,0.564999999999999)); #48090=CARTESIAN_POINT('Origin',(5.10008222242564,6.50000025531444,0.564999999999999)); #48091=CARTESIAN_POINT('',(4.65008222242564,6.37500025531444,0.564999999999999)); #48092=CARTESIAN_POINT('Origin',(4.65008222242564,6.50000025531444,0.564999999999999)); #48093=CARTESIAN_POINT('',(5.10008222242564,6.37500025531444,0.564999999999999)); #48094=CARTESIAN_POINT('Origin',(5.10008222242564,6.50000025531444,0.564999999999999)); #48095=CARTESIAN_POINT('',(4.65008222242564,5.02500025531444,0.565)); #48096=CARTESIAN_POINT('Origin',(4.65008222242564,6.50000025531444,0.564999999999999)); #48097=CARTESIAN_POINT('',(5.10008222242564,5.02500025531444,0.565)); #48098=CARTESIAN_POINT('Origin',(4.65008222242564,6.50000025531444,0.564999999999999)); #48099=CARTESIAN_POINT('Origin',(3.10000012765719,7.0000002553144,-0.12500218094944)); #48100=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,-0.125002180949468)); #48101=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,-0.125002180949468)); #48102=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,-0.125002180949494)); #48103=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,-0.125002180949468)); #48104=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,-0.125002180949468)); #48105=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,-0.125002180949468)); #48106=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,-0.125002180949494)); #48107=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,-0.125002180949468)); #48108=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,0.817499999999999)); #48109=CARTESIAN_POINT('',(2.85000012765719,5.8500002553144,0.817499999999999)); #48110=CARTESIAN_POINT('Origin',(2.85000012765719,6.5000002553144,0.817499999999999)); #48111=CARTESIAN_POINT('',(3.10000012765719,5.8500002553144,0.817499999999999)); #48112=CARTESIAN_POINT('',(3.10000012765719,5.8500002553144,0.817499999999999)); #48113=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,0.817499999999999)); #48114=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,1.39999781905051)); #48115=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,1.3999978190505)); #48116=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,1.79999781905051)); #48117=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,1.39999781905051)); #48118=CARTESIAN_POINT('',(2.85000012765719,5.8000002553144,1.79999781905051)); #48119=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,1.79999781905051)); #48120=CARTESIAN_POINT('',(2.85000012765719,5.4000002553144,1.3999978190505)); #48121=CARTESIAN_POINT('Origin',(2.85000012765719,5.8000002553144,1.39999781905051)); #48122=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,1.3999978190505)); #48123=CARTESIAN_POINT('Origin',(3.10000012765719,5.4000002553144,0.124997818784818)); #48124=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,0.124997818784818)); #48125=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,1.3999978190505)); #48126=CARTESIAN_POINT('',(2.85000012765719,5.4000002553144,0.124997818784818)); #48127=CARTESIAN_POINT('',(2.85000012765719,5.4000002553144,1.3999978190505)); #48128=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,0.124997818784818)); #48129=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,0.124997818784819)); #48130=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.124997818784819)); #48131=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.124997818784819)); #48132=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.124997818784819)); #48133=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.124997818784819)); #48134=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.124997818784819)); #48135=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,0.274997820664371)); #48136=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.274997820664371)); #48137=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.274997820664371)); #48138=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.274997820664371)); #48139=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.274997820664371)); #48140=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.274997820664371)); #48141=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,0.274997820664371)); #48142=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.274997820664371)); #48143=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.274997820664371)); #48144=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,0.274997820664371)); #48145=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,0.274997820664371)); #48146=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.274997820664371)); #48147=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,0.424997853369575)); #48148=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.424997853369575)); #48149=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.424997853369575)); #48150=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,0.424997853369575)); #48151=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,0.424997853369575)); #48152=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.424997853369575)); #48153=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,0.424997853369575)); #48154=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.424997853369575)); #48155=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.424997853369575)); #48156=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.424997853369575)); #48157=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.424997853369575)); #48158=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.424997853369575)); #48159=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,0.824997832778148)); #48160=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.824997832778148)); #48161=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.824997832778148)); #48162=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.824997832778148)); #48163=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,0.824997832778148)); #48164=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.824997832778148)); #48165=CARTESIAN_POINT('Origin',(3.10000012765719,5.75000025531441,0.824997832778148)); #48166=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.824997832778148)); #48167=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.824997832778148)); #48168=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,0.824997832778148)); #48169=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,0.824997832778148)); #48170=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.824997832778148)); #48171=CARTESIAN_POINT('Origin',(3.10000012765719,5.75000025531441,0.424997822482432)); #48172=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.424997822482432)); #48173=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.424997822482432)); #48174=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,0.424997822482432)); #48175=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,0.424997822482432)); #48176=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.424997822482432)); #48177=CARTESIAN_POINT('Origin',(3.10000012765719,5.8250002553144,0.424997822482432)); #48178=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,0.424997822482432)); #48179=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,0.424997822482432)); #48180=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,0.424997822482432)); #48181=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,0.424997822482432)); #48182=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,0.424997822482432)); #48183=CARTESIAN_POINT('Origin',(3.10000012765719,5.8250002553144,0.274997822482432)); #48184=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,0.274997822482432)); #48185=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,0.274997822482432)); #48186=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,0.274997822482432)); #48187=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,0.274997822482432)); #48188=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,0.274997822482432)); #48189=CARTESIAN_POINT('Origin',(3.10000012765719,5.75000025531441,0.274997822482434)); #48190=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.274997822482434)); #48191=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.274997822482434)); #48192=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,0.274997822482434)); #48193=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,0.274997822482434)); #48194=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,0.274997822482434)); #48195=CARTESIAN_POINT('Origin',(3.10000012765719,5.7500002553144,0.124997819050539)); #48196=CARTESIAN_POINT('',(3.10000012765719,5.7500002553144,0.124997819050539)); #48197=CARTESIAN_POINT('',(3.10000012765719,5.7500002553144,0.124997819050539)); #48198=CARTESIAN_POINT('',(2.85000012765719,5.7500002553144,0.124997819050539)); #48199=CARTESIAN_POINT('',(2.85000012765719,5.7500002553144,0.124997819050539)); #48200=CARTESIAN_POINT('',(3.10000012765719,5.7500002553144,0.124997819050539)); #48201=CARTESIAN_POINT('Origin',(3.10000012765719,6.0000002553144,0.124997819050532)); #48202=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,0.124997819050532)); #48203=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,0.124997819050532)); #48204=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,0.124997819050532)); #48205=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,0.124997819050532)); #48206=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,0.124997819050532)); #48207=CARTESIAN_POINT('Origin',(3.10000012765719,6.0000002553144,-2.18094946789487E-6)); #48208=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,-2.18094946789487E-6)); #48209=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,-2.18094946791672E-6)); #48210=CARTESIAN_POINT('Origin',(3.10000012765719,7.0000002553144,0.124997819050532)); #48211=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,0.124997819050532)); #48212=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,-2.18094946784023E-6)); #48213=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,0.124997819050532)); #48214=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,-2.18094946784023E-6)); #48215=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,0.124997819050532)); #48216=CARTESIAN_POINT('Origin',(3.10000012765719,7.2500002553144,0.124997819050539)); #48217=CARTESIAN_POINT('',(3.10000012765719,7.2500002553144,0.124997819050539)); #48218=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,0.124997819050532)); #48219=CARTESIAN_POINT('',(2.85000012765719,7.2500002553144,0.124997819050539)); #48220=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,0.124997819050532)); #48221=CARTESIAN_POINT('',(3.10000012765719,7.2500002553144,0.124997819050539)); #48222=CARTESIAN_POINT('Origin',(3.10000012765719,7.25000025531439,0.274997819050539)); #48223=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.274997822482434)); #48224=CARTESIAN_POINT('',(3.10000012765719,7.2500002553144,0.124997819050539)); #48225=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,0.274997822482434)); #48226=CARTESIAN_POINT('',(2.85000012765719,7.2500002553144,0.124997819050539)); #48227=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.274997822482434)); #48228=CARTESIAN_POINT('Origin',(3.10000012765719,7.1750002553144,0.274997822482432)); #48229=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,0.274997822482432)); #48230=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.274997822482434)); #48231=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,0.274997822482432)); #48232=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,0.274997822482434)); #48233=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,0.274997822482432)); #48234=CARTESIAN_POINT('Origin',(3.10000012765719,7.1750002553144,0.424997822482432)); #48235=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,0.424997822482432)); #48236=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,0.274997822482432)); #48237=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,0.424997822482432)); #48238=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,0.274997822482432)); #48239=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,0.424997822482432)); #48240=CARTESIAN_POINT('Origin',(3.10000012765719,7.25000025531439,0.424997822482432)); #48241=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.424997822482432)); #48242=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,0.424997822482432)); #48243=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,0.424997822482432)); #48244=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,0.424997822482432)); #48245=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.424997822482432)); #48246=CARTESIAN_POINT('Origin',(3.10000012765719,7.25000025531439,0.824997832778148)); #48247=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.824997832778148)); #48248=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.424997822482432)); #48249=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,0.824997832778148)); #48250=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,0.424997822482432)); #48251=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.824997832778148)); #48252=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,0.824997832778148)); #48253=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.824997832778148)); #48254=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,0.824997832778148)); #48255=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.824997832778148)); #48256=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,0.824997832778148)); #48257=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.824997832778148)); #48258=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,0.424997853369575)); #48259=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.424997853369575)); #48260=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.824997832778148)); #48261=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.424997853369575)); #48262=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.824997832778148)); #48263=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.424997853369575)); #48264=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,0.424997853369575)); #48265=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.424997853369575)); #48266=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.424997853369575)); #48267=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,0.424997853369575)); #48268=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.424997853369575)); #48269=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.424997853369575)); #48270=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,0.274997820664371)); #48271=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.274997820664371)); #48272=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.424997853369575)); #48273=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,0.274997820664371)); #48274=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,0.424997853369575)); #48275=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.274997820664371)); #48276=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,0.274997820664371)); #48277=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.274997820664371)); #48278=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.274997820664371)); #48279=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.274997820664371)); #48280=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,0.274997820664371)); #48281=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.274997820664371)); #48282=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,0.124997818784819)); #48283=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.124997818784819)); #48284=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.274997820664371)); #48285=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.124997818784819)); #48286=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.274997820664371)); #48287=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.124997818784819)); #48288=CARTESIAN_POINT('Origin',(3.10000012765719,7.6000002553144,0.124997818784819)); #48289=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,0.124997818784819)); #48290=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.124997818784819)); #48291=CARTESIAN_POINT('',(2.85000012765719,7.6000002553144,0.124997818784819)); #48292=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,0.124997818784819)); #48293=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,0.124997818784819)); #48294=CARTESIAN_POINT('Origin',(3.10000012765719,7.6000002553144,1.39999781905053)); #48295=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,1.39999781905053)); #48296=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,1.39999781905053)); #48297=CARTESIAN_POINT('',(2.85000012765719,7.6000002553144,1.39999781905053)); #48298=CARTESIAN_POINT('',(2.85000012765719,7.6000002553144,1.39999781905053)); #48299=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,1.39999781905053)); #48300=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,1.39999781905053)); #48301=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,1.79999781905051)); #48302=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,1.39999781905053)); #48303=CARTESIAN_POINT('',(2.85000012765719,7.20000025531442,1.79999781905051)); #48304=CARTESIAN_POINT('Origin',(2.85000012765719,7.20000025531442,1.39999781905053)); #48305=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,1.79999781905051)); #48306=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,1.79999781905051)); #48307=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,1.79999781905051)); #48308=CARTESIAN_POINT('',(2.85000012765719,5.8000002553144,1.79999781905051)); #48309=CARTESIAN_POINT('Origin',(2.85000012765719,6.5000002553144,0.899997819050506)); #48310=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,0.899997819050506)); #48311=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,0.124997818784819)); #48312=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.124997818784819)); #48313=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.274997820664371)); #48314=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.124997818784819)); #48315=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,0.274997820664371)); #48316=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.274997820664371)); #48317=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,0.124997818784819)); #48318=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,0.124997818784819)); #48319=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.124997818784819)); #48320=CARTESIAN_POINT('Origin',(3.10000012765719,5.4000002553144,0.124997818784818)); #48321=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,0.124997818784818)); #48322=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.124997818784819)); #48323=CARTESIAN_POINT('',(3.35000012765719,5.4000002553144,0.124997818784818)); #48324=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,0.124997818784819)); #48325=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,0.124997818784818)); #48326=CARTESIAN_POINT('Origin',(3.10000012765719,5.40000025531527,1.40000005744601)); #48327=CARTESIAN_POINT('',(3.10000012765719,5.40000025531527,1.40000005744601)); #48328=CARTESIAN_POINT('',(3.10000012765719,5.40000025531527,1.40000005744601)); #48329=CARTESIAN_POINT('',(3.35000012765719,5.40000025531527,1.40000005744601)); #48330=CARTESIAN_POINT('',(3.35000012765719,5.40000025531527,1.40000005744601)); #48331=CARTESIAN_POINT('',(3.10000012765719,5.40000025531527,1.40000005744601)); #48332=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,1.39999781905051)); #48333=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,1.79999781905051)); #48334=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,1.39999781905051)); #48335=CARTESIAN_POINT('',(3.35000012765719,5.8000002553144,1.79999781905051)); #48336=CARTESIAN_POINT('Origin',(3.35000012765719,5.8000002553144,1.39999781905051)); #48337=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,1.79999781905051)); #48338=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,1.79999781905051)); #48339=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,1.79999781905051)); #48340=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,1.79999781905051)); #48341=CARTESIAN_POINT('',(3.35000012765719,7.20000025531442,1.79999781905051)); #48342=CARTESIAN_POINT('',(3.35000012765719,7.20000025531442,1.79999781905051)); #48343=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,1.79999781905051)); #48344=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,1.39999781905053)); #48345=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,1.40000005744574)); #48346=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,1.39999781905053)); #48347=CARTESIAN_POINT('',(3.35000012765719,7.6000002553144,1.40000005744574)); #48348=CARTESIAN_POINT('Origin',(3.35000012765719,7.20000025531442,1.39999781905053)); #48349=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,1.40000005744574)); #48350=CARTESIAN_POINT('Origin',(3.10000012765719,7.6000002553144,0.124997818784819)); #48351=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,0.124997818784819)); #48352=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,0.124997818784819)); #48353=CARTESIAN_POINT('',(3.35000012765719,7.6000002553144,0.124997818784819)); #48354=CARTESIAN_POINT('',(3.35000012765719,7.6000002553144,0.124997818784819)); #48355=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,0.124997818784819)); #48356=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,0.124997818784819)); #48357=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.124997818784819)); #48358=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.124997818784819)); #48359=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,0.124997818784819)); #48360=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,0.124997818784819)); #48361=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.124997818784819)); #48362=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,0.274997820664371)); #48363=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.274997820664371)); #48364=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.274997820664371)); #48365=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,0.274997820664371)); #48366=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,0.274997820664371)); #48367=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.274997820664371)); #48368=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,0.274997820664371)); #48369=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.274997820664371)); #48370=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.274997820664371)); #48371=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,0.274997820664371)); #48372=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,0.274997820664371)); #48373=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.274997820664371)); #48374=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,0.424997853369575)); #48375=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.424997853369575)); #48376=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.424997853369575)); #48377=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,0.424997853369575)); #48378=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,0.424997853369575)); #48379=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,0.424997853369575)); #48380=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,0.424997853369575)); #48381=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.424997853369575)); #48382=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.424997853369575)); #48383=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,0.424997853369575)); #48384=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,0.424997853369575)); #48385=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,0.424997853369575)); #48386=CARTESIAN_POINT('Origin',(3.10000012765719,7.4000002361658,1.02499785336958)); #48387=CARTESIAN_POINT('',(3.10000012765719,7.4000002361658,1.02499785336958)); #48388=CARTESIAN_POINT('',(3.10000012765719,7.4000002361658,1.02499785336958)); #48389=CARTESIAN_POINT('',(3.35000012765719,7.4000002361658,1.02499785336958)); #48390=CARTESIAN_POINT('',(3.35000012765719,7.4000002361658,1.02499785336958)); #48391=CARTESIAN_POINT('',(3.10000012765719,7.4000002361658,1.02499785336958)); #48392=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,1.02499785336958)); #48393=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,1.02499785336958)); #48394=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,1.02499785336958)); #48395=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,1.02499785336958)); #48396=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,1.02499785336958)); #48397=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,1.02499785336958)); #48398=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,0.424997853369575)); #48399=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.424997853369575)); #48400=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,1.02499785336958)); #48401=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,0.424997853369575)); #48402=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,1.02499785336958)); #48403=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.424997853369575)); #48404=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,0.424997853369575)); #48405=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.424997853369575)); #48406=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.424997853369575)); #48407=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,0.424997853369575)); #48408=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,0.424997853369575)); #48409=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.424997853369575)); #48410=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,0.274997820664371)); #48411=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.274997820664371)); #48412=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.274997820664371)); #48413=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,0.274997820664371)); #48414=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,0.274997820664371)); #48415=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,0.274997820664371)); #48416=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,0.274997820664371)); #48417=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,0.274997820664371)); #48418=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,0.274997820664371)); #48419=CARTESIAN_POINT('Origin',(3.35000012765719,6.5000002553144,0.962497818917662)); #48420=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,0.962497818917662)); #48421=CARTESIAN_POINT('Origin',(1.35008222242578,7.00000025531458,0.125000000000411)); #48422=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,-0.124999999999589)); #48423=CARTESIAN_POINT('',(1.35008222242578,7.00000025531458,0.125000000000411)); #48424=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,-0.124999999999589)); #48425=CARTESIAN_POINT('',(1.10008222242578,7.00000025531479,-0.124999999999589)); #48426=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,-0.124999999999589)); #48427=CARTESIAN_POINT('',(1.10008222242578,7.00000025531458,0.125000000000411)); #48428=CARTESIAN_POINT('',(1.10008222242578,7.00000025531479,-0.124999999999589)); #48429=CARTESIAN_POINT('',(1.35008222242578,7.00000025531458,0.125000000000411)); #48430=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000025531458,0.125000000000617)); #48431=CARTESIAN_POINT('',(1.35008222242578,7.25000025531458,0.125000000000617)); #48432=CARTESIAN_POINT('',(1.35008222242578,7.00000025531458,0.125000000000411)); #48433=CARTESIAN_POINT('',(1.10008222242578,7.25000025531458,0.125000000000617)); #48434=CARTESIAN_POINT('',(1.10008222242578,7.00000025531458,0.125000000000411)); #48435=CARTESIAN_POINT('',(1.35008222242578,7.25000025531458,0.125000000000617)); #48436=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000025531446,0.275000000000617)); #48437=CARTESIAN_POINT('',(1.35008222242578,7.25000025531446,0.275000000000617)); #48438=CARTESIAN_POINT('',(1.35008222242578,7.25000025531458,0.125000000000617)); #48439=CARTESIAN_POINT('',(1.10008222242578,7.25000025531446,0.275000000000617)); #48440=CARTESIAN_POINT('',(1.10008222242578,7.25000025531458,0.125000000000617)); #48441=CARTESIAN_POINT('',(1.35008222242578,7.25000025531446,0.275000000000617)); #48442=CARTESIAN_POINT('Origin',(1.35008222242578,7.17500025531446,0.275000000000555)); #48443=CARTESIAN_POINT('',(1.35008222242578,7.17500025531446,0.275000000000555)); #48444=CARTESIAN_POINT('',(1.35008222242578,7.25000025531446,0.275000000000617)); #48445=CARTESIAN_POINT('',(1.10008222242578,7.17500025531446,0.275000000000555)); #48446=CARTESIAN_POINT('',(1.10008222242578,7.25000025531446,0.275000000000617)); #48447=CARTESIAN_POINT('',(1.35008222242578,7.17500025531446,0.275000000000555)); #48448=CARTESIAN_POINT('Origin',(1.35008222242578,7.17500025531433,0.425000000000555)); #48449=CARTESIAN_POINT('',(1.35008222242578,7.17500025531433,0.425000000000555)); #48450=CARTESIAN_POINT('',(1.35008222242578,7.17500025531446,0.275000000000555)); #48451=CARTESIAN_POINT('',(1.10008222242578,7.17500025531433,0.425000000000555)); #48452=CARTESIAN_POINT('',(1.10008222242578,7.17500025531446,0.275000000000555)); #48453=CARTESIAN_POINT('',(1.35008222242578,7.17500025531433,0.425000000000555)); #48454=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000032712191,0.425000000000617)); #48455=CARTESIAN_POINT('',(1.35008222242578,7.25000032712191,0.425000000000617)); #48456=CARTESIAN_POINT('',(1.35008222242578,7.17500025531433,0.425000000000555)); #48457=CARTESIAN_POINT('',(1.10008222242578,7.25000032712191,0.425000000000617)); #48458=CARTESIAN_POINT('',(1.10008222242578,7.17500025531433,0.425000000000555)); #48459=CARTESIAN_POINT('',(1.35008222242578,7.25000032712191,0.425000000000617)); #48460=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000032712158,0.825000000000617)); #48461=CARTESIAN_POINT('',(1.35008222242578,7.25000032712158,0.825000000000617)); #48462=CARTESIAN_POINT('',(1.35008222242578,7.25000032712191,0.425000000000617)); #48463=CARTESIAN_POINT('',(1.10008222242578,7.25000032712158,0.825000000000617)); #48464=CARTESIAN_POINT('',(1.10008222242578,7.25000032712191,0.425000000000617)); #48465=CARTESIAN_POINT('',(1.35008222242578,7.25000032712158,0.825000000000617)); #48466=CARTESIAN_POINT('Origin',(1.35008222242578,7.40000033190873,0.82500000000074)); #48467=CARTESIAN_POINT('',(1.35008222242578,7.40000033190873,0.82500000000074)); #48468=CARTESIAN_POINT('',(1.35008222242578,7.25000032712158,0.825000000000617)); #48469=CARTESIAN_POINT('',(1.10008222242578,7.40000033190873,0.82500000000074)); #48470=CARTESIAN_POINT('',(1.10008222242578,7.25000032712158,0.825000000000617)); #48471=CARTESIAN_POINT('',(1.35008222242578,7.40000033190873,0.82500000000074)); #48472=CARTESIAN_POINT('Origin',(1.35008222242578,7.40000033190906,0.42500000000074)); #48473=CARTESIAN_POINT('',(1.35008222242578,7.40000033190906,0.42500000000074)); #48474=CARTESIAN_POINT('',(1.35008222242578,7.40000033190873,0.82500000000074)); #48475=CARTESIAN_POINT('',(1.10008222242578,7.40000033190906,0.42500000000074)); #48476=CARTESIAN_POINT('',(1.10008222242578,7.40000033190873,0.82500000000074)); #48477=CARTESIAN_POINT('',(1.35008222242578,7.40000033190906,0.42500000000074)); #48478=CARTESIAN_POINT('Origin',(1.35008222242578,7.47500033190906,0.425000000000802)); #48479=CARTESIAN_POINT('',(1.35008222242578,7.47500033190906,0.425000000000802)); #48480=CARTESIAN_POINT('',(1.35008222242578,7.40000033190906,0.42500000000074)); #48481=CARTESIAN_POINT('',(1.10008222242578,7.47500033190906,0.425000000000802)); #48482=CARTESIAN_POINT('',(1.10008222242578,7.40000033190906,0.42500000000074)); #48483=CARTESIAN_POINT('',(1.35008222242578,7.47500033190906,0.425000000000802)); #48484=CARTESIAN_POINT('Origin',(1.35008222242578,7.47500033190918,0.274703822291045)); #48485=CARTESIAN_POINT('',(1.35008222242578,7.47500033190918,0.274703822291045)); #48486=CARTESIAN_POINT('',(1.35008222242578,7.47500033190906,0.425000000000802)); #48487=CARTESIAN_POINT('',(1.10008222242578,7.47500033190918,0.274703822291045)); #48488=CARTESIAN_POINT('',(1.10008222242578,7.47500033190906,0.425000000000802)); #48489=CARTESIAN_POINT('',(1.35008222242578,7.47500033190918,0.274703822291045)); #48490=CARTESIAN_POINT('Origin',(1.35008222242578,7.40000033190918,0.274703822290983)); #48491=CARTESIAN_POINT('',(1.35008222242578,7.40000033190918,0.274703822290983)); #48492=CARTESIAN_POINT('',(1.35008222242578,7.47500033190918,0.274703822291045)); #48493=CARTESIAN_POINT('',(1.10008222242578,7.40000033190918,0.274703822290983)); #48494=CARTESIAN_POINT('',(1.10008222242578,7.47500033190918,0.274703822291045)); #48495=CARTESIAN_POINT('',(1.35008222242578,7.40000033190918,0.274703822290983)); #48496=CARTESIAN_POINT('Origin',(1.35008222242578,7.4000003319093,0.124703822290983)); #48497=CARTESIAN_POINT('',(1.35008222242578,7.4000003319093,0.124703822290983)); #48498=CARTESIAN_POINT('',(1.35008222242578,7.40000033190918,0.274703822290983)); #48499=CARTESIAN_POINT('',(1.10008222242578,7.4000003319093,0.124703822290983)); #48500=CARTESIAN_POINT('',(1.10008222242578,7.40000033190918,0.274703822290983)); #48501=CARTESIAN_POINT('',(1.35008222242578,7.4000003319093,0.124703822290983)); #48502=CARTESIAN_POINT('Origin',(1.35008222242578,7.60000033829216,0.124703822291147)); #48503=CARTESIAN_POINT('',(1.35008222242578,7.60000033829216,0.124703822291147)); #48504=CARTESIAN_POINT('',(1.35008222242578,7.4000003319093,0.124703822290983)); #48505=CARTESIAN_POINT('',(1.10008222242578,7.60000033829216,0.124703822291147)); #48506=CARTESIAN_POINT('',(1.10008222242578,7.4000003319093,0.124703822290983)); #48507=CARTESIAN_POINT('',(1.35008222242578,7.60000033829216,0.124703822291147)); #48508=CARTESIAN_POINT('Origin',(1.35008222242578,7.60000033829144,1.0000000319152)); #48509=CARTESIAN_POINT('',(1.35008222242578,7.60000033829144,1.0000000319152)); #48510=CARTESIAN_POINT('',(1.35008222242578,7.60000033829216,0.124703822291147)); #48511=CARTESIAN_POINT('',(1.10008222242578,7.60000033829144,1.0000000319152)); #48512=CARTESIAN_POINT('',(1.10008222242578,7.60000033829216,0.124703822291147)); #48513=CARTESIAN_POINT('',(1.35008222242578,7.60000033829144,1.0000000319152)); #48514=CARTESIAN_POINT('Origin',(1.35008222242578,7.03750025531425,1.00000003191474)); #48515=CARTESIAN_POINT('',(1.35008222242578,7.03750025531425,1.00000003191474)); #48516=CARTESIAN_POINT('',(1.35008222242578,7.60000033829144,1.0000000319152)); #48517=CARTESIAN_POINT('',(1.10008222242578,7.03750025531425,1.00000003191474)); #48518=CARTESIAN_POINT('',(1.10008222242578,7.60000033829144,1.0000000319152)); #48519=CARTESIAN_POINT('',(1.35008222242578,7.03750025531425,1.00000003191474)); #48520=CARTESIAN_POINT('Origin',(1.35008222242578,7.0375002553144,0.817500000000441)); #48521=CARTESIAN_POINT('',(1.35008222242578,7.0375002553144,0.817500000000441)); #48522=CARTESIAN_POINT('',(1.35008222242578,7.0375002553144,0.817500000000441)); #48523=CARTESIAN_POINT('',(1.10008222242578,7.0375002553144,0.817500000000441)); #48524=CARTESIAN_POINT('',(1.10008222242578,7.0375002553144,0.817500000000441)); #48525=CARTESIAN_POINT('',(1.35008222242578,7.0375002553144,0.817500000000441)); #48526=CARTESIAN_POINT('Origin',(1.35008222242578,6.5000002553144,0.817499999999999)); #48527=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,0.817499999999558)); #48528=CARTESIAN_POINT('Origin',(1.35008222242578,6.5000002553144,0.817499999999999)); #48529=CARTESIAN_POINT('',(1.10008222242578,5.9625002553144,0.817499999999558)); #48530=CARTESIAN_POINT('Origin',(1.10008222242578,6.5000002553144,0.817499999999999)); #48531=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,0.817499999999558)); #48532=CARTESIAN_POINT('Origin',(1.35008222242578,5.9625002553144,1.0000000319143)); #48533=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,1.0000000319143)); #48534=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,0.817499999999999)); #48535=CARTESIAN_POINT('',(1.10008222242578,5.9625002553144,1.0000000319143)); #48536=CARTESIAN_POINT('',(1.10008222242578,5.9625002553144,0.817499999999999)); #48537=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,1.0000000319143)); #48538=CARTESIAN_POINT('Origin',(1.35008222242578,5.40000017233721,1.0000000319143)); #48539=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,1.0000000319143)); #48540=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,1.0000000319143)); #48541=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,1.0000000319143)); #48542=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,1.0000000319143)); #48543=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,1.0000000319143)); #48544=CARTESIAN_POINT('Origin',(1.35008222242578,5.40000017233721,0.124703822290243)); #48545=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,0.124703822290243)); #48546=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,0.124703822290243)); #48547=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,0.124703822290243)); #48548=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,0.124703822290243)); #48549=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,0.124703822290243)); #48550=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,0.124703822290243)); #48551=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.124703822290243)); #48552=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.124703822290243)); #48553=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.124703822290243)); #48554=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.124703822290243)); #48555=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.124703822290243)); #48556=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,0.274703822290243)); #48557=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.274703822290243)); #48558=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.274703822290243)); #48559=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.274703822290243)); #48560=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.274703822290243)); #48561=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.274703822290243)); #48562=CARTESIAN_POINT('Origin',(1.35008222242578,5.52500017872007,0.274703822290243)); #48563=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,0.274703822290243)); #48564=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,0.274703822290243)); #48565=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,0.274703822290243)); #48566=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,0.274703822290243)); #48567=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,0.274703822290243)); #48568=CARTESIAN_POINT('Origin',(1.35008222242578,5.52500017872007,0.425)); #48569=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,0.425)); #48570=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,0.425)); #48571=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,0.425)); #48572=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,0.425)); #48573=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,0.425)); #48574=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,0.425)); #48575=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.425)); #48576=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.425)); #48577=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.425)); #48578=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.425)); #48579=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.425)); #48580=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,0.825)); #48581=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.825)); #48582=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.825)); #48583=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.825)); #48584=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,0.825)); #48585=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,0.825)); #48586=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000018350721,0.825)); #48587=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,0.825)); #48588=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,0.825)); #48589=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,0.825)); #48590=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,0.825)); #48591=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,0.825)); #48592=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000018350721,0.425)); #48593=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,0.425)); #48594=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,0.425)); #48595=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,0.425)); #48596=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,0.425)); #48597=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,0.425)); #48598=CARTESIAN_POINT('Origin',(1.35008222242578,5.82500025531479,0.425)); #48599=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,0.425)); #48600=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,0.425)); #48601=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,0.425)); #48602=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,0.425)); #48603=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,0.425)); #48604=CARTESIAN_POINT('Origin',(1.35008222242578,5.82500025531479,0.275)); #48605=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,0.275)); #48606=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,0.275)); #48607=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,0.275)); #48608=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,0.275)); #48609=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,0.275)); #48610=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000025531479,0.275)); #48611=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,0.275)); #48612=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,0.275)); #48613=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,0.275)); #48614=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,0.275)); #48615=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,0.275)); #48616=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000025531479,0.125)); #48617=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,0.125)); #48618=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,0.125)); #48619=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,0.125)); #48620=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,0.125)); #48621=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,0.125)); #48622=CARTESIAN_POINT('Origin',(1.35008222242578,6.00000025531479,0.125)); #48623=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,0.125)); #48624=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,0.125)); #48625=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,0.125)); #48626=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,0.125)); #48627=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,0.125)); #48628=CARTESIAN_POINT('Origin',(1.35008222242578,6.00000025531479,-0.125)); #48629=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,-0.125)); #48630=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,-0.125)); #48631=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,-0.125)); #48632=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,-0.125)); #48633=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,-0.125)); #48634=CARTESIAN_POINT('Origin',(1.35008222242578,7.00000025531479,-0.124999999999589)); #48635=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,-0.124999999999589)); #48636=CARTESIAN_POINT('',(1.10008222242578,7.00000025531479,-0.124999999999589)); #48637=CARTESIAN_POINT('Origin',(1.10008222242578,6.50000025531468,0.437500015957601)); #48638=CARTESIAN_POINT('Origin',(1.35008222242578,6.50000025531468,0.437500015957601)); #48639=CARTESIAN_POINT('',(0.,0.,0.)); #48640=CARTESIAN_POINT('Origin',(0.125,-3.21750000000039,-2.18121518152706E-6)); #48641=CARTESIAN_POINT('',(0.125,-3.21750000000039,1.2749978190505)); #48642=CARTESIAN_POINT('',(0.125,-3.21750000000039,-2.18121518152706E-6)); #48643=CARTESIAN_POINT('',(0.125,-3.21750000000039,0.356247818917662)); #48644=CARTESIAN_POINT('',(-0.125,-3.21750000000039,1.2749978190505)); #48645=CARTESIAN_POINT('',(0.125,-3.21750000000039,1.2749978190505)); #48646=CARTESIAN_POINT('',(-0.125,-3.21750000000039,-2.18121518152706E-6)); #48647=CARTESIAN_POINT('',(-0.125,-3.21750000000039,0.356247818917662)); #48648=CARTESIAN_POINT('',(0.125,-3.21750000000039,-2.18121518152706E-6)); #48649=CARTESIAN_POINT('Origin',(0.125,-3.01750000000038,-2.18121518143965E-6)); #48650=CARTESIAN_POINT('',(0.125,-3.01750000000038,-2.18121518143965E-6)); #48651=CARTESIAN_POINT('',(0.125,-2.56750000000038,-2.18121518104626E-6)); #48652=CARTESIAN_POINT('',(-0.125,-3.01750000000038,-2.18121518143965E-6)); #48653=CARTESIAN_POINT('',(-0.125,-2.56750000000038,-2.18121518104626E-6)); #48654=CARTESIAN_POINT('',(0.125,-3.01750000000038,-2.18121518143965E-6)); #48655=CARTESIAN_POINT('Origin',(0.125,-3.01750000000038,0.149997820664371)); #48656=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.149997820664371)); #48657=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.431247819857439)); #48658=CARTESIAN_POINT('',(-0.125,-3.01750000000038,0.149997820664371)); #48659=CARTESIAN_POINT('',(-0.125,-3.01750000000038,0.431247819857439)); #48660=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.149997820664371)); #48661=CARTESIAN_POINT('Origin',(0.125,-3.09250000000039,0.149997820664371)); #48662=CARTESIAN_POINT('',(0.125,-3.09250000000039,0.149997820664371)); #48663=CARTESIAN_POINT('',(0.125,-2.60500000000039,0.149997820664371)); #48664=CARTESIAN_POINT('',(-0.125,-3.09250000000039,0.149997820664371)); #48665=CARTESIAN_POINT('',(-0.125,-2.60500000000039,0.149997820664371)); #48666=CARTESIAN_POINT('',(0.125,-3.09250000000039,0.149997820664371)); #48667=CARTESIAN_POINT('Origin',(0.125,-3.09250000000039,0.299997853369575)); #48668=CARTESIAN_POINT('',(0.125,-3.09250000000039,0.299997853369575)); #48669=CARTESIAN_POINT('',(0.125,-3.09250000000039,0.506247836210041)); #48670=CARTESIAN_POINT('',(-0.125,-3.09250000000039,0.299997853369575)); #48671=CARTESIAN_POINT('',(-0.125,-3.09250000000039,0.506247836210041)); #48672=CARTESIAN_POINT('',(0.125,-3.09250000000039,0.299997853369575)); #48673=CARTESIAN_POINT('Origin',(0.125,-3.01750000000038,0.299997853369575)); #48674=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.299997853369575)); #48675=CARTESIAN_POINT('',(0.125,-2.56750000000038,0.299997853369575)); #48676=CARTESIAN_POINT('',(-0.125,-3.01750000000038,0.299997853369575)); #48677=CARTESIAN_POINT('',(-0.125,-2.56750000000038,0.299997853369575)); #48678=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.299997853369575)); #48679=CARTESIAN_POINT('Origin',(0.125,-3.01750000000038,0.699997832778148)); #48680=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.699997832778148)); #48681=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.706247825914327)); #48682=CARTESIAN_POINT('',(-0.125,-3.01750000000038,0.699997832778148)); #48683=CARTESIAN_POINT('',(-0.125,-3.01750000000038,0.706247825914327)); #48684=CARTESIAN_POINT('',(0.125,-3.01750000000038,0.699997832778148)); #48685=CARTESIAN_POINT('Origin',(0.125,-2.86750000000038,0.699997832778148)); #48686=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.699997832778148)); #48687=CARTESIAN_POINT('',(0.125,-2.49250000000038,0.699997832778148)); #48688=CARTESIAN_POINT('',(-0.125,-2.86750000000038,0.699997832778148)); #48689=CARTESIAN_POINT('',(-0.125,-2.49250000000038,0.699997832778148)); #48690=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.699997832778148)); #48691=CARTESIAN_POINT('Origin',(0.125,-2.86750000000038,0.299997822482432)); #48692=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.299997822482432)); #48693=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.506247820766469)); #48694=CARTESIAN_POINT('',(-0.125,-2.86750000000038,0.299997822482432)); #48695=CARTESIAN_POINT('',(-0.125,-2.86750000000038,0.506247820766469)); #48696=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.299997822482432)); #48697=CARTESIAN_POINT('Origin',(0.125,-2.79250000000039,0.299997822482432)); #48698=CARTESIAN_POINT('',(0.125,-2.79250000000039,0.299997822482432)); #48699=CARTESIAN_POINT('',(0.125,-2.45500000000039,0.299997822482434)); #48700=CARTESIAN_POINT('',(-0.125,-2.79250000000039,0.299997822482432)); #48701=CARTESIAN_POINT('',(-0.125,-2.45500000000039,0.299997822482434)); #48702=CARTESIAN_POINT('',(0.125,-2.79250000000039,0.299997822482432)); #48703=CARTESIAN_POINT('Origin',(0.125,-2.79250000000039,0.149997822482432)); #48704=CARTESIAN_POINT('',(0.125,-2.79250000000039,0.149997822482432)); #48705=CARTESIAN_POINT('',(0.125,-2.79250000000038,0.431247820766478)); #48706=CARTESIAN_POINT('',(-0.125,-2.79250000000039,0.149997822482432)); #48707=CARTESIAN_POINT('',(-0.125,-2.79250000000038,0.431247820766478)); #48708=CARTESIAN_POINT('',(0.125,-2.79250000000039,0.149997822482432)); #48709=CARTESIAN_POINT('Origin',(0.125,-2.86750000000038,0.149997822482434)); #48710=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.149997822482434)); #48711=CARTESIAN_POINT('',(0.125,-2.49250000000039,0.149997822482425)); #48712=CARTESIAN_POINT('',(-0.125,-2.86750000000038,0.149997822482434)); #48713=CARTESIAN_POINT('',(-0.125,-2.49250000000039,0.149997822482425)); #48714=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.149997822482434)); #48715=CARTESIAN_POINT('Origin',(0.125,-2.86750000000038,-2.1809494614477E-6)); #48716=CARTESIAN_POINT('',(0.125,-2.86750000000038,-2.1809494614477E-6)); #48717=CARTESIAN_POINT('',(0.125,-2.86750000000038,0.356247819050532)); #48718=CARTESIAN_POINT('',(-0.125,-2.86750000000038,-2.1809494614477E-6)); #48719=CARTESIAN_POINT('',(-0.125,-2.86750000000038,0.356247819050532)); #48720=CARTESIAN_POINT('',(0.125,-2.86750000000038,-2.1809494614477E-6)); #48721=CARTESIAN_POINT('Origin',(0.125,-2.61750000000038,-2.18094946791672E-6)); #48722=CARTESIAN_POINT('',(0.125,-2.61750000000038,-2.18094946791672E-6)); #48723=CARTESIAN_POINT('',(0.125,-2.3675000000004,-2.18094947438574E-6)); #48724=CARTESIAN_POINT('',(-0.125,-2.61750000000038,-2.18094946791672E-6)); #48725=CARTESIAN_POINT('',(-0.125,-2.3675000000004,-2.18094947438574E-6)); #48726=CARTESIAN_POINT('',(0.125,-2.61750000000038,-2.18094946791672E-6)); #48727=CARTESIAN_POINT('Origin',(0.125,-2.61750000000038,-0.250002180949468)); #48728=CARTESIAN_POINT('',(0.125,-2.61750000000038,-0.250002180949468)); #48729=CARTESIAN_POINT('',(0.125,-2.6175000000004,0.231247819050512)); #48730=CARTESIAN_POINT('',(-0.125,-2.61750000000038,-0.250002180949468)); #48731=CARTESIAN_POINT('',(-0.125,-2.6175000000004,0.231247819050512)); #48732=CARTESIAN_POINT('',(0.125,-2.61750000000038,-0.250002180949468)); #48733=CARTESIAN_POINT('Origin',(0.125,-1.61750000000038,-0.250002180949468)); #48734=CARTESIAN_POINT('',(0.125,-1.61750000000038,-0.250002180949468)); #48735=CARTESIAN_POINT('',(0.125,-1.86750000000037,-0.250002180949474)); #48736=CARTESIAN_POINT('',(-0.125,-1.61750000000038,-0.250002180949468)); #48737=CARTESIAN_POINT('',(-0.125,-1.86750000000037,-0.250002180949474)); #48738=CARTESIAN_POINT('',(0.125,-1.61750000000038,-0.250002180949468)); #48739=CARTESIAN_POINT('Origin',(0.125,-1.61750000000039,-2.1809494678293E-6)); #48740=CARTESIAN_POINT('',(0.125,-1.61750000000039,-2.1809494678293E-6)); #48741=CARTESIAN_POINT('',(0.125,-1.6175000000004,0.356247819050526)); #48742=CARTESIAN_POINT('',(-0.125,-1.61750000000039,-2.1809494678293E-6)); #48743=CARTESIAN_POINT('',(-0.125,-1.6175000000004,0.356247819050526)); #48744=CARTESIAN_POINT('',(0.125,-1.61750000000039,-2.1809494678293E-6)); #48745=CARTESIAN_POINT('Origin',(0.125,-1.36750000000039,-2.18094946136028E-6)); #48746=CARTESIAN_POINT('',(0.125,-1.36750000000039,-2.18094946136028E-6)); #48747=CARTESIAN_POINT('',(0.125,-1.74250000000038,-2.18094947106381E-6)); #48748=CARTESIAN_POINT('',(-0.125,-1.36750000000039,-2.18094946136028E-6)); #48749=CARTESIAN_POINT('',(-0.125,-1.74250000000038,-2.18094947106381E-6)); #48750=CARTESIAN_POINT('',(0.125,-1.36750000000039,-2.18094946136028E-6)); #48751=CARTESIAN_POINT('Origin',(0.125,-1.3675000000004,0.149997822482434)); #48752=CARTESIAN_POINT('',(0.125,-1.36750000000039,0.149997822482434)); #48753=CARTESIAN_POINT('',(0.125,-1.3675000000004,0.431247819050532)); #48754=CARTESIAN_POINT('',(-0.125,-1.36750000000039,0.149997822482434)); #48755=CARTESIAN_POINT('',(-0.125,-1.3675000000004,0.431247819050532)); #48756=CARTESIAN_POINT('',(0.125,-1.36750000000039,0.149997822482434)); #48757=CARTESIAN_POINT('Origin',(0.125,-1.44250000000038,0.149997822482432)); #48758=CARTESIAN_POINT('',(0.125,-1.44250000000038,0.149997822482433)); #48759=CARTESIAN_POINT('',(0.125,-1.78000000000038,0.149997822482424)); #48760=CARTESIAN_POINT('',(-0.125,-1.44250000000038,0.149997822482433)); #48761=CARTESIAN_POINT('',(-0.125,-1.78000000000038,0.149997822482424)); #48762=CARTESIAN_POINT('',(0.125,-1.44250000000038,0.149997822482433)); #48763=CARTESIAN_POINT('Origin',(0.125,-1.44250000000039,0.299997822482432)); #48764=CARTESIAN_POINT('',(0.125,-1.44250000000039,0.299997822482432)); #48765=CARTESIAN_POINT('',(0.125,-1.44250000000039,0.506247820766478)); #48766=CARTESIAN_POINT('',(-0.125,-1.44250000000039,0.299997822482432)); #48767=CARTESIAN_POINT('',(-0.125,-1.44250000000039,0.506247820766478)); #48768=CARTESIAN_POINT('',(0.125,-1.44250000000039,0.299997822482432)); #48769=CARTESIAN_POINT('Origin',(0.125,-1.3675000000004,0.299997822482432)); #48770=CARTESIAN_POINT('',(0.125,-1.3675000000004,0.299997822482432)); #48771=CARTESIAN_POINT('',(0.125,-1.74250000000039,0.299997822482434)); #48772=CARTESIAN_POINT('',(-0.125,-1.3675000000004,0.299997822482432)); #48773=CARTESIAN_POINT('',(-0.125,-1.74250000000039,0.299997822482434)); #48774=CARTESIAN_POINT('',(0.125,-1.3675000000004,0.299997822482432)); #48775=CARTESIAN_POINT('Origin',(0.125,-1.3675000000004,0.699997832778148)); #48776=CARTESIAN_POINT('',(0.125,-1.3675000000004,0.699997832778148)); #48777=CARTESIAN_POINT('',(0.125,-1.3675000000004,0.706247825914327)); #48778=CARTESIAN_POINT('',(-0.125,-1.3675000000004,0.699997832778148)); #48779=CARTESIAN_POINT('',(-0.125,-1.3675000000004,0.706247825914327)); #48780=CARTESIAN_POINT('',(0.125,-1.3675000000004,0.699997832778148)); #48781=CARTESIAN_POINT('Origin',(0.125,-1.2175000000004,0.699997832778148)); #48782=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.699997832778148)); #48783=CARTESIAN_POINT('',(0.125,-1.66750000000039,0.699997832778148)); #48784=CARTESIAN_POINT('',(-0.125,-1.2175000000004,0.699997832778148)); #48785=CARTESIAN_POINT('',(-0.125,-1.66750000000039,0.699997832778148)); #48786=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.699997832778148)); #48787=CARTESIAN_POINT('Origin',(0.125,-1.2175000000004,0.299997853369575)); #48788=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.299997853369575)); #48789=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.506247836210041)); #48790=CARTESIAN_POINT('',(-0.125,-1.2175000000004,0.299997853369575)); #48791=CARTESIAN_POINT('',(-0.125,-1.2175000000004,0.506247836210041)); #48792=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.299997853369575)); #48793=CARTESIAN_POINT('Origin',(0.125,-1.14250000000039,0.299997853369575)); #48794=CARTESIAN_POINT('',(0.125,-1.14250000000039,0.299997853369575)); #48795=CARTESIAN_POINT('',(0.125,-1.63000000000039,0.299997853369575)); #48796=CARTESIAN_POINT('',(-0.125,-1.14250000000039,0.299997853369575)); #48797=CARTESIAN_POINT('',(-0.125,-1.63000000000039,0.299997853369575)); #48798=CARTESIAN_POINT('',(0.125,-1.14250000000039,0.299997853369575)); #48799=CARTESIAN_POINT('Origin',(0.125,-1.14250000000039,0.149997820664372)); #48800=CARTESIAN_POINT('',(0.125,-1.14250000000039,0.149997820664372)); #48801=CARTESIAN_POINT('',(0.125,-1.14250000000039,0.431247819857439)); #48802=CARTESIAN_POINT('',(-0.125,-1.14250000000039,0.149997820664372)); #48803=CARTESIAN_POINT('',(-0.125,-1.14250000000039,0.431247819857439)); #48804=CARTESIAN_POINT('',(0.125,-1.14250000000039,0.149997820664372)); #48805=CARTESIAN_POINT('Origin',(0.125,-1.2175000000004,0.149997820664372)); #48806=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.149997820664372)); #48807=CARTESIAN_POINT('',(0.125,-1.66750000000039,0.149997820664372)); #48808=CARTESIAN_POINT('',(-0.125,-1.2175000000004,0.149997820664372)); #48809=CARTESIAN_POINT('',(-0.125,-1.66750000000039,0.149997820664372)); #48810=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.149997820664372)); #48811=CARTESIAN_POINT('Origin',(0.125,-1.2175000000004,-2.18121518135223E-6)); #48812=CARTESIAN_POINT('',(0.125,-1.2175000000004,-2.18121518135223E-6)); #48813=CARTESIAN_POINT('',(0.125,-1.2175000000004,0.356247818917663)); #48814=CARTESIAN_POINT('',(-0.125,-1.2175000000004,-2.18121518135223E-6)); #48815=CARTESIAN_POINT('',(-0.125,-1.2175000000004,0.356247818917663)); #48816=CARTESIAN_POINT('',(0.125,-1.2175000000004,-2.18121518135223E-6)); #48817=CARTESIAN_POINT('Origin',(0.125,-1.01750000000039,-2.18121518136862E-6)); #48818=CARTESIAN_POINT('',(0.125,-1.01750000000039,-2.18121518135223E-6)); #48819=CARTESIAN_POINT('',(0.125,-1.56750000000039,-2.18121518130852E-6)); #48820=CARTESIAN_POINT('',(-0.125,-1.01750000000039,-2.18121518135223E-6)); #48821=CARTESIAN_POINT('',(-0.125,-1.56750000000039,-2.18121518130852E-6)); #48822=CARTESIAN_POINT('',(0.125,-1.01750000000039,-2.18121518135223E-6)); #48823=CARTESIAN_POINT('Origin',(0.125,-1.01750000000039,1.27499781905053)); #48824=CARTESIAN_POINT('',(0.125,-1.01750000000039,1.27499781905053)); #48825=CARTESIAN_POINT('',(0.125,-1.01750000000039,0.993747819050518)); #48826=CARTESIAN_POINT('',(-0.125,-1.01750000000039,1.27499781905053)); #48827=CARTESIAN_POINT('',(-0.125,-1.01750000000039,0.993747819050518)); #48828=CARTESIAN_POINT('',(0.125,-1.01750000000039,1.27499781905053)); #48829=CARTESIAN_POINT('Origin',(0.125,-1.41750000000037,1.27499781905053)); #48830=CARTESIAN_POINT('',(0.125,-1.41750000000037,1.67499781905051)); #48831=CARTESIAN_POINT('Origin',(0.125,-1.41750000000037,1.27499781905053)); #48832=CARTESIAN_POINT('',(-0.125,-1.41750000000037,1.67499781905051)); #48833=CARTESIAN_POINT('Origin',(-0.125,-1.41750000000037,1.27499781905053)); #48834=CARTESIAN_POINT('',(0.125,-1.41750000000037,1.67499781905051)); #48835=CARTESIAN_POINT('Origin',(0.125,-2.81750000000039,1.67499781905051)); #48836=CARTESIAN_POINT('',(0.125,-2.81750000000039,1.67499781905051)); #48837=CARTESIAN_POINT('',(0.125,-2.46750000000039,1.67499781905051)); #48838=CARTESIAN_POINT('',(-0.125,-2.81750000000039,1.67499781905051)); #48839=CARTESIAN_POINT('',(-0.125,-2.46750000000039,1.67499781905051)); #48840=CARTESIAN_POINT('',(0.125,-2.81750000000039,1.67499781905051)); #48841=CARTESIAN_POINT('Origin',(0.125,-2.81750000000039,1.27499781905051)); #48842=CARTESIAN_POINT('Origin',(0.125,-2.81750000000039,1.27499781905051)); #48843=CARTESIAN_POINT('Origin',(-0.125,-2.81750000000039,1.27499781905051)); #48844=CARTESIAN_POINT('Origin',(-0.125,-2.11750000000039,0.712497819050507)); #48845=CARTESIAN_POINT('Origin',(0.125,-2.11750000000039,0.712497819050507)); #48846=CARTESIAN_POINT('',(0.,0.,0.)); #48847=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,-0.564999999999999)); #48848=CARTESIAN_POINT('',(6.00008222242569,6.6250002553148,-0.564999999999999)); #48849=CARTESIAN_POINT('Origin',(6.0000822224257,6.5000002553148,-0.564999999999999)); #48850=CARTESIAN_POINT('',(5.60008222242569,6.62500025531477,-0.564999999999999)); #48851=CARTESIAN_POINT('',(5.60008222242569,6.62500025531477,-0.564999999999999)); #48852=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,-0.564999999999999)); #48853=CARTESIAN_POINT('Origin',(5.60008222242566,7.06500025531477,-0.25)); #48854=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,-0.564999999999999)); #48855=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,-0.25)); #48856=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,-0.564999999999999)); #48857=CARTESIAN_POINT('',(6.00008222242566,7.0650002553148,-0.25)); #48858=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,-0.25)); #48859=CARTESIAN_POINT('',(6.00008222242566,7.0650002553148,-0.564999999999999)); #48860=CARTESIAN_POINT('',(6.00008222242566,7.0650002553148,-0.564999999999999)); #48861=CARTESIAN_POINT('',(5.60008222242566,7.06500025531477,-0.564999999999999)); #48862=CARTESIAN_POINT('Origin',(5.60008222242562,7.63250025531477,-0.25)); #48863=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,-0.25)); #48864=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,-0.25)); #48865=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,-0.25)); #48866=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,-0.25)); #48867=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,-0.25)); #48868=CARTESIAN_POINT('Origin',(5.60008222242562,7.63250025531477,4.37095678986282E-17)); #48869=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,4.37095678986282E-17)); #48870=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,4.37095678986282E-17)); #48871=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,0.)); #48872=CARTESIAN_POINT('',(5.60008222242562,7.63250025531477,4.37095678986282E-17)); #48873=CARTESIAN_POINT('',(6.00008222242562,7.6325002553148,4.37095678986282E-17)); #48874=CARTESIAN_POINT('Origin',(5.60008222242578,5.36750025531477,4.37095678986282E-17)); #48875=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,4.37095678986282E-17)); #48876=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,4.37095678986282E-17)); #48877=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,0.)); #48878=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,4.37095678986282E-17)); #48879=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,4.37095678986282E-17)); #48880=CARTESIAN_POINT('Origin',(5.60008222242578,5.36750025531477,-0.25)); #48881=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,-0.25)); #48882=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,-0.25)); #48883=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,-0.25)); #48884=CARTESIAN_POINT('',(5.60008222242578,5.36750025531477,-0.25)); #48885=CARTESIAN_POINT('',(6.00008222242578,5.3675002553148,-0.25)); #48886=CARTESIAN_POINT('Origin',(5.60008222242574,5.93500025531477,-0.25)); #48887=CARTESIAN_POINT('',(5.60008222242574,5.93500025531477,-0.25)); #48888=CARTESIAN_POINT('',(5.60008222242574,5.93500025531477,-0.25)); #48889=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,-0.25)); #48890=CARTESIAN_POINT('',(5.60008222242574,5.93500025531477,-0.25)); #48891=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,-0.25)); #48892=CARTESIAN_POINT('Origin',(5.60008222242574,5.93500025531478,-0.564999999999999)); #48893=CARTESIAN_POINT('',(5.60008222242574,5.93500025531478,-0.564999999999999)); #48894=CARTESIAN_POINT('',(5.60008222242574,5.93500025531478,-0.564999999999999)); #48895=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,-0.564999999999999)); #48896=CARTESIAN_POINT('',(5.60008222242574,5.93500025531478,-0.564999999999999)); #48897=CARTESIAN_POINT('',(6.00008222242574,5.9350002553148,-0.564999999999999)); #48898=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,-0.564999999999999)); #48899=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,-0.564999999999999)); #48900=CARTESIAN_POINT('Origin',(6.0000822224257,6.5000002553148,-0.564999999999999)); #48901=CARTESIAN_POINT('Origin',(5.6000822224257,6.50000025531477,-0.564999999999999)); #48902=CARTESIAN_POINT('Origin',(6.0000822224257,6.5000002553148,-0.564999999999999)); #48903=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,-0.564999999999999)); #48904=CARTESIAN_POINT('',(4.20008222242565,6.62500025531467,-0.564999999999999)); #48905=CARTESIAN_POINT('Origin',(4.20008222242566,6.50000025531468,-0.564999999999999)); #48906=CARTESIAN_POINT('',(4.60008222242565,6.6250002553147,-0.564999999999999)); #48907=CARTESIAN_POINT('',(4.60008222242565,6.6250002553147,-0.564999999999999)); #48908=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,-0.564999999999999)); #48909=CARTESIAN_POINT('Origin',(4.60008222242562,7.0650002553147,-0.25)); #48910=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,-0.564999999999999)); #48911=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,-0.25)); #48912=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,-0.564999999999999)); #48913=CARTESIAN_POINT('',(4.20008222242562,7.06500025531467,-0.564999999999999)); #48914=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,-0.564999999999999)); #48915=CARTESIAN_POINT('',(4.20008222242562,7.06500025531467,-0.25)); #48916=CARTESIAN_POINT('',(4.20008222242562,7.06500025531467,-0.564999999999999)); #48917=CARTESIAN_POINT('',(4.60008222242562,7.0650002553147,-0.25)); #48918=CARTESIAN_POINT('Origin',(4.60008222242558,7.6325002553147,-0.25)); #48919=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,-0.25)); #48920=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,-0.25)); #48921=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,-0.25)); #48922=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,-0.25)); #48923=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,-0.25)); #48924=CARTESIAN_POINT('Origin',(4.60008222242558,7.6325002553147,4.37095678986282E-17)); #48925=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,4.37095678986282E-17)); #48926=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,4.37095678986282E-17)); #48927=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,0.)); #48928=CARTESIAN_POINT('',(4.20008222242558,7.63250025531468,4.37095678986282E-17)); #48929=CARTESIAN_POINT('',(4.60008222242558,7.6325002553147,4.37095678986282E-17)); #48930=CARTESIAN_POINT('Origin',(4.60008222242574,5.3675002553147,4.37095678986282E-17)); #48931=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,4.37095678986282E-17)); #48932=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,4.37095678986282E-17)); #48933=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,0.)); #48934=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,4.37095678986282E-17)); #48935=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,4.37095678986282E-17)); #48936=CARTESIAN_POINT('Origin',(4.60008222242574,5.3675002553147,-0.25)); #48937=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,-0.25)); #48938=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,-0.25)); #48939=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,-0.25)); #48940=CARTESIAN_POINT('',(4.20008222242574,5.36750025531467,-0.25)); #48941=CARTESIAN_POINT('',(4.60008222242574,5.3675002553147,-0.25)); #48942=CARTESIAN_POINT('Origin',(4.6000822224257,5.9350002553147,-0.25)); #48943=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,-0.25)); #48944=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,-0.25)); #48945=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,-0.25)); #48946=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,-0.25)); #48947=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,-0.25)); #48948=CARTESIAN_POINT('Origin',(4.6000822224257,5.9350002553147,-0.564999999999999)); #48949=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,-0.564999999999999)); #48950=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,-0.564999999999999)); #48951=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,-0.564999999999999)); #48952=CARTESIAN_POINT('',(4.2000822224257,5.93500025531467,-0.564999999999999)); #48953=CARTESIAN_POINT('',(4.6000822224257,5.9350002553147,-0.564999999999999)); #48954=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,-0.564999999999999)); #48955=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,-0.564999999999999)); #48956=CARTESIAN_POINT('Origin',(4.20008222242566,6.50000025531468,-0.564999999999999)); #48957=CARTESIAN_POINT('Origin',(4.60008222242566,6.5000002553147,-0.564999999999999)); #48958=CARTESIAN_POINT('Origin',(4.20008222242566,6.50000025531468,-0.564999999999999)); #48959=CARTESIAN_POINT('Origin',(5.55008222242564,6.50000025531444,-0.564999999999999)); #48960=CARTESIAN_POINT('',(5.55008222242564,5.02500025531444,-0.565)); #48961=CARTESIAN_POINT('Origin',(5.55008222242564,6.50000025531444,-0.564999999999999)); #48962=CARTESIAN_POINT('',(5.55008222242564,6.37500025531444,-0.564999999999999)); #48963=CARTESIAN_POINT('Origin',(5.55008222242564,6.50000025531444,-0.564999999999999)); #48964=CARTESIAN_POINT('Origin',(5.10008222242564,6.50000025531444,-0.564999999999999)); #48965=CARTESIAN_POINT('',(4.65008222242564,6.37500025531444,-0.564999999999999)); #48966=CARTESIAN_POINT('Origin',(4.65008222242564,6.50000025531444,-0.564999999999999)); #48967=CARTESIAN_POINT('',(5.10008222242564,6.37500025531444,-0.564999999999999)); #48968=CARTESIAN_POINT('Origin',(5.10008222242564,6.50000025531444,-0.564999999999999)); #48969=CARTESIAN_POINT('',(4.65008222242564,5.02500025531444,-0.565)); #48970=CARTESIAN_POINT('Origin',(4.65008222242564,6.50000025531444,-0.564999999999999)); #48971=CARTESIAN_POINT('',(5.10008222242564,5.02500025531444,-0.565)); #48972=CARTESIAN_POINT('Origin',(4.65008222242564,6.50000025531444,-0.564999999999999)); #48973=CARTESIAN_POINT('Origin',(3.10000012765719,7.0000002553144,0.12500218094944)); #48974=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,0.125002180949468)); #48975=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,0.125002180949468)); #48976=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,0.125002180949494)); #48977=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,0.125002180949468)); #48978=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,0.125002180949468)); #48979=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,0.125002180949468)); #48980=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,0.125002180949494)); #48981=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,0.125002180949468)); #48982=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,-0.817499999999999)); #48983=CARTESIAN_POINT('',(2.85000012765719,5.8500002553144,-0.817499999999999)); #48984=CARTESIAN_POINT('Origin',(2.85000012765719,6.5000002553144,-0.817499999999999)); #48985=CARTESIAN_POINT('',(3.10000012765719,5.8500002553144,-0.817499999999999)); #48986=CARTESIAN_POINT('',(3.10000012765719,5.8500002553144,-0.817499999999999)); #48987=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,-0.817499999999999)); #48988=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,-1.39999781905051)); #48989=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,-1.3999978190505)); #48990=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,-1.79999781905051)); #48991=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,-1.39999781905051)); #48992=CARTESIAN_POINT('',(2.85000012765719,5.4000002553144,-1.3999978190505)); #48993=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,-1.3999978190505)); #48994=CARTESIAN_POINT('',(2.85000012765719,5.8000002553144,-1.79999781905051)); #48995=CARTESIAN_POINT('Origin',(2.85000012765719,5.8000002553144,-1.39999781905051)); #48996=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,-1.79999781905051)); #48997=CARTESIAN_POINT('Origin',(3.10000012765719,5.4000002553144,-0.124997818784818)); #48998=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,-0.124997818784818)); #48999=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,-1.3999978190505)); #49000=CARTESIAN_POINT('',(2.85000012765719,5.4000002553144,-0.124997818784818)); #49001=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,-0.124997818784818)); #49002=CARTESIAN_POINT('',(2.85000012765719,5.4000002553144,-1.3999978190505)); #49003=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49004=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49005=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49006=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.124997818784819)); #49007=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49008=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.124997818784819)); #49009=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49010=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49011=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49012=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.274997820664371)); #49013=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49014=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.274997820664371)); #49015=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49016=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49017=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49018=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,-0.274997820664371)); #49019=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49020=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,-0.274997820664371)); #49021=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49022=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49023=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49024=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,-0.424997853369575)); #49025=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49026=CARTESIAN_POINT('',(2.85000012765719,5.5250002553144,-0.424997853369575)); #49027=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-0.424997853369575)); #49028=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.424997853369575)); #49029=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.424997853369575)); #49030=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.424997853369575)); #49031=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.424997853369575)); #49032=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.424997853369575)); #49033=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-0.824997832778148)); #49034=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.824997832778148)); #49035=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.824997832778148)); #49036=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.824997832778148)); #49037=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.824997832778148)); #49038=CARTESIAN_POINT('',(2.85000012765719,5.60000025531441,-0.824997832778148)); #49039=CARTESIAN_POINT('Origin',(3.10000012765719,5.75000025531441,-0.824997832778148)); #49040=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.824997832778148)); #49041=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.824997832778148)); #49042=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,-0.824997832778148)); #49043=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.824997832778148)); #49044=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,-0.824997832778148)); #49045=CARTESIAN_POINT('Origin',(3.10000012765719,5.75000025531441,-0.424997822482432)); #49046=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.424997822482432)); #49047=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.424997822482432)); #49048=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,-0.424997822482432)); #49049=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.424997822482432)); #49050=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,-0.424997822482432)); #49051=CARTESIAN_POINT('Origin',(3.10000012765719,5.8250002553144,-0.424997822482432)); #49052=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,-0.424997822482432)); #49053=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,-0.424997822482432)); #49054=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,-0.424997822482432)); #49055=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,-0.424997822482432)); #49056=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,-0.424997822482432)); #49057=CARTESIAN_POINT('Origin',(3.10000012765719,5.8250002553144,-0.274997822482432)); #49058=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,-0.274997822482432)); #49059=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,-0.274997822482432)); #49060=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,-0.274997822482432)); #49061=CARTESIAN_POINT('',(3.10000012765719,5.8250002553144,-0.274997822482432)); #49062=CARTESIAN_POINT('',(2.85000012765719,5.8250002553144,-0.274997822482432)); #49063=CARTESIAN_POINT('Origin',(3.10000012765719,5.75000025531441,-0.274997822482434)); #49064=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.274997822482434)); #49065=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.274997822482434)); #49066=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,-0.274997822482434)); #49067=CARTESIAN_POINT('',(3.10000012765719,5.75000025531441,-0.274997822482434)); #49068=CARTESIAN_POINT('',(2.85000012765719,5.75000025531441,-0.274997822482434)); #49069=CARTESIAN_POINT('Origin',(3.10000012765719,5.7500002553144,-0.124997819050539)); #49070=CARTESIAN_POINT('',(3.10000012765719,5.7500002553144,-0.124997819050539)); #49071=CARTESIAN_POINT('',(3.10000012765719,5.7500002553144,-0.124997819050539)); #49072=CARTESIAN_POINT('',(2.85000012765719,5.7500002553144,-0.124997819050539)); #49073=CARTESIAN_POINT('',(3.10000012765719,5.7500002553144,-0.124997819050539)); #49074=CARTESIAN_POINT('',(2.85000012765719,5.7500002553144,-0.124997819050539)); #49075=CARTESIAN_POINT('Origin',(3.10000012765719,6.0000002553144,-0.124997819050532)); #49076=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,-0.124997819050532)); #49077=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,-0.124997819050532)); #49078=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,-0.124997819050532)); #49079=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,-0.124997819050532)); #49080=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,-0.124997819050532)); #49081=CARTESIAN_POINT('Origin',(3.10000012765719,6.0000002553144,2.18094946789487E-6)); #49082=CARTESIAN_POINT('',(3.10000012765719,6.0000002553144,2.18094946789487E-6)); #49083=CARTESIAN_POINT('',(2.85000012765719,6.0000002553144,2.18094946791672E-6)); #49084=CARTESIAN_POINT('Origin',(3.10000012765719,7.0000002553144,-0.124997819050532)); #49085=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,-0.124997819050532)); #49086=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,2.18094946784023E-6)); #49087=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,-0.124997819050532)); #49088=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,-0.124997819050532)); #49089=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,2.18094946784023E-6)); #49090=CARTESIAN_POINT('Origin',(3.10000012765719,7.2500002553144,-0.124997819050539)); #49091=CARTESIAN_POINT('',(3.10000012765719,7.2500002553144,-0.124997819050539)); #49092=CARTESIAN_POINT('',(3.10000012765719,7.0000002553144,-0.124997819050532)); #49093=CARTESIAN_POINT('',(2.85000012765719,7.2500002553144,-0.124997819050539)); #49094=CARTESIAN_POINT('',(3.10000012765719,7.2500002553144,-0.124997819050539)); #49095=CARTESIAN_POINT('',(2.85000012765719,7.0000002553144,-0.124997819050532)); #49096=CARTESIAN_POINT('Origin',(3.10000012765719,7.25000025531439,-0.274997819050539)); #49097=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.274997822482434)); #49098=CARTESIAN_POINT('',(3.10000012765719,7.2500002553144,-0.124997819050539)); #49099=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,-0.274997822482434)); #49100=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.274997822482434)); #49101=CARTESIAN_POINT('',(2.85000012765719,7.2500002553144,-0.124997819050539)); #49102=CARTESIAN_POINT('Origin',(3.10000012765719,7.1750002553144,-0.274997822482432)); #49103=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,-0.274997822482432)); #49104=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.274997822482434)); #49105=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,-0.274997822482432)); #49106=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,-0.274997822482432)); #49107=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,-0.274997822482434)); #49108=CARTESIAN_POINT('Origin',(3.10000012765719,7.1750002553144,-0.424997822482432)); #49109=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,-0.424997822482432)); #49110=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,-0.274997822482432)); #49111=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,-0.424997822482432)); #49112=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,-0.424997822482432)); #49113=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,-0.274997822482432)); #49114=CARTESIAN_POINT('Origin',(3.10000012765719,7.25000025531439,-0.424997822482432)); #49115=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.424997822482432)); #49116=CARTESIAN_POINT('',(3.10000012765719,7.1750002553144,-0.424997822482432)); #49117=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,-0.424997822482432)); #49118=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.424997822482432)); #49119=CARTESIAN_POINT('',(2.85000012765719,7.1750002553144,-0.424997822482432)); #49120=CARTESIAN_POINT('Origin',(3.10000012765719,7.25000025531439,-0.824997832778148)); #49121=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.824997832778148)); #49122=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.424997822482432)); #49123=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,-0.824997832778148)); #49124=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.824997832778148)); #49125=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,-0.424997822482432)); #49126=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,-0.824997832778148)); #49127=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.824997832778148)); #49128=CARTESIAN_POINT('',(3.10000012765719,7.25000025531439,-0.824997832778148)); #49129=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.824997832778148)); #49130=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.824997832778148)); #49131=CARTESIAN_POINT('',(2.85000012765719,7.25000025531439,-0.824997832778148)); #49132=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49133=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49134=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.824997832778148)); #49135=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.424997853369575)); #49136=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49137=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.824997832778148)); #49138=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49139=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49140=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49141=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,-0.424997853369575)); #49142=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49143=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.424997853369575)); #49144=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49145=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49146=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49147=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,-0.274997820664371)); #49148=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49149=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,-0.424997853369575)); #49150=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49151=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49152=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49153=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.274997820664371)); #49154=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49155=CARTESIAN_POINT('',(2.85000012765719,7.4750002553144,-0.274997820664371)); #49156=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49157=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49158=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49159=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.124997818784819)); #49160=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49161=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.274997820664371)); #49162=CARTESIAN_POINT('Origin',(3.10000012765719,7.6000002553144,-0.124997818784819)); #49163=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-0.124997818784819)); #49164=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49165=CARTESIAN_POINT('',(2.85000012765719,7.6000002553144,-0.124997818784819)); #49166=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-0.124997818784819)); #49167=CARTESIAN_POINT('',(2.85000012765719,7.40000025531439,-0.124997818784819)); #49168=CARTESIAN_POINT('Origin',(3.10000012765719,7.6000002553144,-1.39999781905053)); #49169=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-1.39999781905053)); #49170=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-1.39999781905053)); #49171=CARTESIAN_POINT('',(2.85000012765719,7.6000002553144,-1.39999781905053)); #49172=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-1.39999781905053)); #49173=CARTESIAN_POINT('',(2.85000012765719,7.6000002553144,-1.39999781905053)); #49174=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,-1.39999781905053)); #49175=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,-1.79999781905051)); #49176=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,-1.39999781905053)); #49177=CARTESIAN_POINT('',(2.85000012765719,7.20000025531442,-1.79999781905051)); #49178=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,-1.79999781905051)); #49179=CARTESIAN_POINT('Origin',(2.85000012765719,7.20000025531442,-1.39999781905053)); #49180=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,-1.79999781905051)); #49181=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,-1.79999781905051)); #49182=CARTESIAN_POINT('',(2.85000012765719,5.8000002553144,-1.79999781905051)); #49183=CARTESIAN_POINT('Origin',(2.85000012765719,6.5000002553144,-0.899997819050506)); #49184=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,-0.899997819050506)); #49185=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49186=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49187=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49188=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49189=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-0.124997818784819)); #49190=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49191=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-0.274997820664371)); #49192=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-0.124997818784819)); #49193=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49194=CARTESIAN_POINT('Origin',(3.10000012765719,5.4000002553144,-0.124997818784818)); #49195=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,-0.124997818784818)); #49196=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.124997818784819)); #49197=CARTESIAN_POINT('',(3.35000012765719,5.4000002553144,-0.124997818784818)); #49198=CARTESIAN_POINT('',(3.10000012765719,5.4000002553144,-0.124997818784818)); #49199=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-0.124997818784819)); #49200=CARTESIAN_POINT('Origin',(3.10000012765719,5.40000025531527,-1.40000005744601)); #49201=CARTESIAN_POINT('',(3.10000012765719,5.40000025531527,-1.40000005744601)); #49202=CARTESIAN_POINT('',(3.10000012765719,5.40000025531527,-1.40000005744601)); #49203=CARTESIAN_POINT('',(3.35000012765719,5.40000025531527,-1.40000005744601)); #49204=CARTESIAN_POINT('',(3.10000012765719,5.40000025531527,-1.40000005744601)); #49205=CARTESIAN_POINT('',(3.35000012765719,5.40000025531527,-1.40000005744601)); #49206=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,-1.39999781905051)); #49207=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,-1.79999781905051)); #49208=CARTESIAN_POINT('Origin',(3.10000012765719,5.8000002553144,-1.39999781905051)); #49209=CARTESIAN_POINT('',(3.35000012765719,5.8000002553144,-1.79999781905051)); #49210=CARTESIAN_POINT('',(3.10000012765719,5.8000002553144,-1.79999781905051)); #49211=CARTESIAN_POINT('Origin',(3.35000012765719,5.8000002553144,-1.39999781905051)); #49212=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,-1.79999781905051)); #49213=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,-1.79999781905051)); #49214=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,-1.79999781905051)); #49215=CARTESIAN_POINT('',(3.35000012765719,7.20000025531442,-1.79999781905051)); #49216=CARTESIAN_POINT('',(3.10000012765719,7.20000025531442,-1.79999781905051)); #49217=CARTESIAN_POINT('',(3.35000012765719,7.20000025531442,-1.79999781905051)); #49218=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,-1.39999781905053)); #49219=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-1.40000005744574)); #49220=CARTESIAN_POINT('Origin',(3.10000012765719,7.20000025531442,-1.39999781905053)); #49221=CARTESIAN_POINT('',(3.35000012765719,7.6000002553144,-1.40000005744574)); #49222=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-1.40000005744574)); #49223=CARTESIAN_POINT('Origin',(3.35000012765719,7.20000025531442,-1.39999781905053)); #49224=CARTESIAN_POINT('Origin',(3.10000012765719,7.6000002553144,-0.124997818784819)); #49225=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-0.124997818784819)); #49226=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-0.124997818784819)); #49227=CARTESIAN_POINT('',(3.35000012765719,7.6000002553144,-0.124997818784819)); #49228=CARTESIAN_POINT('',(3.10000012765719,7.6000002553144,-0.124997818784819)); #49229=CARTESIAN_POINT('',(3.35000012765719,7.6000002553144,-0.124997818784819)); #49230=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49231=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49232=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49233=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,-0.124997818784819)); #49234=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.124997818784819)); #49235=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,-0.124997818784819)); #49236=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49237=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49238=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49239=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,-0.274997820664371)); #49240=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.274997820664371)); #49241=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,-0.274997820664371)); #49242=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49243=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49244=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49245=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,-0.274997820664371)); #49246=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.274997820664371)); #49247=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,-0.274997820664371)); #49248=CARTESIAN_POINT('Origin',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49249=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49250=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49251=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,-0.424997853369575)); #49252=CARTESIAN_POINT('',(3.10000012765719,7.4750002553144,-0.424997853369575)); #49253=CARTESIAN_POINT('',(3.35000012765719,7.4750002553144,-0.424997853369575)); #49254=CARTESIAN_POINT('Origin',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49255=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49256=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49257=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,-0.424997853369575)); #49258=CARTESIAN_POINT('',(3.10000012765719,7.40000025531439,-0.424997853369575)); #49259=CARTESIAN_POINT('',(3.35000012765719,7.40000025531439,-0.424997853369575)); #49260=CARTESIAN_POINT('Origin',(3.10000012765719,7.4000002361658,-1.02499785336958)); #49261=CARTESIAN_POINT('',(3.10000012765719,7.4000002361658,-1.02499785336958)); #49262=CARTESIAN_POINT('',(3.10000012765719,7.4000002361658,-1.02499785336958)); #49263=CARTESIAN_POINT('',(3.35000012765719,7.4000002361658,-1.02499785336958)); #49264=CARTESIAN_POINT('',(3.10000012765719,7.4000002361658,-1.02499785336958)); #49265=CARTESIAN_POINT('',(3.35000012765719,7.4000002361658,-1.02499785336958)); #49266=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-1.02499785336958)); #49267=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-1.02499785336958)); #49268=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-1.02499785336958)); #49269=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-1.02499785336958)); #49270=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-1.02499785336958)); #49271=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-1.02499785336958)); #49272=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-0.424997853369575)); #49273=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.424997853369575)); #49274=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-1.02499785336958)); #49275=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-0.424997853369575)); #49276=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.424997853369575)); #49277=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-1.02499785336958)); #49278=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49279=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49280=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49281=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,-0.424997853369575)); #49282=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.424997853369575)); #49283=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,-0.424997853369575)); #49284=CARTESIAN_POINT('Origin',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49285=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49286=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49287=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,-0.274997820664371)); #49288=CARTESIAN_POINT('',(3.10000012765719,5.5250002553144,-0.274997820664371)); #49289=CARTESIAN_POINT('',(3.35000012765719,5.5250002553144,-0.274997820664371)); #49290=CARTESIAN_POINT('Origin',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49291=CARTESIAN_POINT('',(3.10000012765719,5.60000025531441,-0.274997820664371)); #49292=CARTESIAN_POINT('',(3.35000012765719,5.60000025531441,-0.274997820664371)); #49293=CARTESIAN_POINT('Origin',(3.35000012765719,6.5000002553144,-0.962497818917662)); #49294=CARTESIAN_POINT('Origin',(3.10000012765719,6.5000002553144,-0.962497818917662)); #49295=CARTESIAN_POINT('Origin',(1.35008222242578,7.00000025531458,-0.125000000000411)); #49296=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,0.124999999999589)); #49297=CARTESIAN_POINT('',(1.35008222242578,7.00000025531458,-0.125000000000411)); #49298=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,0.124999999999589)); #49299=CARTESIAN_POINT('',(1.10008222242578,7.00000025531458,-0.125000000000411)); #49300=CARTESIAN_POINT('',(1.35008222242578,7.00000025531458,-0.125000000000411)); #49301=CARTESIAN_POINT('',(1.10008222242578,7.00000025531479,0.124999999999589)); #49302=CARTESIAN_POINT('',(1.10008222242578,7.00000025531479,0.124999999999589)); #49303=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,0.124999999999589)); #49304=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000025531458,-0.125000000000617)); #49305=CARTESIAN_POINT('',(1.35008222242578,7.25000025531458,-0.125000000000617)); #49306=CARTESIAN_POINT('',(1.35008222242578,7.00000025531458,-0.125000000000411)); #49307=CARTESIAN_POINT('',(1.10008222242578,7.25000025531458,-0.125000000000617)); #49308=CARTESIAN_POINT('',(1.35008222242578,7.25000025531458,-0.125000000000617)); #49309=CARTESIAN_POINT('',(1.10008222242578,7.00000025531458,-0.125000000000411)); #49310=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000025531446,-0.275000000000617)); #49311=CARTESIAN_POINT('',(1.35008222242578,7.25000025531446,-0.275000000000617)); #49312=CARTESIAN_POINT('',(1.35008222242578,7.25000025531458,-0.125000000000617)); #49313=CARTESIAN_POINT('',(1.10008222242578,7.25000025531446,-0.275000000000617)); #49314=CARTESIAN_POINT('',(1.35008222242578,7.25000025531446,-0.275000000000617)); #49315=CARTESIAN_POINT('',(1.10008222242578,7.25000025531458,-0.125000000000617)); #49316=CARTESIAN_POINT('Origin',(1.35008222242578,7.17500025531446,-0.275000000000555)); #49317=CARTESIAN_POINT('',(1.35008222242578,7.17500025531446,-0.275000000000555)); #49318=CARTESIAN_POINT('',(1.35008222242578,7.25000025531446,-0.275000000000617)); #49319=CARTESIAN_POINT('',(1.10008222242578,7.17500025531446,-0.275000000000555)); #49320=CARTESIAN_POINT('',(1.35008222242578,7.17500025531446,-0.275000000000555)); #49321=CARTESIAN_POINT('',(1.10008222242578,7.25000025531446,-0.275000000000617)); #49322=CARTESIAN_POINT('Origin',(1.35008222242578,7.17500025531433,-0.425000000000555)); #49323=CARTESIAN_POINT('',(1.35008222242578,7.17500025531433,-0.425000000000555)); #49324=CARTESIAN_POINT('',(1.35008222242578,7.17500025531446,-0.275000000000555)); #49325=CARTESIAN_POINT('',(1.10008222242578,7.17500025531433,-0.425000000000555)); #49326=CARTESIAN_POINT('',(1.35008222242578,7.17500025531433,-0.425000000000555)); #49327=CARTESIAN_POINT('',(1.10008222242578,7.17500025531446,-0.275000000000555)); #49328=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000032712191,-0.425000000000617)); #49329=CARTESIAN_POINT('',(1.35008222242578,7.25000032712191,-0.425000000000617)); #49330=CARTESIAN_POINT('',(1.35008222242578,7.17500025531433,-0.425000000000555)); #49331=CARTESIAN_POINT('',(1.10008222242578,7.25000032712191,-0.425000000000617)); #49332=CARTESIAN_POINT('',(1.35008222242578,7.25000032712191,-0.425000000000617)); #49333=CARTESIAN_POINT('',(1.10008222242578,7.17500025531433,-0.425000000000555)); #49334=CARTESIAN_POINT('Origin',(1.35008222242578,7.25000032712158,-0.825000000000617)); #49335=CARTESIAN_POINT('',(1.35008222242578,7.25000032712158,-0.825000000000617)); #49336=CARTESIAN_POINT('',(1.35008222242578,7.25000032712191,-0.425000000000617)); #49337=CARTESIAN_POINT('',(1.10008222242578,7.25000032712158,-0.825000000000617)); #49338=CARTESIAN_POINT('',(1.35008222242578,7.25000032712158,-0.825000000000617)); #49339=CARTESIAN_POINT('',(1.10008222242578,7.25000032712191,-0.425000000000617)); #49340=CARTESIAN_POINT('Origin',(1.35008222242578,7.40000033190873,-0.82500000000074)); #49341=CARTESIAN_POINT('',(1.35008222242578,7.40000033190873,-0.82500000000074)); #49342=CARTESIAN_POINT('',(1.35008222242578,7.25000032712158,-0.825000000000617)); #49343=CARTESIAN_POINT('',(1.10008222242578,7.40000033190873,-0.82500000000074)); #49344=CARTESIAN_POINT('',(1.35008222242578,7.40000033190873,-0.82500000000074)); #49345=CARTESIAN_POINT('',(1.10008222242578,7.25000032712158,-0.825000000000617)); #49346=CARTESIAN_POINT('Origin',(1.35008222242578,7.40000033190906,-0.42500000000074)); #49347=CARTESIAN_POINT('',(1.35008222242578,7.40000033190906,-0.42500000000074)); #49348=CARTESIAN_POINT('',(1.35008222242578,7.40000033190873,-0.82500000000074)); #49349=CARTESIAN_POINT('',(1.10008222242578,7.40000033190906,-0.42500000000074)); #49350=CARTESIAN_POINT('',(1.35008222242578,7.40000033190906,-0.42500000000074)); #49351=CARTESIAN_POINT('',(1.10008222242578,7.40000033190873,-0.82500000000074)); #49352=CARTESIAN_POINT('Origin',(1.35008222242578,7.47500033190906,-0.425000000000802)); #49353=CARTESIAN_POINT('',(1.35008222242578,7.47500033190906,-0.425000000000802)); #49354=CARTESIAN_POINT('',(1.35008222242578,7.40000033190906,-0.42500000000074)); #49355=CARTESIAN_POINT('',(1.10008222242578,7.47500033190906,-0.425000000000802)); #49356=CARTESIAN_POINT('',(1.35008222242578,7.47500033190906,-0.425000000000802)); #49357=CARTESIAN_POINT('',(1.10008222242578,7.40000033190906,-0.42500000000074)); #49358=CARTESIAN_POINT('Origin',(1.35008222242578,7.47500033190918,-0.274703822291045)); #49359=CARTESIAN_POINT('',(1.35008222242578,7.47500033190918,-0.274703822291045)); #49360=CARTESIAN_POINT('',(1.35008222242578,7.47500033190906,-0.425000000000802)); #49361=CARTESIAN_POINT('',(1.10008222242578,7.47500033190918,-0.274703822291045)); #49362=CARTESIAN_POINT('',(1.35008222242578,7.47500033190918,-0.274703822291045)); #49363=CARTESIAN_POINT('',(1.10008222242578,7.47500033190906,-0.425000000000802)); #49364=CARTESIAN_POINT('Origin',(1.35008222242578,7.40000033190918,-0.274703822290983)); #49365=CARTESIAN_POINT('',(1.35008222242578,7.40000033190918,-0.274703822290983)); #49366=CARTESIAN_POINT('',(1.35008222242578,7.47500033190918,-0.274703822291045)); #49367=CARTESIAN_POINT('',(1.10008222242578,7.40000033190918,-0.274703822290983)); #49368=CARTESIAN_POINT('',(1.35008222242578,7.40000033190918,-0.274703822290983)); #49369=CARTESIAN_POINT('',(1.10008222242578,7.47500033190918,-0.274703822291045)); #49370=CARTESIAN_POINT('Origin',(1.35008222242578,7.4000003319093,-0.124703822290983)); #49371=CARTESIAN_POINT('',(1.35008222242578,7.4000003319093,-0.124703822290983)); #49372=CARTESIAN_POINT('',(1.35008222242578,7.40000033190918,-0.274703822290983)); #49373=CARTESIAN_POINT('',(1.10008222242578,7.4000003319093,-0.124703822290983)); #49374=CARTESIAN_POINT('',(1.35008222242578,7.4000003319093,-0.124703822290983)); #49375=CARTESIAN_POINT('',(1.10008222242578,7.40000033190918,-0.274703822290983)); #49376=CARTESIAN_POINT('Origin',(1.35008222242578,7.60000033829216,-0.124703822291147)); #49377=CARTESIAN_POINT('',(1.35008222242578,7.60000033829216,-0.124703822291147)); #49378=CARTESIAN_POINT('',(1.35008222242578,7.4000003319093,-0.124703822290983)); #49379=CARTESIAN_POINT('',(1.10008222242578,7.60000033829216,-0.124703822291147)); #49380=CARTESIAN_POINT('',(1.35008222242578,7.60000033829216,-0.124703822291147)); #49381=CARTESIAN_POINT('',(1.10008222242578,7.4000003319093,-0.124703822290983)); #49382=CARTESIAN_POINT('Origin',(1.35008222242578,7.60000033829144,-1.0000000319152)); #49383=CARTESIAN_POINT('',(1.35008222242578,7.60000033829144,-1.0000000319152)); #49384=CARTESIAN_POINT('',(1.35008222242578,7.60000033829216,-0.124703822291147)); #49385=CARTESIAN_POINT('',(1.10008222242578,7.60000033829144,-1.0000000319152)); #49386=CARTESIAN_POINT('',(1.35008222242578,7.60000033829144,-1.0000000319152)); #49387=CARTESIAN_POINT('',(1.10008222242578,7.60000033829216,-0.124703822291147)); #49388=CARTESIAN_POINT('Origin',(1.35008222242578,7.03750025531425,-1.00000003191474)); #49389=CARTESIAN_POINT('',(1.35008222242578,7.03750025531425,-1.00000003191474)); #49390=CARTESIAN_POINT('',(1.35008222242578,7.60000033829144,-1.0000000319152)); #49391=CARTESIAN_POINT('',(1.10008222242578,7.03750025531425,-1.00000003191474)); #49392=CARTESIAN_POINT('',(1.35008222242578,7.03750025531425,-1.00000003191474)); #49393=CARTESIAN_POINT('',(1.10008222242578,7.60000033829144,-1.0000000319152)); #49394=CARTESIAN_POINT('Origin',(1.35008222242578,7.0375002553144,-0.817500000000441)); #49395=CARTESIAN_POINT('',(1.35008222242578,7.0375002553144,-0.817500000000441)); #49396=CARTESIAN_POINT('',(1.35008222242578,7.0375002553144,-0.817500000000441)); #49397=CARTESIAN_POINT('',(1.10008222242578,7.0375002553144,-0.817500000000441)); #49398=CARTESIAN_POINT('',(1.35008222242578,7.0375002553144,-0.817500000000441)); #49399=CARTESIAN_POINT('',(1.10008222242578,7.0375002553144,-0.817500000000441)); #49400=CARTESIAN_POINT('Origin',(1.35008222242578,6.5000002553144,-0.817499999999999)); #49401=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,-0.817499999999558)); #49402=CARTESIAN_POINT('Origin',(1.35008222242578,6.5000002553144,-0.817499999999999)); #49403=CARTESIAN_POINT('',(1.10008222242578,5.9625002553144,-0.817499999999558)); #49404=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,-0.817499999999558)); #49405=CARTESIAN_POINT('Origin',(1.10008222242578,6.5000002553144,-0.817499999999999)); #49406=CARTESIAN_POINT('Origin',(1.35008222242578,5.9625002553144,-1.0000000319143)); #49407=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,-1.0000000319143)); #49408=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,-0.817499999999999)); #49409=CARTESIAN_POINT('',(1.10008222242578,5.9625002553144,-1.0000000319143)); #49410=CARTESIAN_POINT('',(1.35008222242578,5.9625002553144,-1.0000000319143)); #49411=CARTESIAN_POINT('',(1.10008222242578,5.9625002553144,-0.817499999999999)); #49412=CARTESIAN_POINT('Origin',(1.35008222242578,5.40000017233721,-1.0000000319143)); #49413=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,-1.0000000319143)); #49414=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,-1.0000000319143)); #49415=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,-1.0000000319143)); #49416=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,-1.0000000319143)); #49417=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,-1.0000000319143)); #49418=CARTESIAN_POINT('Origin',(1.35008222242578,5.40000017233721,-0.124703822290243)); #49419=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,-0.124703822290243)); #49420=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,-0.124703822290243)); #49421=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,-0.124703822290243)); #49422=CARTESIAN_POINT('',(1.35008222242578,5.40000017233721,-0.124703822290243)); #49423=CARTESIAN_POINT('',(1.10008222242578,5.40000017233721,-0.124703822290243)); #49424=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,-0.124703822290243)); #49425=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.124703822290243)); #49426=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.124703822290243)); #49427=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.124703822290243)); #49428=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.124703822290243)); #49429=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.124703822290243)); #49430=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,-0.274703822290243)); #49431=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.274703822290243)); #49432=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.274703822290243)); #49433=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.274703822290243)); #49434=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.274703822290243)); #49435=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.274703822290243)); #49436=CARTESIAN_POINT('Origin',(1.35008222242578,5.52500017872007,-0.274703822290243)); #49437=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,-0.274703822290243)); #49438=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,-0.274703822290243)); #49439=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,-0.274703822290243)); #49440=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,-0.274703822290243)); #49441=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,-0.274703822290243)); #49442=CARTESIAN_POINT('Origin',(1.35008222242578,5.52500017872007,-0.425)); #49443=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,-0.425)); #49444=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,-0.425)); #49445=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,-0.425)); #49446=CARTESIAN_POINT('',(1.35008222242578,5.52500017872007,-0.425)); #49447=CARTESIAN_POINT('',(1.10008222242578,5.52500017872007,-0.425)); #49448=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,-0.425)); #49449=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.425)); #49450=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.425)); #49451=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.425)); #49452=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.425)); #49453=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.425)); #49454=CARTESIAN_POINT('Origin',(1.35008222242578,5.60000017872007,-0.825)); #49455=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.825)); #49456=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.825)); #49457=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.825)); #49458=CARTESIAN_POINT('',(1.35008222242578,5.60000017872007,-0.825)); #49459=CARTESIAN_POINT('',(1.10008222242578,5.60000017872007,-0.825)); #49460=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000018350721,-0.825)); #49461=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,-0.825)); #49462=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,-0.825)); #49463=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,-0.825)); #49464=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,-0.825)); #49465=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,-0.825)); #49466=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000018350721,-0.425)); #49467=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,-0.425)); #49468=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,-0.425)); #49469=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,-0.425)); #49470=CARTESIAN_POINT('',(1.35008222242578,5.75000018350721,-0.425)); #49471=CARTESIAN_POINT('',(1.10008222242578,5.75000018350721,-0.425)); #49472=CARTESIAN_POINT('Origin',(1.35008222242578,5.82500025531479,-0.425)); #49473=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,-0.425)); #49474=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,-0.425)); #49475=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,-0.425)); #49476=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,-0.425)); #49477=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,-0.425)); #49478=CARTESIAN_POINT('Origin',(1.35008222242578,5.82500025531479,-0.275)); #49479=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,-0.275)); #49480=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,-0.275)); #49481=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,-0.275)); #49482=CARTESIAN_POINT('',(1.35008222242578,5.82500025531479,-0.275)); #49483=CARTESIAN_POINT('',(1.10008222242578,5.82500025531479,-0.275)); #49484=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000025531479,-0.275)); #49485=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,-0.275)); #49486=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,-0.275)); #49487=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,-0.275)); #49488=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,-0.275)); #49489=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,-0.275)); #49490=CARTESIAN_POINT('Origin',(1.35008222242578,5.75000025531479,-0.125)); #49491=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,-0.125)); #49492=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,-0.125)); #49493=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,-0.125)); #49494=CARTESIAN_POINT('',(1.35008222242578,5.75000025531479,-0.125)); #49495=CARTESIAN_POINT('',(1.10008222242578,5.75000025531479,-0.125)); #49496=CARTESIAN_POINT('Origin',(1.35008222242578,6.00000025531479,-0.125)); #49497=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,-0.125)); #49498=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,-0.125)); #49499=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,-0.125)); #49500=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,-0.125)); #49501=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,-0.125)); #49502=CARTESIAN_POINT('Origin',(1.35008222242578,6.00000025531479,0.125)); #49503=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,0.125)); #49504=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,0.125)); #49505=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,0.125)); #49506=CARTESIAN_POINT('',(1.35008222242578,6.00000025531479,0.125)); #49507=CARTESIAN_POINT('',(1.10008222242578,6.00000025531479,0.125)); #49508=CARTESIAN_POINT('Origin',(1.35008222242578,7.00000025531479,0.124999999999589)); #49509=CARTESIAN_POINT('',(1.35008222242578,7.00000025531479,0.124999999999589)); #49510=CARTESIAN_POINT('',(1.10008222242578,7.00000025531479,0.124999999999589)); #49511=CARTESIAN_POINT('Origin',(1.10008222242578,6.50000025531468,-0.437500015957601)); #49512=CARTESIAN_POINT('Origin',(1.35008222242578,6.50000025531468,-0.437500015957601)); #49513=CARTESIAN_POINT('',(-0.250082222425779,-8.61750025531479,-0.125)); #49514=CARTESIAN_POINT('',(0.,0.,0.)); #49515=CARTESIAN_POINT('',(0.250082222425779,-8.61750025531479,-0.125)); #49516=CARTESIAN_POINT('',(0.,0.,0.)); #49517=CARTESIAN_POINT('',(0.,0.,0.)); #49518=CARTESIAN_POINT('Origin',(0.,0.,-0.398129921259843)); #49519=CARTESIAN_POINT('',(-0.445567035730409,-5.4566224411282E-17,-0.625)); #49520=CARTESIAN_POINT('Origin',(0.,0.,-0.625)); #49521=CARTESIAN_POINT('',(-3.06161709557774E-17,-3.7493995763141E-33,0.101870094697306)); #49522=CARTESIAN_POINT('Origin',(0.,0.,-0.398129921259843)); #49523=CARTESIAN_POINT('Origin',(0.,0.,-0.3125)); #49524=CARTESIAN_POINT('',(0.53125,-6.50593612047031E-17,-0.625)); #49525=CARTESIAN_POINT('Origin',(0.,0.,-0.625)); #49526=CARTESIAN_POINT('',(0.84375,-1.03329573678058E-16,-0.3125)); #49527=CARTESIAN_POINT('Origin',(0.53125,-6.50593612047031E-17,-0.3125)); #49528=CARTESIAN_POINT('',(-0.84375,1.03329573678058E-16,-0.3125)); #49529=CARTESIAN_POINT('Origin',(0.,0.,-0.3125)); #49530=CARTESIAN_POINT('Origin',(0.,0.,-0.3125)); #49531=CARTESIAN_POINT('Origin',(0.,0.,0.25)); #49532=CARTESIAN_POINT('',(-0.84375,1.03329573678058E-16,0.25)); #49533=CARTESIAN_POINT('',(-0.84375,1.03329573678058E-16,0.25)); #49534=CARTESIAN_POINT('Origin',(0.,0.,0.25)); #49535=CARTESIAN_POINT('Origin',(0.,0.,-0.625)); #49536=CARTESIAN_POINT('Origin',(1.09375,0.,0.289370078740157)); #49537=CARTESIAN_POINT('',(0.984375,-1.33945743656742E-17,0.25)); #49538=CARTESIAN_POINT('Origin',(1.09375,0.,0.25)); #49539=CARTESIAN_POINT('',(0.984375,-1.33945743656742E-17,0.289370078740157)); #49540=CARTESIAN_POINT('',(0.984375,1.33945743656742E-17,0.289370078740157)); #49541=CARTESIAN_POINT('Origin',(1.09375,0.,0.289370078740157)); #49542=CARTESIAN_POINT('Origin',(-1.09375,0.,0.289370078740157)); #49543=CARTESIAN_POINT('',(-1.203125,-1.33945743656742E-17,0.25)); #49544=CARTESIAN_POINT('Origin',(-1.09375,0.,0.25)); #49545=CARTESIAN_POINT('',(-1.203125,-1.33945743656742E-17,0.289370078740157)); #49546=CARTESIAN_POINT('',(-1.203125,1.33945743656742E-17,0.289370078740157)); #49547=CARTESIAN_POINT('Origin',(-1.09375,0.,0.289370078740157)); #49548=CARTESIAN_POINT('Origin',(-0.680852218590393,-0.732420819231011, 0.289370078740157)); #49549=CARTESIAN_POINT('',(-1.31116998181384,-0.146486445771038,0.289370078740157)); #49550=CARTESIAN_POINT('',(-0.680852218590393,-0.732420819231011,0.289370078740157)); #49551=CARTESIAN_POINT('',(-1.31116998181384,-0.146486445771038,0.289370078740157)); #49552=CARTESIAN_POINT('',(-1.31116998181384,-0.146486445771038,0.25)); #49553=CARTESIAN_POINT('',(-1.31116998181384,-0.146486445771038,0.289370078740157)); #49554=CARTESIAN_POINT('',(-0.680852218590393,-0.732420819231011,0.25)); #49555=CARTESIAN_POINT('',(-1.31116998181384,-0.146486445771038,0.25)); #49556=CARTESIAN_POINT('',(-0.680852218590393,-0.732420819231011,0.289370078740157)); #49557=CARTESIAN_POINT('Origin',(0.,0.,0.289370078740157)); #49558=CARTESIAN_POINT('',(0.680852218590393,-0.732420819231011,0.289370078740157)); #49559=CARTESIAN_POINT('Origin',(0.,0.,0.289370078740157)); #49560=CARTESIAN_POINT('',(0.680852218590393,-0.732420819231011,0.25)); #49561=CARTESIAN_POINT('Origin',(0.,0.,0.25)); #49562=CARTESIAN_POINT('',(0.680852218590393,-0.732420819231011,0.289370078740157)); #49563=CARTESIAN_POINT('Origin',(1.31117021276594,-0.146484378536693,0.289370078740157)); #49564=CARTESIAN_POINT('',(1.31117021276594,-0.146484378536693,0.289370078740157)); #49565=CARTESIAN_POINT('',(1.31117021276594,-0.146484378536693,0.289370078740157)); #49566=CARTESIAN_POINT('',(1.31117021276594,-0.146484378536693,0.25)); #49567=CARTESIAN_POINT('',(1.31117021276594,-0.146484378536693,0.25)); #49568=CARTESIAN_POINT('',(1.31117021276594,-0.146484378536693,0.289370078740157)); #49569=CARTESIAN_POINT('Origin',(1.175,-8.97332156640618E-17,0.289370078740157)); #49570=CARTESIAN_POINT('',(1.31117021276594,0.146484378536692,0.289370078740157)); #49571=CARTESIAN_POINT('Origin',(1.175,-8.97332156640618E-17,0.289370078740157)); #49572=CARTESIAN_POINT('',(1.31117021276594,0.146484378536692,0.25)); #49573=CARTESIAN_POINT('Origin',(1.175,-8.97332156640618E-17,0.25)); #49574=CARTESIAN_POINT('',(1.31117021276594,0.146484378536692,0.289370078740157)); #49575=CARTESIAN_POINT('Origin',(0.680852218590393,0.732420819231011,0.289370078740157)); #49576=CARTESIAN_POINT('',(0.680852218590393,0.732420819231011,0.289370078740157)); #49577=CARTESIAN_POINT('',(1.31117021276594,0.146484378536692,0.289370078740157)); #49578=CARTESIAN_POINT('',(0.680852218590393,0.732420819231011,0.25)); #49579=CARTESIAN_POINT('',(1.31117021276594,0.146484378536692,0.25)); #49580=CARTESIAN_POINT('',(0.680852218590393,0.732420819231011,0.289370078740157)); #49581=CARTESIAN_POINT('Origin',(0.,0.,0.289370078740157)); #49582=CARTESIAN_POINT('',(-0.680852218590393,0.732420819231011,0.289370078740157)); #49583=CARTESIAN_POINT('Origin',(0.,0.,0.289370078740157)); #49584=CARTESIAN_POINT('',(-0.680852218590393,0.732420819231011,0.25)); #49585=CARTESIAN_POINT('Origin',(0.,0.,0.25)); #49586=CARTESIAN_POINT('',(-0.680852218590393,0.732420819231011,0.289370078740157)); #49587=CARTESIAN_POINT('Origin',(-1.31117044371808,0.146482311302299,0.289370078740157)); #49588=CARTESIAN_POINT('',(-1.31117044371808,0.146482311302299,0.289370078740157)); #49589=CARTESIAN_POINT('',(-1.31117044371808,0.146482311302299,0.289370078740157)); #49590=CARTESIAN_POINT('',(-1.31117044371808,0.146482311302299,0.25)); #49591=CARTESIAN_POINT('',(-1.31117044371808,0.146482311302299,0.25)); #49592=CARTESIAN_POINT('',(-1.31117044371808,0.146482311302299,0.289370078740157)); #49593=CARTESIAN_POINT('Origin',(-1.175,-1.85254390336019E-6,0.289370078740157)); #49594=CARTESIAN_POINT('Origin',(-1.175,-1.85254390336019E-6,0.289370078740157)); #49595=CARTESIAN_POINT('Origin',(-1.175,-1.85254390336019E-6,0.25)); #49596=CARTESIAN_POINT('Origin',(-1.21162922214997E-13,0.,0.289370078740157)); #49597=CARTESIAN_POINT('Origin',(-1.21162922214997E-13,0.,0.25)); #49598=CARTESIAN_POINT('',(0.,0.,0.)); #49599=CARTESIAN_POINT('Origin',(0.,0.,-0.523129921259842)); #49600=CARTESIAN_POINT('',(-3.06161709557774E-17,-3.7493995763141E-33,-0.0231299053026936)); #49601=CARTESIAN_POINT('',(-3.06161709557774E-17,-3.7493995763141E-33,-1.02312993721699)); #49602=CARTESIAN_POINT('Origin',(0.,0.,-0.523129921259842)); #49603=CARTESIAN_POINT('',(0.,0.,-0.125)); #49604=CARTESIAN_POINT('',(0.,0.,-0.125)); #49605=CARTESIAN_POINT('',(0.,0.,0.)); #49606=CARTESIAN_POINT('',(0.,0.,0.)); #49607=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.)); #49608=CARTESIAN_POINT('',(4.975,-3.9175,0.125)); #49609=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.125)); #49610=CARTESIAN_POINT('',(4.975,-3.9175,0.)); #49611=CARTESIAN_POINT('',(4.975,-3.9175,0.)); #49612=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.)); #49613=CARTESIAN_POINT('Origin',(4.35,-4.2175,0.)); #49614=CARTESIAN_POINT('',(4.35,-4.2175,0.)); #49615=CARTESIAN_POINT('',(5.35,-4.2175,0.)); #49616=CARTESIAN_POINT('',(4.35,-4.2175,0.)); #49617=CARTESIAN_POINT('',(5.35,-4.2175,0.125)); #49618=CARTESIAN_POINT('',(5.35,-4.2175,0.)); #49619=CARTESIAN_POINT('',(4.35,-4.2175,0.125)); #49620=CARTESIAN_POINT('',(4.35,-4.2175,0.125)); #49621=CARTESIAN_POINT('',(4.35,-4.2175,0.)); #49622=CARTESIAN_POINT('Origin',(4.35,-3.8175,0.)); #49623=CARTESIAN_POINT('',(3.95,-3.8175,0.)); #49624=CARTESIAN_POINT('Origin',(4.35,-3.8175,0.)); #49625=CARTESIAN_POINT('',(3.95,-3.8175,0.125)); #49626=CARTESIAN_POINT('Origin',(4.35,-3.8175,0.125)); #49627=CARTESIAN_POINT('',(3.95,-3.8175,0.)); #49628=CARTESIAN_POINT('Origin',(3.95,-3.25,0.)); #49629=CARTESIAN_POINT('',(3.95,-3.25,0.)); #49630=CARTESIAN_POINT('',(3.95,-2.7175,0.)); #49631=CARTESIAN_POINT('',(3.95,-3.25,0.125)); #49632=CARTESIAN_POINT('',(3.95,-2.7175,0.125)); #49633=CARTESIAN_POINT('',(3.95,-3.25,0.)); #49634=CARTESIAN_POINT('Origin',(4.35,-3.25,0.)); #49635=CARTESIAN_POINT('',(4.35,-3.25,0.)); #49636=CARTESIAN_POINT('',(4.35,-3.25,0.)); #49637=CARTESIAN_POINT('',(4.35,-3.25,0.125)); #49638=CARTESIAN_POINT('',(4.35,-3.25,0.125)); #49639=CARTESIAN_POINT('',(4.35,-3.25,0.)); #49640=CARTESIAN_POINT('Origin',(4.35,-3.6175,0.)); #49641=CARTESIAN_POINT('',(4.35,-3.6175,0.)); #49642=CARTESIAN_POINT('',(4.35,-3.6175,0.)); #49643=CARTESIAN_POINT('',(4.35,-3.6175,0.125)); #49644=CARTESIAN_POINT('',(4.35,-3.6175,0.125)); #49645=CARTESIAN_POINT('',(4.35,-3.6175,0.)); #49646=CARTESIAN_POINT('Origin',(5.35,-3.6175,0.)); #49647=CARTESIAN_POINT('',(5.35,-3.6175,0.)); #49648=CARTESIAN_POINT('',(5.35,-3.6175,0.)); #49649=CARTESIAN_POINT('',(5.35,-3.6175,0.125)); #49650=CARTESIAN_POINT('',(5.35,-3.6175,0.125)); #49651=CARTESIAN_POINT('',(5.35,-3.6175,0.)); #49652=CARTESIAN_POINT('Origin',(5.35,-3.25,0.)); #49653=CARTESIAN_POINT('',(5.35,-3.25,0.)); #49654=CARTESIAN_POINT('',(5.35,-2.7175,0.)); #49655=CARTESIAN_POINT('',(5.35,-3.25,0.125)); #49656=CARTESIAN_POINT('',(5.35,-2.7175,0.125)); #49657=CARTESIAN_POINT('',(5.35,-3.25,0.)); #49658=CARTESIAN_POINT('Origin',(5.75,-3.25,0.)); #49659=CARTESIAN_POINT('',(5.75,-3.25,0.)); #49660=CARTESIAN_POINT('',(5.75,-3.25,0.)); #49661=CARTESIAN_POINT('',(5.75,-3.25,0.125)); #49662=CARTESIAN_POINT('',(5.75,-3.25,0.125)); #49663=CARTESIAN_POINT('',(5.75,-3.25,0.)); #49664=CARTESIAN_POINT('Origin',(5.75,-3.8175,0.)); #49665=CARTESIAN_POINT('',(5.75,-3.8175,0.)); #49666=CARTESIAN_POINT('',(5.75,-3.8175,0.)); #49667=CARTESIAN_POINT('',(5.75,-3.8175,0.125)); #49668=CARTESIAN_POINT('',(5.75,-3.8175,0.125)); #49669=CARTESIAN_POINT('',(5.75,-3.8175,0.)); #49670=CARTESIAN_POINT('Origin',(5.35,-3.8175,0.)); #49671=CARTESIAN_POINT('Origin',(5.35,-3.8175,0.)); #49672=CARTESIAN_POINT('Origin',(5.35,-3.8175,0.125)); #49673=CARTESIAN_POINT('Origin',(4.85,-3.73375,0.125)); #49674=CARTESIAN_POINT('Origin',(4.85,-3.73375,0.)); #49675=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.)); #49676=CARTESIAN_POINT('',(4.975,-0.3175,0.125)); #49677=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.125)); #49678=CARTESIAN_POINT('',(4.975,-0.3175,0.)); #49679=CARTESIAN_POINT('',(4.975,-0.3175,0.)); #49680=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.)); #49681=CARTESIAN_POINT('Origin',(5.35,-0.0174999999999991,0.)); #49682=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.)); #49683=CARTESIAN_POINT('',(5.35,-0.0174999999999991,0.)); #49684=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.)); #49685=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.125)); #49686=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.)); #49687=CARTESIAN_POINT('',(5.35,-0.0174999999999991,0.125)); #49688=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.125)); #49689=CARTESIAN_POINT('',(5.35,-0.0174999999999991,0.)); #49690=CARTESIAN_POINT('Origin',(5.35,-0.417499999999999,0.)); #49691=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.)); #49692=CARTESIAN_POINT('Origin',(5.35,-0.417499999999999,0.)); #49693=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.125)); #49694=CARTESIAN_POINT('Origin',(5.35,-0.417499999999999,0.125)); #49695=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.)); #49696=CARTESIAN_POINT('Origin',(5.75,-0.985,0.)); #49697=CARTESIAN_POINT('',(5.75,-0.985,0.)); #49698=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.)); #49699=CARTESIAN_POINT('',(5.75,-0.985,0.125)); #49700=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.125)); #49701=CARTESIAN_POINT('',(5.75,-0.985,0.)); #49702=CARTESIAN_POINT('Origin',(5.35,-0.985,0.)); #49703=CARTESIAN_POINT('',(5.35,-0.985,0.)); #49704=CARTESIAN_POINT('',(5.75,-0.985,0.)); #49705=CARTESIAN_POINT('',(5.35,-0.985,0.125)); #49706=CARTESIAN_POINT('',(5.75,-0.985,0.125)); #49707=CARTESIAN_POINT('',(5.35,-0.985,0.)); #49708=CARTESIAN_POINT('Origin',(5.35,-0.617500000000001,0.)); #49709=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.)); #49710=CARTESIAN_POINT('',(5.35,-0.985,0.)); #49711=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.125)); #49712=CARTESIAN_POINT('',(5.35,-0.985,0.125)); #49713=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.)); #49714=CARTESIAN_POINT('Origin',(4.35,-0.617499999999999,0.)); #49715=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.)); #49716=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.)); #49717=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.125)); #49718=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.125)); #49719=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.)); #49720=CARTESIAN_POINT('Origin',(4.35,-0.985,0.)); #49721=CARTESIAN_POINT('',(4.35,-0.985,0.)); #49722=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.)); #49723=CARTESIAN_POINT('',(4.35,-0.985,0.125)); #49724=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.125)); #49725=CARTESIAN_POINT('',(4.35,-0.985,0.)); #49726=CARTESIAN_POINT('Origin',(3.95,-0.985,0.)); #49727=CARTESIAN_POINT('',(3.95,-0.985,0.)); #49728=CARTESIAN_POINT('',(4.35,-0.985,0.)); #49729=CARTESIAN_POINT('',(3.95,-0.985,0.125)); #49730=CARTESIAN_POINT('',(4.35,-0.985,0.125)); #49731=CARTESIAN_POINT('',(3.95,-0.985,0.)); #49732=CARTESIAN_POINT('Origin',(3.95,-0.417499999999999,0.)); #49733=CARTESIAN_POINT('',(3.95,-0.417499999999999,0.)); #49734=CARTESIAN_POINT('',(3.95,-1.5175,0.)); #49735=CARTESIAN_POINT('',(3.95,-0.417499999999999,0.125)); #49736=CARTESIAN_POINT('',(3.95,-1.5175,0.125)); #49737=CARTESIAN_POINT('',(3.95,-0.417499999999999,0.)); #49738=CARTESIAN_POINT('Origin',(4.35,-0.417499999999998,0.)); #49739=CARTESIAN_POINT('Origin',(4.35,-0.417499999999998,0.)); #49740=CARTESIAN_POINT('Origin',(4.35,-0.417499999999998,0.125)); #49741=CARTESIAN_POINT('Origin',(4.85,-0.501249999999999,0.125)); #49742=CARTESIAN_POINT('Origin',(4.85,-0.501249999999999,0.)); #49743=CARTESIAN_POINT('Origin',(5.55,-2.9925,0.125)); #49744=CARTESIAN_POINT('',(5.675,-2.9925,0.25)); #49745=CARTESIAN_POINT('Origin',(5.55,-2.9925,0.25)); #49746=CARTESIAN_POINT('',(5.675,-2.9925,0.125)); #49747=CARTESIAN_POINT('',(5.675,-2.9925,0.125)); #49748=CARTESIAN_POINT('Origin',(5.55,-2.9925,0.125)); #49749=CARTESIAN_POINT('Origin',(4.15,-2.9925,0.125)); #49750=CARTESIAN_POINT('',(4.275,-2.9925,0.25)); #49751=CARTESIAN_POINT('Origin',(4.15,-2.9925,0.25)); #49752=CARTESIAN_POINT('',(4.275,-2.9925,0.125)); #49753=CARTESIAN_POINT('',(4.275,-2.9925,0.125)); #49754=CARTESIAN_POINT('Origin',(4.15,-2.9925,0.125)); #49755=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.125)); #49756=CARTESIAN_POINT('',(4.975,-3.9175,0.25)); #49757=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.25)); #49758=CARTESIAN_POINT('',(4.975,-3.9175,0.125)); #49759=CARTESIAN_POINT('',(4.975,-3.9175,0.125)); #49760=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.125)); #49761=CARTESIAN_POINT('Origin',(4.35,-3.8175,0.125)); #49762=CARTESIAN_POINT('',(4.35,-4.2175,0.125)); #49763=CARTESIAN_POINT('',(3.95,-3.8175,0.125)); #49764=CARTESIAN_POINT('Origin',(4.35,-3.8175,0.125)); #49765=CARTESIAN_POINT('',(4.35,-4.2175,0.25)); #49766=CARTESIAN_POINT('',(4.35,-4.2175,0.125)); #49767=CARTESIAN_POINT('',(3.95,-3.8175,0.25)); #49768=CARTESIAN_POINT('Origin',(4.35,-3.8175,0.25)); #49769=CARTESIAN_POINT('',(3.95,-3.8175,0.125)); #49770=CARTESIAN_POINT('Origin',(3.95,-2.7175,0.125)); #49771=CARTESIAN_POINT('',(3.95,-2.7175,0.125)); #49772=CARTESIAN_POINT('',(3.95,-2.4175,0.125)); #49773=CARTESIAN_POINT('',(3.95,-2.7175,0.25)); #49774=CARTESIAN_POINT('',(3.95,-2.4175,0.25)); #49775=CARTESIAN_POINT('',(3.95,-2.7175,0.125)); #49776=CARTESIAN_POINT('Origin',(4.35,-2.7175,0.125)); #49777=CARTESIAN_POINT('',(4.35,-2.7175,0.125)); #49778=CARTESIAN_POINT('',(4.6,-2.7175,0.125)); #49779=CARTESIAN_POINT('',(4.35,-2.7175,0.25)); #49780=CARTESIAN_POINT('',(4.6,-2.7175,0.25)); #49781=CARTESIAN_POINT('',(4.35,-2.7175,0.125)); #49782=CARTESIAN_POINT('Origin',(4.35,-3.6175,0.125)); #49783=CARTESIAN_POINT('',(4.35,-3.6175,0.125)); #49784=CARTESIAN_POINT('',(4.35,-2.8675,0.125)); #49785=CARTESIAN_POINT('',(4.35,-3.6175,0.25)); #49786=CARTESIAN_POINT('',(4.35,-2.8675,0.25)); #49787=CARTESIAN_POINT('',(4.35,-3.6175,0.125)); #49788=CARTESIAN_POINT('Origin',(5.35,-3.6175,0.125)); #49789=CARTESIAN_POINT('',(5.35,-3.6175,0.125)); #49790=CARTESIAN_POINT('',(5.1,-3.6175,0.125)); #49791=CARTESIAN_POINT('',(5.35,-3.6175,0.25)); #49792=CARTESIAN_POINT('',(5.1,-3.6175,0.25)); #49793=CARTESIAN_POINT('',(5.35,-3.6175,0.125)); #49794=CARTESIAN_POINT('Origin',(5.35,-2.7175,0.125)); #49795=CARTESIAN_POINT('',(5.35,-2.7175,0.125)); #49796=CARTESIAN_POINT('',(5.35,-2.4175,0.125)); #49797=CARTESIAN_POINT('',(5.35,-2.7175,0.25)); #49798=CARTESIAN_POINT('',(5.35,-2.4175,0.25)); #49799=CARTESIAN_POINT('',(5.35,-2.7175,0.125)); #49800=CARTESIAN_POINT('Origin',(5.75,-2.7175,0.125)); #49801=CARTESIAN_POINT('',(5.75,-2.7175,0.125)); #49802=CARTESIAN_POINT('',(5.3,-2.7175,0.125)); #49803=CARTESIAN_POINT('',(5.75,-2.7175,0.25)); #49804=CARTESIAN_POINT('',(5.3,-2.7175,0.25)); #49805=CARTESIAN_POINT('',(5.75,-2.7175,0.125)); #49806=CARTESIAN_POINT('Origin',(5.75,-3.8175,0.125)); #49807=CARTESIAN_POINT('',(5.75,-3.8175,0.125)); #49808=CARTESIAN_POINT('',(5.75,-2.9675,0.125)); #49809=CARTESIAN_POINT('',(5.75,-3.8175,0.25)); #49810=CARTESIAN_POINT('',(5.75,-2.9675,0.25)); #49811=CARTESIAN_POINT('',(5.75,-3.8175,0.125)); #49812=CARTESIAN_POINT('Origin',(5.35,-3.8175,0.125)); #49813=CARTESIAN_POINT('',(5.35,-4.2175,0.125)); #49814=CARTESIAN_POINT('Origin',(5.35,-3.8175,0.125)); #49815=CARTESIAN_POINT('',(5.35,-4.2175,0.25)); #49816=CARTESIAN_POINT('Origin',(5.35,-3.8175,0.25)); #49817=CARTESIAN_POINT('',(5.35,-4.2175,0.125)); #49818=CARTESIAN_POINT('Origin',(4.35,-4.2175,0.125)); #49819=CARTESIAN_POINT('',(4.6,-4.2175,0.125)); #49820=CARTESIAN_POINT('',(4.6,-4.2175,0.25)); #49821=CARTESIAN_POINT('Origin',(4.85,-2.1175,0.25)); #49822=CARTESIAN_POINT('Origin',(4.85,-2.1175,0.125)); #49823=CARTESIAN_POINT('Origin',(4.15,-1.2425,0.125)); #49824=CARTESIAN_POINT('',(4.275,-1.2425,0.25)); #49825=CARTESIAN_POINT('Origin',(4.15,-1.2425,0.25)); #49826=CARTESIAN_POINT('',(4.275,-1.2425,0.125)); #49827=CARTESIAN_POINT('',(4.275,-1.2425,0.125)); #49828=CARTESIAN_POINT('Origin',(4.15,-1.2425,0.125)); #49829=CARTESIAN_POINT('Origin',(5.55,-1.2425,0.125)); #49830=CARTESIAN_POINT('',(5.675,-1.2425,0.25)); #49831=CARTESIAN_POINT('Origin',(5.55,-1.2425,0.25)); #49832=CARTESIAN_POINT('',(5.675,-1.2425,0.125)); #49833=CARTESIAN_POINT('',(5.675,-1.2425,0.125)); #49834=CARTESIAN_POINT('Origin',(5.55,-1.2425,0.125)); #49835=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.125)); #49836=CARTESIAN_POINT('',(4.975,-0.3175,0.25)); #49837=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.25)); #49838=CARTESIAN_POINT('',(4.975,-0.3175,0.125)); #49839=CARTESIAN_POINT('',(4.975,-0.3175,0.125)); #49840=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.125)); #49841=CARTESIAN_POINT('Origin',(5.35,-0.417499999999999,0.125)); #49842=CARTESIAN_POINT('',(5.35,-0.0174999999999991,0.125)); #49843=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.125)); #49844=CARTESIAN_POINT('Origin',(5.35,-0.417499999999999,0.125)); #49845=CARTESIAN_POINT('',(5.35,-0.0174999999999991,0.25)); #49846=CARTESIAN_POINT('',(5.35,-0.0174999999999991,0.125)); #49847=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.25)); #49848=CARTESIAN_POINT('Origin',(5.35,-0.417499999999999,0.25)); #49849=CARTESIAN_POINT('',(5.75,-0.417499999999998,0.125)); #49850=CARTESIAN_POINT('Origin',(5.75,-1.5175,0.125)); #49851=CARTESIAN_POINT('',(5.75,-1.5175,0.125)); #49852=CARTESIAN_POINT('',(5.75,-1.8175,0.125)); #49853=CARTESIAN_POINT('',(5.75,-1.5175,0.25)); #49854=CARTESIAN_POINT('',(5.75,-1.8175,0.25)); #49855=CARTESIAN_POINT('',(5.75,-1.5175,0.125)); #49856=CARTESIAN_POINT('Origin',(5.35,-1.5175,0.125)); #49857=CARTESIAN_POINT('',(5.35,-1.5175,0.125)); #49858=CARTESIAN_POINT('',(5.1,-1.5175,0.125)); #49859=CARTESIAN_POINT('',(5.35,-1.5175,0.25)); #49860=CARTESIAN_POINT('',(5.1,-1.5175,0.25)); #49861=CARTESIAN_POINT('',(5.35,-1.5175,0.125)); #49862=CARTESIAN_POINT('Origin',(5.35,-0.617500000000001,0.125)); #49863=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.125)); #49864=CARTESIAN_POINT('',(5.35,-1.3675,0.125)); #49865=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.25)); #49866=CARTESIAN_POINT('',(5.35,-1.3675,0.25)); #49867=CARTESIAN_POINT('',(5.35,-0.617500000000001,0.125)); #49868=CARTESIAN_POINT('Origin',(4.35,-0.617499999999999,0.125)); #49869=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.125)); #49870=CARTESIAN_POINT('',(4.6,-0.6175,0.125)); #49871=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.25)); #49872=CARTESIAN_POINT('',(4.6,-0.6175,0.25)); #49873=CARTESIAN_POINT('',(4.35,-0.617499999999999,0.125)); #49874=CARTESIAN_POINT('Origin',(4.35,-1.5175,0.125)); #49875=CARTESIAN_POINT('',(4.35,-1.5175,0.125)); #49876=CARTESIAN_POINT('',(4.35,-1.8175,0.125)); #49877=CARTESIAN_POINT('',(4.35,-1.5175,0.25)); #49878=CARTESIAN_POINT('',(4.35,-1.8175,0.25)); #49879=CARTESIAN_POINT('',(4.35,-1.5175,0.125)); #49880=CARTESIAN_POINT('Origin',(3.95,-1.5175,0.125)); #49881=CARTESIAN_POINT('',(3.95,-1.5175,0.125)); #49882=CARTESIAN_POINT('',(4.4,-1.5175,0.125)); #49883=CARTESIAN_POINT('',(3.95,-1.5175,0.25)); #49884=CARTESIAN_POINT('',(4.4,-1.5175,0.25)); #49885=CARTESIAN_POINT('',(3.95,-1.5175,0.125)); #49886=CARTESIAN_POINT('Origin',(3.95,-0.417499999999999,0.125)); #49887=CARTESIAN_POINT('',(3.95,-0.417499999999999,0.125)); #49888=CARTESIAN_POINT('',(3.95,-1.2675,0.125)); #49889=CARTESIAN_POINT('',(3.95,-0.417499999999999,0.25)); #49890=CARTESIAN_POINT('',(3.95,-1.2675,0.25)); #49891=CARTESIAN_POINT('',(3.95,-0.417499999999999,0.125)); #49892=CARTESIAN_POINT('Origin',(4.35,-0.417499999999998,0.125)); #49893=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.125)); #49894=CARTESIAN_POINT('Origin',(4.35,-0.417499999999998,0.125)); #49895=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.25)); #49896=CARTESIAN_POINT('Origin',(4.35,-0.417499999999998,0.25)); #49897=CARTESIAN_POINT('',(4.35,-0.0174999999999984,0.125)); #49898=CARTESIAN_POINT('Origin',(5.35,-0.0174999999999991,0.125)); #49899=CARTESIAN_POINT('',(5.1,-0.0174999999999989,0.125)); #49900=CARTESIAN_POINT('',(5.1,-0.0174999999999989,0.25)); #49901=CARTESIAN_POINT('Origin',(4.85,-2.1175,0.25)); #49902=CARTESIAN_POINT('Origin',(4.85,-2.1175,0.125)); #49903=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.)); #49904=CARTESIAN_POINT('',(-4.975,-3.9175,0.125)); #49905=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.125)); #49906=CARTESIAN_POINT('',(-4.975,-3.9175,0.)); #49907=CARTESIAN_POINT('',(-4.975,-3.9175,0.)); #49908=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.)); #49909=CARTESIAN_POINT('Origin',(-4.35,-4.2175,0.)); #49910=CARTESIAN_POINT('',(-4.35,-4.2175,0.)); #49911=CARTESIAN_POINT('',(-5.35,-4.2175,0.)); #49912=CARTESIAN_POINT('',(-4.35,-4.2175,0.)); #49913=CARTESIAN_POINT('',(-4.35,-4.2175,0.125)); #49914=CARTESIAN_POINT('',(-4.35,-4.2175,0.)); #49915=CARTESIAN_POINT('',(-5.35,-4.2175,0.125)); #49916=CARTESIAN_POINT('',(-4.35,-4.2175,0.125)); #49917=CARTESIAN_POINT('',(-5.35,-4.2175,0.)); #49918=CARTESIAN_POINT('Origin',(-4.35,-3.8175,0.)); #49919=CARTESIAN_POINT('',(-3.95,-3.8175,0.)); #49920=CARTESIAN_POINT('Origin',(-4.35,-3.8175,0.)); #49921=CARTESIAN_POINT('',(-3.95,-3.8175,0.125)); #49922=CARTESIAN_POINT('',(-3.95,-3.8175,0.)); #49923=CARTESIAN_POINT('Origin',(-4.35,-3.8175,0.125)); #49924=CARTESIAN_POINT('Origin',(-3.95,-3.25,0.)); #49925=CARTESIAN_POINT('',(-3.95,-3.25,0.)); #49926=CARTESIAN_POINT('',(-3.95,-2.7175,0.)); #49927=CARTESIAN_POINT('',(-3.95,-3.25,0.125)); #49928=CARTESIAN_POINT('',(-3.95,-3.25,0.)); #49929=CARTESIAN_POINT('',(-3.95,-2.7175,0.125)); #49930=CARTESIAN_POINT('Origin',(-4.35,-3.25,0.)); #49931=CARTESIAN_POINT('',(-4.35,-3.25,0.)); #49932=CARTESIAN_POINT('',(-4.35,-3.25,0.)); #49933=CARTESIAN_POINT('',(-4.35,-3.25,0.125)); #49934=CARTESIAN_POINT('',(-4.35,-3.25,0.)); #49935=CARTESIAN_POINT('',(-4.35,-3.25,0.125)); #49936=CARTESIAN_POINT('Origin',(-4.35,-3.6175,0.)); #49937=CARTESIAN_POINT('',(-4.35,-3.6175,0.)); #49938=CARTESIAN_POINT('',(-4.35,-3.6175,0.)); #49939=CARTESIAN_POINT('',(-4.35,-3.6175,0.125)); #49940=CARTESIAN_POINT('',(-4.35,-3.6175,0.)); #49941=CARTESIAN_POINT('',(-4.35,-3.6175,0.125)); #49942=CARTESIAN_POINT('Origin',(-5.35,-3.6175,0.)); #49943=CARTESIAN_POINT('',(-5.35,-3.6175,0.)); #49944=CARTESIAN_POINT('',(-5.35,-3.6175,0.)); #49945=CARTESIAN_POINT('',(-5.35,-3.6175,0.125)); #49946=CARTESIAN_POINT('',(-5.35,-3.6175,0.)); #49947=CARTESIAN_POINT('',(-5.35,-3.6175,0.125)); #49948=CARTESIAN_POINT('Origin',(-5.35,-3.25,0.)); #49949=CARTESIAN_POINT('',(-5.35,-3.25,0.)); #49950=CARTESIAN_POINT('',(-5.35,-2.7175,0.)); #49951=CARTESIAN_POINT('',(-5.35,-3.25,0.125)); #49952=CARTESIAN_POINT('',(-5.35,-3.25,0.)); #49953=CARTESIAN_POINT('',(-5.35,-2.7175,0.125)); #49954=CARTESIAN_POINT('Origin',(-5.75,-3.25,0.)); #49955=CARTESIAN_POINT('',(-5.75,-3.25,0.)); #49956=CARTESIAN_POINT('',(-5.75,-3.25,0.)); #49957=CARTESIAN_POINT('',(-5.75,-3.25,0.125)); #49958=CARTESIAN_POINT('',(-5.75,-3.25,0.)); #49959=CARTESIAN_POINT('',(-5.75,-3.25,0.125)); #49960=CARTESIAN_POINT('Origin',(-5.75,-3.8175,0.)); #49961=CARTESIAN_POINT('',(-5.75,-3.8175,0.)); #49962=CARTESIAN_POINT('',(-5.75,-3.8175,0.)); #49963=CARTESIAN_POINT('',(-5.75,-3.8175,0.125)); #49964=CARTESIAN_POINT('',(-5.75,-3.8175,0.)); #49965=CARTESIAN_POINT('',(-5.75,-3.8175,0.125)); #49966=CARTESIAN_POINT('Origin',(-5.35,-3.8175,0.)); #49967=CARTESIAN_POINT('Origin',(-5.35,-3.8175,0.)); #49968=CARTESIAN_POINT('Origin',(-5.35,-3.8175,0.125)); #49969=CARTESIAN_POINT('Origin',(-4.85,-3.73375,0.125)); #49970=CARTESIAN_POINT('Origin',(-4.85,-3.73375,0.)); #49971=CARTESIAN_POINT('Origin',(-4.85,-0.3175,0.)); #49972=CARTESIAN_POINT('',(-4.975,-0.3175,0.125)); #49973=CARTESIAN_POINT('Origin',(-4.85,-0.3175,0.125)); #49974=CARTESIAN_POINT('',(-4.975,-0.3175,0.)); #49975=CARTESIAN_POINT('',(-4.975,-0.3175,0.)); #49976=CARTESIAN_POINT('Origin',(-4.85,-0.3175,0.)); #49977=CARTESIAN_POINT('Origin',(-5.35,-0.0174999999999991,0.)); #49978=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.)); #49979=CARTESIAN_POINT('',(-5.35,-0.0174999999999991,0.)); #49980=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.)); #49981=CARTESIAN_POINT('',(-5.35,-0.0174999999999991,0.125)); #49982=CARTESIAN_POINT('',(-5.35,-0.0174999999999991,0.)); #49983=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.125)); #49984=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.125)); #49985=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.)); #49986=CARTESIAN_POINT('Origin',(-5.35,-0.417499999999999,0.)); #49987=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.)); #49988=CARTESIAN_POINT('Origin',(-5.35,-0.417499999999999,0.)); #49989=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.125)); #49990=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.)); #49991=CARTESIAN_POINT('Origin',(-5.35,-0.417499999999999,0.125)); #49992=CARTESIAN_POINT('Origin',(-5.75,-0.985,0.)); #49993=CARTESIAN_POINT('',(-5.75,-0.985,0.)); #49994=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.)); #49995=CARTESIAN_POINT('',(-5.75,-0.985,0.125)); #49996=CARTESIAN_POINT('',(-5.75,-0.985,0.)); #49997=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.125)); #49998=CARTESIAN_POINT('Origin',(-5.35,-0.985,0.)); #49999=CARTESIAN_POINT('',(-5.35,-0.985,0.)); #50000=CARTESIAN_POINT('',(-5.75,-0.985,0.)); #50001=CARTESIAN_POINT('',(-5.35,-0.985,0.125)); #50002=CARTESIAN_POINT('',(-5.35,-0.985,0.)); #50003=CARTESIAN_POINT('',(-5.75,-0.985,0.125)); #50004=CARTESIAN_POINT('Origin',(-5.35,-0.617500000000001,0.)); #50005=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.)); #50006=CARTESIAN_POINT('',(-5.35,-0.985,0.)); #50007=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.125)); #50008=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.)); #50009=CARTESIAN_POINT('',(-5.35,-0.985,0.125)); #50010=CARTESIAN_POINT('Origin',(-4.35,-0.617499999999999,0.)); #50011=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.)); #50012=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.)); #50013=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.125)); #50014=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.)); #50015=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.125)); #50016=CARTESIAN_POINT('Origin',(-4.35,-0.985,0.)); #50017=CARTESIAN_POINT('',(-4.35,-0.985,0.)); #50018=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.)); #50019=CARTESIAN_POINT('',(-4.35,-0.985,0.125)); #50020=CARTESIAN_POINT('',(-4.35,-0.985,0.)); #50021=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.125)); #50022=CARTESIAN_POINT('Origin',(-3.95,-0.985,0.)); #50023=CARTESIAN_POINT('',(-3.95,-0.985,0.)); #50024=CARTESIAN_POINT('',(-4.35,-0.985,0.)); #50025=CARTESIAN_POINT('',(-3.95,-0.985,0.125)); #50026=CARTESIAN_POINT('',(-3.95,-0.985,0.)); #50027=CARTESIAN_POINT('',(-4.35,-0.985,0.125)); #50028=CARTESIAN_POINT('Origin',(-3.95,-0.417499999999999,0.)); #50029=CARTESIAN_POINT('',(-3.95,-0.417499999999999,0.)); #50030=CARTESIAN_POINT('',(-3.95,-1.5175,0.)); #50031=CARTESIAN_POINT('',(-3.95,-0.417499999999999,0.125)); #50032=CARTESIAN_POINT('',(-3.95,-0.417499999999999,0.)); #50033=CARTESIAN_POINT('',(-3.95,-1.5175,0.125)); #50034=CARTESIAN_POINT('Origin',(-4.35,-0.417499999999998,0.)); #50035=CARTESIAN_POINT('Origin',(-4.35,-0.417499999999998,0.)); #50036=CARTESIAN_POINT('Origin',(-4.35,-0.417499999999998,0.125)); #50037=CARTESIAN_POINT('Origin',(-4.85,-0.501249999999999,0.125)); #50038=CARTESIAN_POINT('Origin',(-4.85,-0.501249999999999,0.)); #50039=CARTESIAN_POINT('Origin',(-5.55,-2.9925,0.125)); #50040=CARTESIAN_POINT('',(-5.675,-2.9925,0.25)); #50041=CARTESIAN_POINT('Origin',(-5.55,-2.9925,0.25)); #50042=CARTESIAN_POINT('',(-5.675,-2.9925,0.125)); #50043=CARTESIAN_POINT('',(-5.675,-2.9925,0.125)); #50044=CARTESIAN_POINT('Origin',(-5.55,-2.9925,0.125)); #50045=CARTESIAN_POINT('Origin',(-4.15,-2.9925,0.125)); #50046=CARTESIAN_POINT('',(-4.275,-2.9925,0.25)); #50047=CARTESIAN_POINT('Origin',(-4.15,-2.9925,0.25)); #50048=CARTESIAN_POINT('',(-4.275,-2.9925,0.125)); #50049=CARTESIAN_POINT('',(-4.275,-2.9925,0.125)); #50050=CARTESIAN_POINT('Origin',(-4.15,-2.9925,0.125)); #50051=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.125)); #50052=CARTESIAN_POINT('',(-4.975,-3.9175,0.25)); #50053=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.25)); #50054=CARTESIAN_POINT('',(-4.975,-3.9175,0.125)); #50055=CARTESIAN_POINT('',(-4.975,-3.9175,0.125)); #50056=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.125)); #50057=CARTESIAN_POINT('Origin',(-4.35,-3.8175,0.125)); #50058=CARTESIAN_POINT('',(-4.35,-4.2175,0.125)); #50059=CARTESIAN_POINT('',(-3.95,-3.8175,0.125)); #50060=CARTESIAN_POINT('Origin',(-4.35,-3.8175,0.125)); #50061=CARTESIAN_POINT('',(-3.95,-3.8175,0.25)); #50062=CARTESIAN_POINT('',(-3.95,-3.8175,0.125)); #50063=CARTESIAN_POINT('',(-4.35,-4.2175,0.25)); #50064=CARTESIAN_POINT('Origin',(-4.35,-3.8175,0.25)); #50065=CARTESIAN_POINT('',(-4.35,-4.2175,0.125)); #50066=CARTESIAN_POINT('Origin',(-3.95,-2.7175,0.125)); #50067=CARTESIAN_POINT('',(-3.95,-2.7175,0.125)); #50068=CARTESIAN_POINT('',(-3.95,-2.4175,0.125)); #50069=CARTESIAN_POINT('',(-3.95,-2.7175,0.25)); #50070=CARTESIAN_POINT('',(-3.95,-2.7175,0.125)); #50071=CARTESIAN_POINT('',(-3.95,-2.4175,0.25)); #50072=CARTESIAN_POINT('Origin',(-4.35,-2.7175,0.125)); #50073=CARTESIAN_POINT('',(-4.35,-2.7175,0.125)); #50074=CARTESIAN_POINT('',(-4.6,-2.7175,0.125)); #50075=CARTESIAN_POINT('',(-4.35,-2.7175,0.25)); #50076=CARTESIAN_POINT('',(-4.35,-2.7175,0.125)); #50077=CARTESIAN_POINT('',(-4.6,-2.7175,0.25)); #50078=CARTESIAN_POINT('Origin',(-4.35,-3.6175,0.125)); #50079=CARTESIAN_POINT('',(-4.35,-3.6175,0.125)); #50080=CARTESIAN_POINT('',(-4.35,-2.8675,0.125)); #50081=CARTESIAN_POINT('',(-4.35,-3.6175,0.25)); #50082=CARTESIAN_POINT('',(-4.35,-3.6175,0.125)); #50083=CARTESIAN_POINT('',(-4.35,-2.8675,0.25)); #50084=CARTESIAN_POINT('Origin',(-5.35,-3.6175,0.125)); #50085=CARTESIAN_POINT('',(-5.35,-3.6175,0.125)); #50086=CARTESIAN_POINT('',(-5.1,-3.6175,0.125)); #50087=CARTESIAN_POINT('',(-5.35,-3.6175,0.25)); #50088=CARTESIAN_POINT('',(-5.35,-3.6175,0.125)); #50089=CARTESIAN_POINT('',(-5.1,-3.6175,0.25)); #50090=CARTESIAN_POINT('Origin',(-5.35,-2.7175,0.125)); #50091=CARTESIAN_POINT('',(-5.35,-2.7175,0.125)); #50092=CARTESIAN_POINT('',(-5.35,-2.4175,0.125)); #50093=CARTESIAN_POINT('',(-5.35,-2.7175,0.25)); #50094=CARTESIAN_POINT('',(-5.35,-2.7175,0.125)); #50095=CARTESIAN_POINT('',(-5.35,-2.4175,0.25)); #50096=CARTESIAN_POINT('Origin',(-5.75,-2.7175,0.125)); #50097=CARTESIAN_POINT('',(-5.75,-2.7175,0.125)); #50098=CARTESIAN_POINT('',(-5.3,-2.7175,0.125)); #50099=CARTESIAN_POINT('',(-5.75,-2.7175,0.25)); #50100=CARTESIAN_POINT('',(-5.75,-2.7175,0.125)); #50101=CARTESIAN_POINT('',(-5.3,-2.7175,0.25)); #50102=CARTESIAN_POINT('Origin',(-5.75,-3.8175,0.125)); #50103=CARTESIAN_POINT('',(-5.75,-3.8175,0.125)); #50104=CARTESIAN_POINT('',(-5.75,-2.9675,0.125)); #50105=CARTESIAN_POINT('',(-5.75,-3.8175,0.25)); #50106=CARTESIAN_POINT('',(-5.75,-3.8175,0.125)); #50107=CARTESIAN_POINT('',(-5.75,-2.9675,0.25)); #50108=CARTESIAN_POINT('Origin',(-5.35,-3.8175,0.125)); #50109=CARTESIAN_POINT('',(-5.35,-4.2175,0.125)); #50110=CARTESIAN_POINT('Origin',(-5.35,-3.8175,0.125)); #50111=CARTESIAN_POINT('',(-5.35,-4.2175,0.25)); #50112=CARTESIAN_POINT('',(-5.35,-4.2175,0.125)); #50113=CARTESIAN_POINT('Origin',(-5.35,-3.8175,0.25)); #50114=CARTESIAN_POINT('Origin',(-4.35,-4.2175,0.125)); #50115=CARTESIAN_POINT('',(-4.6,-4.2175,0.125)); #50116=CARTESIAN_POINT('',(-4.6,-4.2175,0.25)); #50117=CARTESIAN_POINT('Origin',(-4.85,-2.1175,0.25)); #50118=CARTESIAN_POINT('Origin',(-4.85,-2.1175,0.125)); #50119=CARTESIAN_POINT('Origin',(-4.15,-1.2425,0.125)); #50120=CARTESIAN_POINT('',(-4.275,-1.2425,0.25)); #50121=CARTESIAN_POINT('Origin',(-4.15,-1.2425,0.25)); #50122=CARTESIAN_POINT('',(-4.275,-1.2425,0.125)); #50123=CARTESIAN_POINT('',(-4.275,-1.2425,0.125)); #50124=CARTESIAN_POINT('Origin',(-4.15,-1.2425,0.125)); #50125=CARTESIAN_POINT('Origin',(-5.55,-1.2425,0.125)); #50126=CARTESIAN_POINT('',(-5.675,-1.2425,0.25)); #50127=CARTESIAN_POINT('Origin',(-5.55,-1.2425,0.25)); #50128=CARTESIAN_POINT('',(-5.675,-1.2425,0.125)); #50129=CARTESIAN_POINT('',(-5.675,-1.2425,0.125)); #50130=CARTESIAN_POINT('Origin',(-5.55,-1.2425,0.125)); #50131=CARTESIAN_POINT('Origin',(-4.85,-0.3175,0.125)); #50132=CARTESIAN_POINT('',(-4.975,-0.3175,0.25)); #50133=CARTESIAN_POINT('Origin',(-4.85,-0.3175,0.25)); #50134=CARTESIAN_POINT('',(-4.975,-0.3175,0.125)); #50135=CARTESIAN_POINT('',(-4.975,-0.3175,0.125)); #50136=CARTESIAN_POINT('Origin',(-4.85,-0.3175,0.125)); #50137=CARTESIAN_POINT('Origin',(-5.35,-0.417499999999999,0.125)); #50138=CARTESIAN_POINT('',(-5.35,-0.0174999999999991,0.125)); #50139=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.125)); #50140=CARTESIAN_POINT('Origin',(-5.35,-0.417499999999999,0.125)); #50141=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.25)); #50142=CARTESIAN_POINT('',(-5.75,-0.417499999999998,0.125)); #50143=CARTESIAN_POINT('',(-5.35,-0.0174999999999991,0.25)); #50144=CARTESIAN_POINT('Origin',(-5.35,-0.417499999999999,0.25)); #50145=CARTESIAN_POINT('',(-5.35,-0.0174999999999991,0.125)); #50146=CARTESIAN_POINT('Origin',(-5.75,-1.5175,0.125)); #50147=CARTESIAN_POINT('',(-5.75,-1.5175,0.125)); #50148=CARTESIAN_POINT('',(-5.75,-1.8175,0.125)); #50149=CARTESIAN_POINT('',(-5.75,-1.5175,0.25)); #50150=CARTESIAN_POINT('',(-5.75,-1.5175,0.125)); #50151=CARTESIAN_POINT('',(-5.75,-1.8175,0.25)); #50152=CARTESIAN_POINT('Origin',(-5.35,-1.5175,0.125)); #50153=CARTESIAN_POINT('',(-5.35,-1.5175,0.125)); #50154=CARTESIAN_POINT('',(-5.1,-1.5175,0.125)); #50155=CARTESIAN_POINT('',(-5.35,-1.5175,0.25)); #50156=CARTESIAN_POINT('',(-5.35,-1.5175,0.125)); #50157=CARTESIAN_POINT('',(-5.1,-1.5175,0.25)); #50158=CARTESIAN_POINT('Origin',(-5.35,-0.617500000000001,0.125)); #50159=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.125)); #50160=CARTESIAN_POINT('',(-5.35,-1.3675,0.125)); #50161=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.25)); #50162=CARTESIAN_POINT('',(-5.35,-0.617500000000001,0.125)); #50163=CARTESIAN_POINT('',(-5.35,-1.3675,0.25)); #50164=CARTESIAN_POINT('Origin',(-4.35,-0.617499999999999,0.125)); #50165=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.125)); #50166=CARTESIAN_POINT('',(-4.6,-0.6175,0.125)); #50167=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.25)); #50168=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.125)); #50169=CARTESIAN_POINT('',(-4.6,-0.6175,0.25)); #50170=CARTESIAN_POINT('Origin',(-4.35,-1.5175,0.125)); #50171=CARTESIAN_POINT('',(-4.35,-1.5175,0.125)); #50172=CARTESIAN_POINT('',(-4.35,-1.8175,0.125)); #50173=CARTESIAN_POINT('',(-4.35,-1.5175,0.25)); #50174=CARTESIAN_POINT('',(-4.35,-1.5175,0.125)); #50175=CARTESIAN_POINT('',(-4.35,-1.8175,0.25)); #50176=CARTESIAN_POINT('Origin',(-3.95,-1.5175,0.125)); #50177=CARTESIAN_POINT('',(-3.95,-1.5175,0.125)); #50178=CARTESIAN_POINT('',(-4.4,-1.5175,0.125)); #50179=CARTESIAN_POINT('',(-3.95,-1.5175,0.25)); #50180=CARTESIAN_POINT('',(-3.95,-1.5175,0.125)); #50181=CARTESIAN_POINT('',(-4.4,-1.5175,0.25)); #50182=CARTESIAN_POINT('Origin',(-3.95,-0.417499999999999,0.125)); #50183=CARTESIAN_POINT('',(-3.95,-0.417499999999999,0.125)); #50184=CARTESIAN_POINT('',(-3.95,-1.2675,0.125)); #50185=CARTESIAN_POINT('',(-3.95,-0.417499999999999,0.25)); #50186=CARTESIAN_POINT('',(-3.95,-0.417499999999999,0.125)); #50187=CARTESIAN_POINT('',(-3.95,-1.2675,0.25)); #50188=CARTESIAN_POINT('Origin',(-4.35,-0.417499999999998,0.125)); #50189=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.125)); #50190=CARTESIAN_POINT('Origin',(-4.35,-0.417499999999998,0.125)); #50191=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.25)); #50192=CARTESIAN_POINT('',(-4.35,-0.0174999999999984,0.125)); #50193=CARTESIAN_POINT('Origin',(-4.35,-0.417499999999998,0.25)); #50194=CARTESIAN_POINT('Origin',(-5.35,-0.0174999999999991,0.125)); #50195=CARTESIAN_POINT('',(-5.1,-0.0174999999999989,0.125)); #50196=CARTESIAN_POINT('',(-5.1,-0.0174999999999989,0.25)); #50197=CARTESIAN_POINT('Origin',(-4.85,-2.1175,0.25)); #50198=CARTESIAN_POINT('Origin',(-4.85,-2.1175,0.125)); #50199=CARTESIAN_POINT('Origin',(-2.6,-1.6175,0.)); #50200=CARTESIAN_POINT('',(-2.85,-1.6175,0.)); #50201=CARTESIAN_POINT('',(-2.6,-1.6175,0.)); #50202=CARTESIAN_POINT('',(-2.6,-1.6175,0.)); #50203=CARTESIAN_POINT('',(-2.85,-1.6175,-0.25)); #50204=CARTESIAN_POINT('',(-2.85,-1.6175,0.)); #50205=CARTESIAN_POINT('',(-2.6,-1.6175,-0.25)); #50206=CARTESIAN_POINT('',(-2.6,-1.6175,-0.25)); #50207=CARTESIAN_POINT('',(-2.6,-1.6175,0.)); #50208=CARTESIAN_POINT('Origin',(-2.6,-2.6175,0.)); #50209=CARTESIAN_POINT('',(-2.6,-2.6175,0.)); #50210=CARTESIAN_POINT('',(-2.6,-2.6175,0.)); #50211=CARTESIAN_POINT('',(-2.6,-2.6175,-0.25)); #50212=CARTESIAN_POINT('',(-2.6,-2.6175,-0.25)); #50213=CARTESIAN_POINT('',(-2.6,-2.6175,0.)); #50214=CARTESIAN_POINT('Origin',(-2.85,-2.6175,0.)); #50215=CARTESIAN_POINT('',(-2.85,-2.6175,0.)); #50216=CARTESIAN_POINT('',(-2.85,-2.6175,0.)); #50217=CARTESIAN_POINT('',(-2.85,-2.6175,-0.25)); #50218=CARTESIAN_POINT('',(-2.85,-2.6175,-0.25)); #50219=CARTESIAN_POINT('',(-2.85,-2.6175,0.)); #50220=CARTESIAN_POINT('Origin',(-2.85,-1.6175,0.)); #50221=CARTESIAN_POINT('',(-2.85,-1.6175,0.)); #50222=CARTESIAN_POINT('',(-2.85,-1.6175,-0.25)); #50223=CARTESIAN_POINT('Origin',(1.1,-1.2175,0.)); #50224=CARTESIAN_POINT('',(0.850000082977175,-1.2175,0.)); #50225=CARTESIAN_POINT('',(1.1,-1.2175,0.)); #50226=CARTESIAN_POINT('',(1.1,-1.2175,0.)); #50227=CARTESIAN_POINT('',(0.850000082977175,-1.2175,-0.25)); #50228=CARTESIAN_POINT('',(0.850000082977175,-1.2175,0.)); #50229=CARTESIAN_POINT('',(1.1,-1.2175,-0.25)); #50230=CARTESIAN_POINT('',(1.1,-1.2175,-0.25)); #50231=CARTESIAN_POINT('',(1.1,-1.2175,0.)); #50232=CARTESIAN_POINT('Origin',(1.1,-1.3675,0.)); #50233=CARTESIAN_POINT('',(1.1,-1.3675,0.)); #50234=CARTESIAN_POINT('',(1.1,-1.3675,0.)); #50235=CARTESIAN_POINT('',(1.1,-1.3675,-0.25)); #50236=CARTESIAN_POINT('',(1.1,-1.3675,-0.25)); #50237=CARTESIAN_POINT('',(1.1,-1.3675,0.)); #50238=CARTESIAN_POINT('Origin',(0.850000082977175,-1.3675,0.)); #50239=CARTESIAN_POINT('',(0.850000082977175,-1.3675,0.)); #50240=CARTESIAN_POINT('',(0.850000082977175,-1.3675,0.)); #50241=CARTESIAN_POINT('',(0.850000082977175,-1.3675,-0.25)); #50242=CARTESIAN_POINT('',(0.850000082977175,-1.3675,-0.25)); #50243=CARTESIAN_POINT('',(0.850000082977175,-1.3675,0.)); #50244=CARTESIAN_POINT('Origin',(0.850000082977175,-1.2175,0.)); #50245=CARTESIAN_POINT('',(0.850000082977175,-1.2175,0.)); #50246=CARTESIAN_POINT('',(0.850000082977175,-1.2175,-0.25)); #50247=CARTESIAN_POINT('Origin',(3.00000000750925,5.,0.)); #50248=CARTESIAN_POINT('',(4.00000000750925,5.,0.)); #50249=CARTESIAN_POINT('',(3.00000000750925,5.,0.)); #50250=CARTESIAN_POINT('',(4.00000000750925,5.,0.)); #50251=CARTESIAN_POINT('',(4.00000000750925,5.,-0.25)); #50252=CARTESIAN_POINT('',(4.00000000750925,5.,0.)); #50253=CARTESIAN_POINT('',(3.00000000750925,5.,-0.25)); #50254=CARTESIAN_POINT('',(4.00000000750925,5.,-0.25)); #50255=CARTESIAN_POINT('',(3.00000000750925,5.,0.)); #50256=CARTESIAN_POINT('Origin',(3.00000000750925,5.25,0.)); #50257=CARTESIAN_POINT('',(3.00000000750925,5.25,0.)); #50258=CARTESIAN_POINT('',(3.00000000750925,5.,0.)); #50259=CARTESIAN_POINT('',(3.00000000750925,5.25,-0.25)); #50260=CARTESIAN_POINT('',(3.00000000750925,5.,-0.25)); #50261=CARTESIAN_POINT('',(3.00000000750925,5.25,0.)); #50262=CARTESIAN_POINT('Origin',(4.00000000750925,5.25,0.)); #50263=CARTESIAN_POINT('',(4.00000000750925,5.25,0.)); #50264=CARTESIAN_POINT('',(3.00000000750925,5.25,0.)); #50265=CARTESIAN_POINT('',(4.00000000750925,5.25,-0.25)); #50266=CARTESIAN_POINT('',(3.00000000750925,5.25,-0.25)); #50267=CARTESIAN_POINT('',(4.00000000750925,5.25,0.)); #50268=CARTESIAN_POINT('Origin',(4.00000000750925,5.,0.)); #50269=CARTESIAN_POINT('',(4.00000000750925,5.25,0.)); #50270=CARTESIAN_POINT('',(4.00000000750925,5.25,-0.25)); #50271=CARTESIAN_POINT('Origin',(3.49999999249075,-4.5,0.)); #50272=CARTESIAN_POINT('',(3.37999999249075,-4.5,-0.25)); #50273=CARTESIAN_POINT('Origin',(3.49999999249075,-4.5,-0.25)); #50274=CARTESIAN_POINT('',(3.37999999249075,-4.5,0.)); #50275=CARTESIAN_POINT('',(3.37999999249075,-4.5,0.)); #50276=CARTESIAN_POINT('Origin',(3.49999999249075,-4.5,0.)); #50277=CARTESIAN_POINT('Origin',(-3.49999999249075,-4.5,0.)); #50278=CARTESIAN_POINT('',(-3.61999999249075,-4.5,-0.25)); #50279=CARTESIAN_POINT('Origin',(-3.49999999249075,-4.5,-0.25)); #50280=CARTESIAN_POINT('',(-3.61999999249075,-4.5,0.)); #50281=CARTESIAN_POINT('',(-3.61999999249075,-4.5,0.)); #50282=CARTESIAN_POINT('Origin',(-3.49999999249075,-4.5,0.)); #50283=CARTESIAN_POINT('Origin',(-3.49999999249075,4.5,0.)); #50284=CARTESIAN_POINT('',(-3.61999999249075,4.5,-0.25)); #50285=CARTESIAN_POINT('Origin',(-3.49999999249075,4.5,-0.25)); #50286=CARTESIAN_POINT('',(-3.61999999249075,4.5,0.)); #50287=CARTESIAN_POINT('',(-3.61999999249075,4.5,0.)); #50288=CARTESIAN_POINT('Origin',(-3.49999999249075,4.5,0.)); #50289=CARTESIAN_POINT('Origin',(-2.25000004787145,0.500000015957149,0.)); #50290=CARTESIAN_POINT('',(-2.37000004787145,0.500000015957149,-0.25)); #50291=CARTESIAN_POINT('Origin',(-2.25000004787145,0.500000015957149,-0.25)); #50292=CARTESIAN_POINT('',(-2.37000004787145,0.500000015957149,0.)); #50293=CARTESIAN_POINT('',(-2.37000004787145,0.500000015957149,0.)); #50294=CARTESIAN_POINT('Origin',(-2.25000004787145,0.500000015957149,0.)); #50295=CARTESIAN_POINT('Origin',(3.49999999249075,4.5,0.)); #50296=CARTESIAN_POINT('',(3.37999999249075,4.5,-0.25)); #50297=CARTESIAN_POINT('Origin',(3.49999999249075,4.5,-0.25)); #50298=CARTESIAN_POINT('',(3.37999999249075,4.5,0.)); #50299=CARTESIAN_POINT('',(3.37999999249075,4.5,0.)); #50300=CARTESIAN_POINT('Origin',(3.49999999249075,4.5,0.)); #50301=CARTESIAN_POINT('Origin',(0.1875,5.475,0.)); #50302=CARTESIAN_POINT('',(0.1125,5.475,-0.25)); #50303=CARTESIAN_POINT('Origin',(0.1875,5.475,-0.25)); #50304=CARTESIAN_POINT('',(0.1125,5.475,0.)); #50305=CARTESIAN_POINT('',(0.1125,5.475,0.)); #50306=CARTESIAN_POINT('Origin',(0.1875,5.475,0.)); #50307=CARTESIAN_POINT('Origin',(0.125,-1.3675,0.)); #50308=CARTESIAN_POINT('',(0.125,-1.2175,0.)); #50309=CARTESIAN_POINT('',(0.125,-1.3675,0.)); #50310=CARTESIAN_POINT('',(0.125,-1.3675,0.)); #50311=CARTESIAN_POINT('',(0.125,-1.2175,-0.25)); #50312=CARTESIAN_POINT('',(0.125,-1.2175,0.)); #50313=CARTESIAN_POINT('',(0.125,-1.3675,-0.25)); #50314=CARTESIAN_POINT('',(0.125,-1.3675,-0.25)); #50315=CARTESIAN_POINT('',(0.125,-1.3675,0.)); #50316=CARTESIAN_POINT('Origin',(-0.124999917022825,-1.3675,0.)); #50317=CARTESIAN_POINT('',(-0.124999917022825,-1.3675,0.)); #50318=CARTESIAN_POINT('',(-0.124999917022825,-1.3675,0.)); #50319=CARTESIAN_POINT('',(-0.124999917022825,-1.3675,-0.25)); #50320=CARTESIAN_POINT('',(-0.124999917022825,-1.3675,-0.25)); #50321=CARTESIAN_POINT('',(-0.124999917022825,-1.3675,0.)); #50322=CARTESIAN_POINT('Origin',(-0.124999917022825,-1.2175,0.)); #50323=CARTESIAN_POINT('',(-0.124999917022825,-1.2175,0.)); #50324=CARTESIAN_POINT('',(-0.124999917022825,-1.2175,0.)); #50325=CARTESIAN_POINT('',(-0.124999917022825,-1.2175,-0.25)); #50326=CARTESIAN_POINT('',(-0.124999917022825,-1.2175,-0.25)); #50327=CARTESIAN_POINT('',(-0.124999917022825,-1.2175,0.)); #50328=CARTESIAN_POINT('Origin',(0.125,-1.2175,0.)); #50329=CARTESIAN_POINT('',(0.125,-1.2175,0.)); #50330=CARTESIAN_POINT('',(0.125,-1.2175,-0.25)); #50331=CARTESIAN_POINT('Origin',(1.50000004787145,0.,0.)); #50332=CARTESIAN_POINT('',(1.75000004787145,0.,0.)); #50333=CARTESIAN_POINT('',(1.50000004787145,0.,0.)); #50334=CARTESIAN_POINT('',(1.50000004787145,0.,0.)); #50335=CARTESIAN_POINT('',(1.75000004787145,0.,-0.25)); #50336=CARTESIAN_POINT('',(1.75000004787145,0.,0.)); #50337=CARTESIAN_POINT('',(1.50000004787145,0.,-0.25)); #50338=CARTESIAN_POINT('',(1.50000004787145,0.,-0.25)); #50339=CARTESIAN_POINT('',(1.50000004787145,0.,0.)); #50340=CARTESIAN_POINT('Origin',(1.50000004787145,1.0000000319143,0.)); #50341=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,0.)); #50342=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,0.)); #50343=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,-0.25)); #50344=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,-0.25)); #50345=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,0.)); #50346=CARTESIAN_POINT('Origin',(1.75000004787145,1.0000000319143,0.)); #50347=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,0.)); #50348=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,0.)); #50349=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,-0.25)); #50350=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,-0.25)); #50351=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,0.)); #50352=CARTESIAN_POINT('Origin',(1.75000004787145,0.,0.)); #50353=CARTESIAN_POINT('',(1.75000004787145,0.,0.)); #50354=CARTESIAN_POINT('',(1.75000004787145,0.,-0.25)); #50355=CARTESIAN_POINT('Origin',(0.125,-1.6175,0.)); #50356=CARTESIAN_POINT('',(-0.125,-1.6175,0.)); #50357=CARTESIAN_POINT('',(0.125,-1.6175,0.)); #50358=CARTESIAN_POINT('',(0.125,-1.6175,0.)); #50359=CARTESIAN_POINT('',(-0.125,-1.6175,-0.25)); #50360=CARTESIAN_POINT('',(-0.125,-1.6175,0.)); #50361=CARTESIAN_POINT('',(0.125,-1.6175,-0.25)); #50362=CARTESIAN_POINT('',(0.125,-1.6175,-0.25)); #50363=CARTESIAN_POINT('',(0.125,-1.6175,0.)); #50364=CARTESIAN_POINT('Origin',(0.125,-2.6175,0.)); #50365=CARTESIAN_POINT('',(0.125,-2.6175,0.)); #50366=CARTESIAN_POINT('',(0.125,-2.6175,0.)); #50367=CARTESIAN_POINT('',(0.125,-2.6175,-0.25)); #50368=CARTESIAN_POINT('',(0.125,-2.6175,-0.25)); #50369=CARTESIAN_POINT('',(0.125,-2.6175,0.)); #50370=CARTESIAN_POINT('Origin',(-0.125,-2.6175,0.)); #50371=CARTESIAN_POINT('',(-0.125,-2.6175,0.)); #50372=CARTESIAN_POINT('',(-0.125,-2.6175,0.)); #50373=CARTESIAN_POINT('',(-0.125,-2.6175,-0.25)); #50374=CARTESIAN_POINT('',(-0.125,-2.6175,-0.25)); #50375=CARTESIAN_POINT('',(-0.125,-2.6175,0.)); #50376=CARTESIAN_POINT('Origin',(-0.125,-1.6175,0.)); #50377=CARTESIAN_POINT('',(-0.125,-1.6175,0.)); #50378=CARTESIAN_POINT('',(-0.125,-1.6175,-0.25)); #50379=CARTESIAN_POINT('Origin',(2.85,-1.2175,0.)); #50380=CARTESIAN_POINT('',(2.60000008297717,-1.2175,0.)); #50381=CARTESIAN_POINT('',(2.85,-1.2175,0.)); #50382=CARTESIAN_POINT('',(2.85,-1.2175,0.)); #50383=CARTESIAN_POINT('',(2.60000008297717,-1.2175,-0.25)); #50384=CARTESIAN_POINT('',(2.60000008297717,-1.2175,0.)); #50385=CARTESIAN_POINT('',(2.85,-1.2175,-0.25)); #50386=CARTESIAN_POINT('',(2.85,-1.2175,-0.25)); #50387=CARTESIAN_POINT('',(2.85,-1.2175,0.)); #50388=CARTESIAN_POINT('Origin',(2.85,-1.3675,0.)); #50389=CARTESIAN_POINT('',(2.85,-1.3675,0.)); #50390=CARTESIAN_POINT('',(2.85,-1.3675,0.)); #50391=CARTESIAN_POINT('',(2.85,-1.3675,-0.25)); #50392=CARTESIAN_POINT('',(2.85,-1.3675,-0.25)); #50393=CARTESIAN_POINT('',(2.85,-1.3675,0.)); #50394=CARTESIAN_POINT('Origin',(2.60000008297717,-1.3675,0.)); #50395=CARTESIAN_POINT('',(2.60000008297717,-1.3675,0.)); #50396=CARTESIAN_POINT('',(2.60000008297717,-1.3675,0.)); #50397=CARTESIAN_POINT('',(2.60000008297717,-1.3675,-0.25)); #50398=CARTESIAN_POINT('',(2.60000008297717,-1.3675,-0.25)); #50399=CARTESIAN_POINT('',(2.60000008297717,-1.3675,0.)); #50400=CARTESIAN_POINT('Origin',(2.60000008297717,-1.2175,0.)); #50401=CARTESIAN_POINT('',(2.60000008297717,-1.2175,0.)); #50402=CARTESIAN_POINT('',(2.60000008297717,-1.2175,-0.25)); #50403=CARTESIAN_POINT('Origin',(-0.124999917022825,-3.0175,0.)); #50404=CARTESIAN_POINT('',(0.125,-3.0175,0.)); #50405=CARTESIAN_POINT('',(-0.124999917022825,-3.0175,0.)); #50406=CARTESIAN_POINT('',(0.125,-3.0175,0.)); #50407=CARTESIAN_POINT('',(0.125,-3.0175,-0.25)); #50408=CARTESIAN_POINT('',(0.125,-3.0175,0.)); #50409=CARTESIAN_POINT('',(-0.124999917022825,-3.0175,-0.25)); #50410=CARTESIAN_POINT('',(0.125,-3.0175,-0.25)); #50411=CARTESIAN_POINT('',(-0.124999917022825,-3.0175,0.)); #50412=CARTESIAN_POINT('Origin',(-0.124999917022825,-2.8675,0.)); #50413=CARTESIAN_POINT('',(-0.124999917022825,-2.8675,0.)); #50414=CARTESIAN_POINT('',(-0.124999917022825,-3.0175,0.)); #50415=CARTESIAN_POINT('',(-0.124999917022825,-2.8675,-0.25)); #50416=CARTESIAN_POINT('',(-0.124999917022825,-3.0175,-0.25)); #50417=CARTESIAN_POINT('',(-0.124999917022825,-2.8675,0.)); #50418=CARTESIAN_POINT('Origin',(0.125,-2.8675,0.)); #50419=CARTESIAN_POINT('',(0.125,-2.8675,0.)); #50420=CARTESIAN_POINT('',(-0.124999917022825,-2.8675,0.)); #50421=CARTESIAN_POINT('',(0.125,-2.8675,-0.25)); #50422=CARTESIAN_POINT('',(-0.124999917022825,-2.8675,-0.25)); #50423=CARTESIAN_POINT('',(0.125,-2.8675,0.)); #50424=CARTESIAN_POINT('Origin',(0.125,-3.0175,0.)); #50425=CARTESIAN_POINT('',(0.125,-2.8675,0.)); #50426=CARTESIAN_POINT('',(0.125,-2.8675,-0.25)); #50427=CARTESIAN_POINT('Origin',(3.00000000750925,-5.25,0.)); #50428=CARTESIAN_POINT('',(4.00000000750925,-5.25,0.)); #50429=CARTESIAN_POINT('',(3.00000000750925,-5.25,0.)); #50430=CARTESIAN_POINT('',(3.00000000750925,-5.25,0.)); #50431=CARTESIAN_POINT('',(4.00000000750925,-5.25,-0.25)); #50432=CARTESIAN_POINT('',(4.00000000750925,-5.25,0.)); #50433=CARTESIAN_POINT('',(3.00000000750925,-5.25,-0.25)); #50434=CARTESIAN_POINT('',(3.00000000750925,-5.25,-0.25)); #50435=CARTESIAN_POINT('',(3.00000000750925,-5.25,0.)); #50436=CARTESIAN_POINT('Origin',(3.00000000750925,-5.,0.)); #50437=CARTESIAN_POINT('',(3.00000000750925,-5.,0.)); #50438=CARTESIAN_POINT('',(3.00000000750925,-5.,0.)); #50439=CARTESIAN_POINT('',(3.00000000750925,-5.,-0.25)); #50440=CARTESIAN_POINT('',(3.00000000750925,-5.,-0.25)); #50441=CARTESIAN_POINT('',(3.00000000750925,-5.,0.)); #50442=CARTESIAN_POINT('Origin',(4.00000000750925,-5.,0.)); #50443=CARTESIAN_POINT('',(4.00000000750925,-5.,0.)); #50444=CARTESIAN_POINT('',(4.00000000750925,-5.,0.)); #50445=CARTESIAN_POINT('',(4.00000000750925,-5.,-0.25)); #50446=CARTESIAN_POINT('',(4.00000000750925,-5.,-0.25)); #50447=CARTESIAN_POINT('',(4.00000000750925,-5.,0.)); #50448=CARTESIAN_POINT('Origin',(4.00000000750925,-5.25,0.)); #50449=CARTESIAN_POINT('',(4.00000000750925,-5.25,0.)); #50450=CARTESIAN_POINT('',(4.00000000750925,-5.25,-0.25)); #50451=CARTESIAN_POINT('Origin',(0.,3.8,0.)); #50452=CARTESIAN_POINT('',(-0.84375,3.8,-0.25)); #50453=CARTESIAN_POINT('Origin',(0.,3.8,-0.25)); #50454=CARTESIAN_POINT('',(-0.84375,3.8,0.)); #50455=CARTESIAN_POINT('',(-0.84375,3.8,0.)); #50456=CARTESIAN_POINT('Origin',(0.,3.8,0.)); #50457=CARTESIAN_POINT('Origin',(-0.85,-1.6175,0.)); #50458=CARTESIAN_POINT('',(-1.1,-1.6175,0.)); #50459=CARTESIAN_POINT('',(-0.85,-1.6175,0.)); #50460=CARTESIAN_POINT('',(-1.1,-1.6175,0.)); #50461=CARTESIAN_POINT('',(-1.1,-1.6175,-0.25)); #50462=CARTESIAN_POINT('',(-1.1,-1.6175,0.)); #50463=CARTESIAN_POINT('',(-0.85,-1.6175,-0.25)); #50464=CARTESIAN_POINT('',(-1.1,-1.6175,-0.25)); #50465=CARTESIAN_POINT('',(-0.85,-1.6175,0.)); #50466=CARTESIAN_POINT('Origin',(-0.85,-2.6175,0.)); #50467=CARTESIAN_POINT('',(-0.85,-2.6175,0.)); #50468=CARTESIAN_POINT('',(-0.85,-1.6175,0.)); #50469=CARTESIAN_POINT('',(-0.85,-2.6175,-0.25)); #50470=CARTESIAN_POINT('',(-0.85,-1.6175,-0.25)); #50471=CARTESIAN_POINT('',(-0.85,-2.6175,0.)); #50472=CARTESIAN_POINT('Origin',(-1.1,-2.6175,0.)); #50473=CARTESIAN_POINT('',(-1.1,-2.6175,0.)); #50474=CARTESIAN_POINT('',(-0.85,-2.6175,0.)); #50475=CARTESIAN_POINT('',(-1.1,-2.6175,-0.25)); #50476=CARTESIAN_POINT('',(-0.85,-2.6175,-0.25)); #50477=CARTESIAN_POINT('',(-1.1,-2.6175,0.)); #50478=CARTESIAN_POINT('Origin',(-1.1,-1.6175,0.)); #50479=CARTESIAN_POINT('',(-1.1,-2.6175,0.)); #50480=CARTESIAN_POINT('',(-1.1,-2.6175,-0.25)); #50481=CARTESIAN_POINT('Origin',(-2.84999991702283,-3.0175,0.)); #50482=CARTESIAN_POINT('',(-2.6,-3.0175,0.)); #50483=CARTESIAN_POINT('',(-2.84999991702283,-3.0175,0.)); #50484=CARTESIAN_POINT('',(-2.6,-3.0175,0.)); #50485=CARTESIAN_POINT('',(-2.6,-3.0175,-0.25)); #50486=CARTESIAN_POINT('',(-2.6,-3.0175,0.)); #50487=CARTESIAN_POINT('',(-2.84999991702283,-3.0175,-0.25)); #50488=CARTESIAN_POINT('',(-2.6,-3.0175,-0.25)); #50489=CARTESIAN_POINT('',(-2.84999991702283,-3.0175,0.)); #50490=CARTESIAN_POINT('Origin',(-2.84999991702283,-2.8675,0.)); #50491=CARTESIAN_POINT('',(-2.84999991702283,-2.8675,0.)); #50492=CARTESIAN_POINT('',(-2.84999991702283,-3.0175,0.)); #50493=CARTESIAN_POINT('',(-2.84999991702283,-2.8675,-0.25)); #50494=CARTESIAN_POINT('',(-2.84999991702283,-3.0175,-0.25)); #50495=CARTESIAN_POINT('',(-2.84999991702283,-2.8675,0.)); #50496=CARTESIAN_POINT('Origin',(-2.6,-2.8675,0.)); #50497=CARTESIAN_POINT('',(-2.6,-2.8675,0.)); #50498=CARTESIAN_POINT('',(-2.84999991702283,-2.8675,0.)); #50499=CARTESIAN_POINT('',(-2.6,-2.8675,-0.25)); #50500=CARTESIAN_POINT('',(-2.84999991702283,-2.8675,-0.25)); #50501=CARTESIAN_POINT('',(-2.6,-2.8675,0.)); #50502=CARTESIAN_POINT('Origin',(-2.6,-3.0175,0.)); #50503=CARTESIAN_POINT('',(-2.6,-2.8675,0.)); #50504=CARTESIAN_POINT('',(-2.6,-2.8675,-0.25)); #50505=CARTESIAN_POINT('Origin',(0.85,-1.6175,0.)); #50506=CARTESIAN_POINT('',(0.85,-2.6175,0.)); #50507=CARTESIAN_POINT('',(0.85,-1.6175,0.)); #50508=CARTESIAN_POINT('',(0.85,-1.6175,0.)); #50509=CARTESIAN_POINT('',(0.85,-2.6175,-0.25)); #50510=CARTESIAN_POINT('',(0.85,-2.6175,0.)); #50511=CARTESIAN_POINT('',(0.85,-1.6175,-0.25)); #50512=CARTESIAN_POINT('',(0.85,-1.6175,-0.25)); #50513=CARTESIAN_POINT('',(0.85,-1.6175,0.)); #50514=CARTESIAN_POINT('Origin',(1.1,-1.6175,0.)); #50515=CARTESIAN_POINT('',(1.1,-1.6175,0.)); #50516=CARTESIAN_POINT('',(1.1,-1.6175,0.)); #50517=CARTESIAN_POINT('',(1.1,-1.6175,-0.25)); #50518=CARTESIAN_POINT('',(1.1,-1.6175,-0.25)); #50519=CARTESIAN_POINT('',(1.1,-1.6175,0.)); #50520=CARTESIAN_POINT('Origin',(1.1,-2.6175,0.)); #50521=CARTESIAN_POINT('',(1.1,-2.6175,0.)); #50522=CARTESIAN_POINT('',(1.1,-2.6175,0.)); #50523=CARTESIAN_POINT('',(1.1,-2.6175,-0.25)); #50524=CARTESIAN_POINT('',(1.1,-2.6175,-0.25)); #50525=CARTESIAN_POINT('',(1.1,-2.6175,0.)); #50526=CARTESIAN_POINT('Origin',(0.85,-2.6175,0.)); #50527=CARTESIAN_POINT('',(0.85,-2.6175,0.)); #50528=CARTESIAN_POINT('',(0.85,-2.6175,-0.25)); #50529=CARTESIAN_POINT('Origin',(-3.99999999249075,5.25,0.)); #50530=CARTESIAN_POINT('',(-3.99999999249075,5.,0.)); #50531=CARTESIAN_POINT('',(-3.99999999249075,5.25,0.)); #50532=CARTESIAN_POINT('',(-3.99999999249075,5.,0.)); #50533=CARTESIAN_POINT('',(-3.99999999249075,5.,-0.25)); #50534=CARTESIAN_POINT('',(-3.99999999249075,5.,0.)); #50535=CARTESIAN_POINT('',(-3.99999999249075,5.25,-0.25)); #50536=CARTESIAN_POINT('',(-3.99999999249075,5.,-0.25)); #50537=CARTESIAN_POINT('',(-3.99999999249075,5.25,0.)); #50538=CARTESIAN_POINT('Origin',(-2.99999999249075,5.25,0.)); #50539=CARTESIAN_POINT('',(-2.99999999249075,5.25,0.)); #50540=CARTESIAN_POINT('',(-3.99999999249075,5.25,0.)); #50541=CARTESIAN_POINT('',(-2.99999999249075,5.25,-0.25)); #50542=CARTESIAN_POINT('',(-3.99999999249075,5.25,-0.25)); #50543=CARTESIAN_POINT('',(-2.99999999249075,5.25,0.)); #50544=CARTESIAN_POINT('Origin',(-2.99999999249075,5.,0.)); #50545=CARTESIAN_POINT('',(-2.99999999249075,5.,0.)); #50546=CARTESIAN_POINT('',(-2.99999999249075,5.25,0.)); #50547=CARTESIAN_POINT('',(-2.99999999249075,5.,-0.25)); #50548=CARTESIAN_POINT('',(-2.99999999249075,5.25,-0.25)); #50549=CARTESIAN_POINT('',(-2.99999999249075,5.,0.)); #50550=CARTESIAN_POINT('Origin',(-3.99999999249075,5.,0.)); #50551=CARTESIAN_POINT('',(-2.99999999249075,5.,0.)); #50552=CARTESIAN_POINT('',(-2.99999999249075,5.,-0.25)); #50553=CARTESIAN_POINT('Origin',(-2.6,-1.3675,0.)); #50554=CARTESIAN_POINT('',(-2.6,-1.2175,0.)); #50555=CARTESIAN_POINT('',(-2.6,-1.3675,0.)); #50556=CARTESIAN_POINT('',(-2.6,-1.3675,0.)); #50557=CARTESIAN_POINT('',(-2.6,-1.2175,-0.25)); #50558=CARTESIAN_POINT('',(-2.6,-1.2175,0.)); #50559=CARTESIAN_POINT('',(-2.6,-1.3675,-0.25)); #50560=CARTESIAN_POINT('',(-2.6,-1.3675,-0.25)); #50561=CARTESIAN_POINT('',(-2.6,-1.3675,0.)); #50562=CARTESIAN_POINT('Origin',(-2.84999991702283,-1.3675,0.)); #50563=CARTESIAN_POINT('',(-2.84999991702283,-1.3675,0.)); #50564=CARTESIAN_POINT('',(-2.84999991702283,-1.3675,0.)); #50565=CARTESIAN_POINT('',(-2.84999991702283,-1.3675,-0.25)); #50566=CARTESIAN_POINT('',(-2.84999991702283,-1.3675,-0.25)); #50567=CARTESIAN_POINT('',(-2.84999991702283,-1.3675,0.)); #50568=CARTESIAN_POINT('Origin',(-2.84999991702283,-1.2175,0.)); #50569=CARTESIAN_POINT('',(-2.84999991702283,-1.2175,0.)); #50570=CARTESIAN_POINT('',(-2.84999991702283,-1.2175,0.)); #50571=CARTESIAN_POINT('',(-2.84999991702283,-1.2175,-0.25)); #50572=CARTESIAN_POINT('',(-2.84999991702283,-1.2175,-0.25)); #50573=CARTESIAN_POINT('',(-2.84999991702283,-1.2175,0.)); #50574=CARTESIAN_POINT('Origin',(-2.6,-1.2175,0.)); #50575=CARTESIAN_POINT('',(-2.6,-1.2175,0.)); #50576=CARTESIAN_POINT('',(-2.6,-1.2175,-0.25)); #50577=CARTESIAN_POINT('Origin',(-0.1875,5.475,0.)); #50578=CARTESIAN_POINT('',(-0.262499999999999,5.475,-0.25)); #50579=CARTESIAN_POINT('Origin',(-0.1875,5.475,-0.25)); #50580=CARTESIAN_POINT('',(-0.2625,5.475,0.)); #50581=CARTESIAN_POINT('',(-0.2625,5.475,0.)); #50582=CARTESIAN_POINT('Origin',(-0.1875,5.475,0.)); #50583=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.)); #50584=CARTESIAN_POINT('',(4.725,-0.3175,-0.25)); #50585=CARTESIAN_POINT('Origin',(4.85,-0.3175,-0.25)); #50586=CARTESIAN_POINT('',(4.725,-0.3175,0.)); #50587=CARTESIAN_POINT('',(4.725,-0.3175,0.)); #50588=CARTESIAN_POINT('Origin',(4.85,-0.3175,0.)); #50589=CARTESIAN_POINT('Origin',(-3.99999999249075,-5.25,0.)); #50590=CARTESIAN_POINT('',(-2.99999999249075,-5.25,0.)); #50591=CARTESIAN_POINT('',(-3.99999999249075,-5.25,0.)); #50592=CARTESIAN_POINT('',(-3.99999999249075,-5.25,0.)); #50593=CARTESIAN_POINT('',(-2.99999999249075,-5.25,-0.25)); #50594=CARTESIAN_POINT('',(-2.99999999249075,-5.25,0.)); #50595=CARTESIAN_POINT('',(-3.99999999249075,-5.25,-0.25)); #50596=CARTESIAN_POINT('',(-3.99999999249075,-5.25,-0.25)); #50597=CARTESIAN_POINT('',(-3.99999999249075,-5.25,0.)); #50598=CARTESIAN_POINT('Origin',(-3.99999999249075,-5.,0.)); #50599=CARTESIAN_POINT('',(-3.99999999249075,-5.,0.)); #50600=CARTESIAN_POINT('',(-3.99999999249075,-5.,0.)); #50601=CARTESIAN_POINT('',(-3.99999999249075,-5.,-0.25)); #50602=CARTESIAN_POINT('',(-3.99999999249075,-5.,-0.25)); #50603=CARTESIAN_POINT('',(-3.99999999249075,-5.,0.)); #50604=CARTESIAN_POINT('Origin',(-2.99999999249075,-5.,0.)); #50605=CARTESIAN_POINT('',(-2.99999999249075,-5.,0.)); #50606=CARTESIAN_POINT('',(-2.99999999249075,-5.,0.)); #50607=CARTESIAN_POINT('',(-2.99999999249075,-5.,-0.25)); #50608=CARTESIAN_POINT('',(-2.99999999249075,-5.,-0.25)); #50609=CARTESIAN_POINT('',(-2.99999999249075,-5.,0.)); #50610=CARTESIAN_POINT('Origin',(-2.99999999249075,-5.25,0.)); #50611=CARTESIAN_POINT('',(-2.99999999249075,-5.25,0.)); #50612=CARTESIAN_POINT('',(-2.99999999249075,-5.25,-0.25)); #50613=CARTESIAN_POINT('Origin',(0.5,2.,0.)); #50614=CARTESIAN_POINT('',(0.390625,2.,-0.25)); #50615=CARTESIAN_POINT('Origin',(0.5,2.,-0.25)); #50616=CARTESIAN_POINT('',(0.390625,2.,0.)); #50617=CARTESIAN_POINT('',(0.390625,2.,0.)); #50618=CARTESIAN_POINT('Origin',(0.5,2.,0.)); #50619=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.)); #50620=CARTESIAN_POINT('',(4.725,-3.9175,-0.25)); #50621=CARTESIAN_POINT('Origin',(4.85,-3.9175,-0.25)); #50622=CARTESIAN_POINT('',(4.725,-3.9175,0.)); #50623=CARTESIAN_POINT('',(4.725,-3.9175,0.)); #50624=CARTESIAN_POINT('Origin',(4.85,-3.9175,0.)); #50625=CARTESIAN_POINT('Origin',(-4.85,-0.317500000000001,0.)); #50626=CARTESIAN_POINT('',(-4.975,-0.317500000000001,-0.25)); #50627=CARTESIAN_POINT('Origin',(-4.85,-0.317500000000001,-0.25)); #50628=CARTESIAN_POINT('',(-4.975,-0.317500000000001,0.)); #50629=CARTESIAN_POINT('',(-4.975,-0.317500000000001,0.)); #50630=CARTESIAN_POINT('Origin',(-4.85,-0.317500000000001,0.)); #50631=CARTESIAN_POINT('Origin',(2.85,-2.8675,0.)); #50632=CARTESIAN_POINT('',(2.60000008297717,-2.8675,0.)); #50633=CARTESIAN_POINT('',(2.85,-2.8675,0.)); #50634=CARTESIAN_POINT('',(2.60000008297717,-2.8675,0.)); #50635=CARTESIAN_POINT('',(2.60000008297717,-2.8675,-0.25)); #50636=CARTESIAN_POINT('',(2.60000008297717,-2.8675,0.)); #50637=CARTESIAN_POINT('',(2.85,-2.8675,-0.25)); #50638=CARTESIAN_POINT('',(2.60000008297717,-2.8675,-0.25)); #50639=CARTESIAN_POINT('',(2.85,-2.8675,0.)); #50640=CARTESIAN_POINT('Origin',(2.85,-3.0175,0.)); #50641=CARTESIAN_POINT('',(2.85,-3.0175,0.)); #50642=CARTESIAN_POINT('',(2.85,-2.8675,0.)); #50643=CARTESIAN_POINT('',(2.85,-3.0175,-0.25)); #50644=CARTESIAN_POINT('',(2.85,-2.8675,-0.25)); #50645=CARTESIAN_POINT('',(2.85,-3.0175,0.)); #50646=CARTESIAN_POINT('Origin',(2.60000008297717,-3.0175,0.)); #50647=CARTESIAN_POINT('',(2.60000008297717,-3.0175,0.)); #50648=CARTESIAN_POINT('',(2.85,-3.0175,0.)); #50649=CARTESIAN_POINT('',(2.60000008297717,-3.0175,-0.25)); #50650=CARTESIAN_POINT('',(2.85,-3.0175,-0.25)); #50651=CARTESIAN_POINT('',(2.60000008297717,-3.0175,0.)); #50652=CARTESIAN_POINT('Origin',(2.60000008297717,-2.8675,0.)); #50653=CARTESIAN_POINT('',(2.60000008297717,-3.0175,0.)); #50654=CARTESIAN_POINT('',(2.60000008297717,-3.0175,-0.25)); #50655=CARTESIAN_POINT('Origin',(1.09375,3.8,0.)); #50656=CARTESIAN_POINT('',(0.984375,3.8,-0.25)); #50657=CARTESIAN_POINT('Origin',(1.09375,3.8,-0.25)); #50658=CARTESIAN_POINT('',(0.984375,3.8,0.)); #50659=CARTESIAN_POINT('',(0.984375,3.8,0.)); #50660=CARTESIAN_POINT('Origin',(1.09375,3.8,0.)); #50661=CARTESIAN_POINT('Origin',(-1.09375,3.8,0.)); #50662=CARTESIAN_POINT('',(-1.203125,3.8,-0.25)); #50663=CARTESIAN_POINT('Origin',(-1.09375,3.8,-0.25)); #50664=CARTESIAN_POINT('',(-1.203125,3.8,0.)); #50665=CARTESIAN_POINT('',(-1.203125,3.8,0.)); #50666=CARTESIAN_POINT('Origin',(-1.09375,3.8,0.)); #50667=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.)); #50668=CARTESIAN_POINT('',(-4.975,-3.9175,-0.25)); #50669=CARTESIAN_POINT('Origin',(-4.85,-3.9175,-0.25)); #50670=CARTESIAN_POINT('',(-4.975,-3.9175,0.)); #50671=CARTESIAN_POINT('',(-4.975,-3.9175,0.)); #50672=CARTESIAN_POINT('Origin',(-4.85,-3.9175,0.)); #50673=CARTESIAN_POINT('Origin',(-1.50000004787145,0.,0.)); #50674=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,0.)); #50675=CARTESIAN_POINT('',(-1.50000004787145,0.,0.)); #50676=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,0.)); #50677=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,-0.25)); #50678=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,0.)); #50679=CARTESIAN_POINT('',(-1.50000004787145,0.,-0.25)); #50680=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,-0.25)); #50681=CARTESIAN_POINT('',(-1.50000004787145,0.,0.)); #50682=CARTESIAN_POINT('Origin',(-1.75000004787145,0.,0.)); #50683=CARTESIAN_POINT('',(-1.75000004787145,0.,0.)); #50684=CARTESIAN_POINT('',(-1.50000004787145,0.,0.)); #50685=CARTESIAN_POINT('',(-1.75000004787145,0.,-0.25)); #50686=CARTESIAN_POINT('',(-1.50000004787145,0.,-0.25)); #50687=CARTESIAN_POINT('',(-1.75000004787145,0.,0.)); #50688=CARTESIAN_POINT('Origin',(-1.75000004787145,1.0000000319143,0.)); #50689=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,0.)); #50690=CARTESIAN_POINT('',(-1.75000004787145,0.,0.)); #50691=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,-0.25)); #50692=CARTESIAN_POINT('',(-1.75000004787145,0.,-0.25)); #50693=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,0.)); #50694=CARTESIAN_POINT('Origin',(-1.50000004787145,1.0000000319143,0.)); #50695=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,0.)); #50696=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,-0.25)); #50697=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.68999992627797, 0.)); #50698=CARTESIAN_POINT('',(-0.756057936596645,1.22045073070007,0.)); #50699=CARTESIAN_POINT('',(0.756057936596645,1.22045073070007,0.)); #50700=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.68999992627797, 0.)); #50701=CARTESIAN_POINT('',(-0.756057936596645,1.22045073070007,-0.25)); #50702=CARTESIAN_POINT('',(-0.756057936596645,1.22045073070007,0.)); #50703=CARTESIAN_POINT('',(0.756057936596645,1.22045073070007,-0.25)); #50704=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.68999992627797, -0.25)); #50705=CARTESIAN_POINT('',(0.756057936596645,1.22045073070007,0.)); #50706=CARTESIAN_POINT('Origin',(0.841008263840194,1.16769239580203,0.)); #50707=CARTESIAN_POINT('',(0.88572962339019,1.25713511490202,0.)); #50708=CARTESIAN_POINT('Origin',(0.841008263840194,1.16769239580203,0.)); #50709=CARTESIAN_POINT('',(0.88572962339019,1.25713511490202,-0.25)); #50710=CARTESIAN_POINT('Origin',(0.841008263840194,1.16769239580203,-0.25)); #50711=CARTESIAN_POINT('',(0.88572962339019,1.25713511490202,0.)); #50712=CARTESIAN_POINT('Origin',(0.906923860431563,1.24653799638133,0.)); #50713=CARTESIAN_POINT('',(0.906923860431563,1.24653799638133,0.)); #50714=CARTESIAN_POINT('',(0.88572962339019,1.25713511490202,0.)); #50715=CARTESIAN_POINT('',(0.906923860431563,1.24653799638133,-0.25)); #50716=CARTESIAN_POINT('',(0.88572962339019,1.25713511490202,-0.25)); #50717=CARTESIAN_POINT('',(0.906923860431563,1.24653799638133,0.)); #50718=CARTESIAN_POINT('Origin',(0.862202500881566,1.15709527728134,0.)); #50719=CARTESIAN_POINT('',(0.947266072806157,1.10451972467911,0.)); #50720=CARTESIAN_POINT('Origin',(0.862202500881566,1.15709527728134,0.)); #50721=CARTESIAN_POINT('',(0.947266072806157,1.10451972467911,-0.25)); #50722=CARTESIAN_POINT('Origin',(0.862202500881566,1.15709527728134,-0.25)); #50723=CARTESIAN_POINT('',(0.947266072806157,1.10451972467911,0.)); #50724=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.68999992627797, 0.)); #50725=CARTESIAN_POINT('',(-0.947266072806158,1.10451972467911,0.)); #50726=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.68999992627797, 0.)); #50727=CARTESIAN_POINT('',(-0.947266072806158,1.10451972467911,-0.25)); #50728=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.68999992627797, -0.25)); #50729=CARTESIAN_POINT('',(-0.947266072806158,1.10451972467911,0.)); #50730=CARTESIAN_POINT('Origin',(-0.862202500881566,1.15709527728134,0.)); #50731=CARTESIAN_POINT('',(-0.906923860431562,1.24653799638133,0.)); #50732=CARTESIAN_POINT('Origin',(-0.862202500881566,1.15709527728134,0.)); #50733=CARTESIAN_POINT('',(-0.906923860431562,1.24653799638133,-0.25)); #50734=CARTESIAN_POINT('Origin',(-0.862202500881566,1.15709527728134,-0.25)); #50735=CARTESIAN_POINT('',(-0.906923860431562,1.24653799638133,0.)); #50736=CARTESIAN_POINT('Origin',(-0.88572962339019,1.25713511490202,0.)); #50737=CARTESIAN_POINT('',(-0.88572962339019,1.25713511490202,0.)); #50738=CARTESIAN_POINT('',(-0.88572962339019,1.25713511490202,0.)); #50739=CARTESIAN_POINT('',(-0.88572962339019,1.25713511490202,-0.25)); #50740=CARTESIAN_POINT('',(-0.88572962339019,1.25713511490202,-0.25)); #50741=CARTESIAN_POINT('',(-0.88572962339019,1.25713511490202,0.)); #50742=CARTESIAN_POINT('Origin',(-0.841008263840194,1.16769239580203,0.)); #50743=CARTESIAN_POINT('Origin',(-0.841008263840194,1.16769239580203,0.)); #50744=CARTESIAN_POINT('Origin',(-0.841008263840194,1.16769239580203,-0.25)); #50745=CARTESIAN_POINT('Origin',(-1.1,-3.0175,0.)); #50746=CARTESIAN_POINT('',(-0.850000082977175,-3.0175,0.)); #50747=CARTESIAN_POINT('',(-1.1,-3.0175,0.)); #50748=CARTESIAN_POINT('',(-1.1,-3.0175,0.)); #50749=CARTESIAN_POINT('',(-0.850000082977175,-3.0175,-0.25)); #50750=CARTESIAN_POINT('',(-0.850000082977175,-3.0175,0.)); #50751=CARTESIAN_POINT('',(-1.1,-3.0175,-0.25)); #50752=CARTESIAN_POINT('',(-1.1,-3.0175,-0.25)); #50753=CARTESIAN_POINT('',(-1.1,-3.0175,0.)); #50754=CARTESIAN_POINT('Origin',(-1.1,-2.8675,0.)); #50755=CARTESIAN_POINT('',(-1.1,-2.8675,0.)); #50756=CARTESIAN_POINT('',(-1.1,-2.8675,0.)); #50757=CARTESIAN_POINT('',(-1.1,-2.8675,-0.25)); #50758=CARTESIAN_POINT('',(-1.1,-2.8675,-0.25)); #50759=CARTESIAN_POINT('',(-1.1,-2.8675,0.)); #50760=CARTESIAN_POINT('Origin',(-0.850000082977175,-2.8675,0.)); #50761=CARTESIAN_POINT('',(-0.850000082977175,-2.8675,0.)); #50762=CARTESIAN_POINT('',(-0.850000082977175,-2.8675,0.)); #50763=CARTESIAN_POINT('',(-0.850000082977175,-2.8675,-0.25)); #50764=CARTESIAN_POINT('',(-0.850000082977175,-2.8675,-0.25)); #50765=CARTESIAN_POINT('',(-0.850000082977175,-2.8675,0.)); #50766=CARTESIAN_POINT('Origin',(-0.850000082977175,-3.0175,0.)); #50767=CARTESIAN_POINT('',(-0.850000082977175,-3.0175,0.)); #50768=CARTESIAN_POINT('',(-0.850000082977175,-3.0175,-0.25)); #50769=CARTESIAN_POINT('Origin',(-0.5,2.,0.)); #50770=CARTESIAN_POINT('',(-0.609375,2.,-0.25)); #50771=CARTESIAN_POINT('Origin',(-0.5,2.,-0.25)); #50772=CARTESIAN_POINT('',(-0.609375,2.,0.)); #50773=CARTESIAN_POINT('',(-0.609375,2.,0.)); #50774=CARTESIAN_POINT('Origin',(-0.5,2.,0.)); #50775=CARTESIAN_POINT('Origin',(-1.1,-1.3675,0.)); #50776=CARTESIAN_POINT('',(-0.850000082977175,-1.3675,0.)); #50777=CARTESIAN_POINT('',(-1.1,-1.3675,0.)); #50778=CARTESIAN_POINT('',(-0.850000082977175,-1.3675,0.)); #50779=CARTESIAN_POINT('',(-0.850000082977175,-1.3675,-0.25)); #50780=CARTESIAN_POINT('',(-0.850000082977175,-1.3675,0.)); #50781=CARTESIAN_POINT('',(-1.1,-1.3675,-0.25)); #50782=CARTESIAN_POINT('',(-0.850000082977175,-1.3675,-0.25)); #50783=CARTESIAN_POINT('',(-1.1,-1.3675,0.)); #50784=CARTESIAN_POINT('Origin',(-1.1,-1.2175,0.)); #50785=CARTESIAN_POINT('',(-1.1,-1.2175,0.)); #50786=CARTESIAN_POINT('',(-1.1,-1.3675,0.)); #50787=CARTESIAN_POINT('',(-1.1,-1.2175,-0.25)); #50788=CARTESIAN_POINT('',(-1.1,-1.3675,-0.25)); #50789=CARTESIAN_POINT('',(-1.1,-1.2175,0.)); #50790=CARTESIAN_POINT('Origin',(-0.850000082977175,-1.2175,0.)); #50791=CARTESIAN_POINT('',(-0.850000082977175,-1.2175,0.)); #50792=CARTESIAN_POINT('',(-1.1,-1.2175,0.)); #50793=CARTESIAN_POINT('',(-0.850000082977175,-1.2175,-0.25)); #50794=CARTESIAN_POINT('',(-1.1,-1.2175,-0.25)); #50795=CARTESIAN_POINT('',(-0.850000082977175,-1.2175,0.)); #50796=CARTESIAN_POINT('Origin',(-0.850000082977175,-1.3675,0.)); #50797=CARTESIAN_POINT('',(-0.850000082977175,-1.2175,0.)); #50798=CARTESIAN_POINT('',(-0.850000082977175,-1.2175,-0.25)); #50799=CARTESIAN_POINT('Origin',(1.1,-3.0175,0.)); #50800=CARTESIAN_POINT('',(1.1,-2.8675,0.)); #50801=CARTESIAN_POINT('',(1.1,-3.0175,0.)); #50802=CARTESIAN_POINT('',(1.1,-2.8675,0.)); #50803=CARTESIAN_POINT('',(1.1,-2.8675,-0.25)); #50804=CARTESIAN_POINT('',(1.1,-2.8675,0.)); #50805=CARTESIAN_POINT('',(1.1,-3.0175,-0.25)); #50806=CARTESIAN_POINT('',(1.1,-2.8675,-0.25)); #50807=CARTESIAN_POINT('',(1.1,-3.0175,0.)); #50808=CARTESIAN_POINT('Origin',(0.850000082977175,-3.0175,0.)); #50809=CARTESIAN_POINT('',(0.850000082977175,-3.0175,0.)); #50810=CARTESIAN_POINT('',(1.1,-3.0175,0.)); #50811=CARTESIAN_POINT('',(0.850000082977175,-3.0175,-0.25)); #50812=CARTESIAN_POINT('',(1.1,-3.0175,-0.25)); #50813=CARTESIAN_POINT('',(0.850000082977175,-3.0175,0.)); #50814=CARTESIAN_POINT('Origin',(0.850000082977175,-2.8675,0.)); #50815=CARTESIAN_POINT('',(0.850000082977175,-2.8675,0.)); #50816=CARTESIAN_POINT('',(0.850000082977175,-3.0175,0.)); #50817=CARTESIAN_POINT('',(0.850000082977175,-2.8675,-0.25)); #50818=CARTESIAN_POINT('',(0.850000082977175,-3.0175,-0.25)); #50819=CARTESIAN_POINT('',(0.850000082977175,-2.8675,0.)); #50820=CARTESIAN_POINT('Origin',(1.1,-2.8675,0.)); #50821=CARTESIAN_POINT('',(0.850000082977175,-2.8675,0.)); #50822=CARTESIAN_POINT('',(0.850000082977175,-2.8675,-0.25)); #50823=CARTESIAN_POINT('Origin',(2.6,-2.6175,0.)); #50824=CARTESIAN_POINT('',(2.85,-2.6175,0.)); #50825=CARTESIAN_POINT('',(2.6,-2.6175,0.)); #50826=CARTESIAN_POINT('',(2.6,-2.6175,0.)); #50827=CARTESIAN_POINT('',(2.85,-2.6175,-0.25)); #50828=CARTESIAN_POINT('',(2.85,-2.6175,0.)); #50829=CARTESIAN_POINT('',(2.6,-2.6175,-0.25)); #50830=CARTESIAN_POINT('',(2.6,-2.6175,-0.25)); #50831=CARTESIAN_POINT('',(2.6,-2.6175,0.)); #50832=CARTESIAN_POINT('Origin',(2.6,-1.6175,0.)); #50833=CARTESIAN_POINT('',(2.6,-1.6175,0.)); #50834=CARTESIAN_POINT('',(2.6,-1.6175,0.)); #50835=CARTESIAN_POINT('',(2.6,-1.6175,-0.25)); #50836=CARTESIAN_POINT('',(2.6,-1.6175,-0.25)); #50837=CARTESIAN_POINT('',(2.6,-1.6175,0.)); #50838=CARTESIAN_POINT('Origin',(2.85,-1.6175,0.)); #50839=CARTESIAN_POINT('',(2.85,-1.6175,0.)); #50840=CARTESIAN_POINT('',(2.85,-1.6175,0.)); #50841=CARTESIAN_POINT('',(2.85,-1.6175,-0.25)); #50842=CARTESIAN_POINT('',(2.85,-1.6175,-0.25)); #50843=CARTESIAN_POINT('',(2.85,-1.6175,0.)); #50844=CARTESIAN_POINT('Origin',(2.85,-2.6175,0.)); #50845=CARTESIAN_POINT('',(2.85,-2.6175,0.)); #50846=CARTESIAN_POINT('',(2.85,-2.6175,-0.25)); #50847=CARTESIAN_POINT('Origin',(2.25000004787145,0.500000015957149,0.)); #50848=CARTESIAN_POINT('',(2.13000004787145,0.500000015957149,-0.25)); #50849=CARTESIAN_POINT('Origin',(2.25000004787145,0.500000015957149,-0.25)); #50850=CARTESIAN_POINT('',(2.13000004787145,0.500000015957149,0.)); #50851=CARTESIAN_POINT('',(2.13000004787145,0.500000015957149,0.)); #50852=CARTESIAN_POINT('Origin',(2.25000004787145,0.500000015957149,0.)); #50853=CARTESIAN_POINT('Origin',(-3.95,-0.985,0.)); #50854=CARTESIAN_POINT('',(-4.35,-0.985,0.)); #50855=CARTESIAN_POINT('',(-3.95,-0.985,0.)); #50856=CARTESIAN_POINT('',(-4.35,-0.985,0.)); #50857=CARTESIAN_POINT('',(-4.35,-0.985,-0.25)); #50858=CARTESIAN_POINT('',(-4.35,-0.985,0.)); #50859=CARTESIAN_POINT('',(-3.95,-0.985,-0.25)); #50860=CARTESIAN_POINT('',(-4.35,-0.985,-0.25)); #50861=CARTESIAN_POINT('',(-3.95,-0.985,0.)); #50862=CARTESIAN_POINT('Origin',(-3.95,-3.25,0.)); #50863=CARTESIAN_POINT('',(-3.95,-3.25,0.)); #50864=CARTESIAN_POINT('',(-3.95,-0.985,0.)); #50865=CARTESIAN_POINT('',(-3.95,-3.25,-0.25)); #50866=CARTESIAN_POINT('',(-3.95,-0.985,-0.25)); #50867=CARTESIAN_POINT('',(-3.95,-3.25,0.)); #50868=CARTESIAN_POINT('Origin',(-4.35,-3.25,0.)); #50869=CARTESIAN_POINT('',(-4.35,-3.25,0.)); #50870=CARTESIAN_POINT('',(-3.95,-3.25,0.)); #50871=CARTESIAN_POINT('',(-4.35,-3.25,-0.25)); #50872=CARTESIAN_POINT('',(-3.95,-3.25,-0.25)); #50873=CARTESIAN_POINT('',(-4.35,-3.25,0.)); #50874=CARTESIAN_POINT('Origin',(-4.35,-3.6175,0.)); #50875=CARTESIAN_POINT('',(-4.35,-3.6175,0.)); #50876=CARTESIAN_POINT('',(-4.35,-3.25,0.)); #50877=CARTESIAN_POINT('',(-4.35,-3.6175,-0.25)); #50878=CARTESIAN_POINT('',(-4.35,-3.25,-0.25)); #50879=CARTESIAN_POINT('',(-4.35,-3.6175,0.)); #50880=CARTESIAN_POINT('Origin',(-5.35,-3.6175,0.)); #50881=CARTESIAN_POINT('',(-5.35,-3.6175,0.)); #50882=CARTESIAN_POINT('',(-4.35,-3.6175,0.)); #50883=CARTESIAN_POINT('',(-5.35,-3.6175,-0.25)); #50884=CARTESIAN_POINT('',(-4.35,-3.6175,-0.25)); #50885=CARTESIAN_POINT('',(-5.35,-3.6175,0.)); #50886=CARTESIAN_POINT('Origin',(-5.35,-3.25,0.)); #50887=CARTESIAN_POINT('',(-5.35,-3.25,0.)); #50888=CARTESIAN_POINT('',(-5.35,-3.25,0.)); #50889=CARTESIAN_POINT('',(-5.35,-3.25,-0.25)); #50890=CARTESIAN_POINT('',(-5.35,-3.25,-0.25)); #50891=CARTESIAN_POINT('',(-5.35,-3.25,0.)); #50892=CARTESIAN_POINT('Origin',(-5.75,-3.25,0.)); #50893=CARTESIAN_POINT('',(-5.75,-3.25,0.)); #50894=CARTESIAN_POINT('',(-5.35,-3.25,0.)); #50895=CARTESIAN_POINT('',(-5.75,-3.25,-0.25)); #50896=CARTESIAN_POINT('',(-5.35,-3.25,-0.25)); #50897=CARTESIAN_POINT('',(-5.75,-3.25,0.)); #50898=CARTESIAN_POINT('Origin',(-5.75,-4.75,0.)); #50899=CARTESIAN_POINT('',(-5.75,-4.75,0.)); #50900=CARTESIAN_POINT('',(-5.75,-4.75,0.)); #50901=CARTESIAN_POINT('',(-5.75,-4.75,-0.25)); #50902=CARTESIAN_POINT('',(-5.75,-4.75,-0.25)); #50903=CARTESIAN_POINT('',(-5.75,-4.75,0.)); #50904=CARTESIAN_POINT('Origin',(-4.75,-4.75,0.)); #50905=CARTESIAN_POINT('',(-4.75,-5.75,0.)); #50906=CARTESIAN_POINT('Origin',(-4.75,-4.75,0.)); #50907=CARTESIAN_POINT('',(-4.75,-5.75,-0.25)); #50908=CARTESIAN_POINT('Origin',(-4.75,-4.75,-0.25)); #50909=CARTESIAN_POINT('',(-4.75,-5.75,0.)); #50910=CARTESIAN_POINT('Origin',(4.75,-5.75,0.)); #50911=CARTESIAN_POINT('',(4.75,-5.75,0.)); #50912=CARTESIAN_POINT('',(4.75,-5.75,0.)); #50913=CARTESIAN_POINT('',(4.75,-5.75,-0.25)); #50914=CARTESIAN_POINT('',(4.75,-5.75,-0.25)); #50915=CARTESIAN_POINT('',(4.75,-5.75,0.)); #50916=CARTESIAN_POINT('Origin',(4.75,-4.75,0.)); #50917=CARTESIAN_POINT('',(5.75,-4.75,0.)); #50918=CARTESIAN_POINT('Origin',(4.75,-4.75,0.)); #50919=CARTESIAN_POINT('',(5.75,-4.75,-0.25)); #50920=CARTESIAN_POINT('Origin',(4.75,-4.75,-0.25)); #50921=CARTESIAN_POINT('',(5.75,-4.75,0.)); #50922=CARTESIAN_POINT('Origin',(5.75,-3.25,0.)); #50923=CARTESIAN_POINT('',(5.75,-3.25,0.)); #50924=CARTESIAN_POINT('',(5.75,-3.25,0.)); #50925=CARTESIAN_POINT('',(5.75,-3.25,-0.25)); #50926=CARTESIAN_POINT('',(5.75,-3.25,-0.25)); #50927=CARTESIAN_POINT('',(5.75,-3.25,0.)); #50928=CARTESIAN_POINT('Origin',(5.35,-3.25,0.)); #50929=CARTESIAN_POINT('',(5.35,-3.25,0.)); #50930=CARTESIAN_POINT('',(5.35,-3.25,0.)); #50931=CARTESIAN_POINT('',(5.35,-3.25,-0.25)); #50932=CARTESIAN_POINT('',(5.35,-3.25,-0.25)); #50933=CARTESIAN_POINT('',(5.35,-3.25,0.)); #50934=CARTESIAN_POINT('Origin',(5.35,-3.6175,0.)); #50935=CARTESIAN_POINT('',(5.35,-3.6175,0.)); #50936=CARTESIAN_POINT('',(5.35,-3.25,0.)); #50937=CARTESIAN_POINT('',(5.35,-3.6175,-0.25)); #50938=CARTESIAN_POINT('',(5.35,-3.25,-0.25)); #50939=CARTESIAN_POINT('',(5.35,-3.6175,0.)); #50940=CARTESIAN_POINT('Origin',(4.35,-3.6175,0.)); #50941=CARTESIAN_POINT('',(4.35,-3.6175,0.)); #50942=CARTESIAN_POINT('',(4.35,-3.6175,0.)); #50943=CARTESIAN_POINT('',(4.35,-3.6175,-0.25)); #50944=CARTESIAN_POINT('',(4.35,-3.6175,-0.25)); #50945=CARTESIAN_POINT('',(4.35,-3.6175,0.)); #50946=CARTESIAN_POINT('Origin',(4.35,-3.25,0.)); #50947=CARTESIAN_POINT('',(4.35,-3.25,0.)); #50948=CARTESIAN_POINT('',(4.35,-3.25,0.)); #50949=CARTESIAN_POINT('',(4.35,-3.25,-0.25)); #50950=CARTESIAN_POINT('',(4.35,-3.25,-0.25)); #50951=CARTESIAN_POINT('',(4.35,-3.25,0.)); #50952=CARTESIAN_POINT('Origin',(3.95,-3.25,0.)); #50953=CARTESIAN_POINT('',(3.95,-3.25,0.)); #50954=CARTESIAN_POINT('',(3.95,-3.25,0.)); #50955=CARTESIAN_POINT('',(3.95,-3.25,-0.25)); #50956=CARTESIAN_POINT('',(3.95,-3.25,-0.25)); #50957=CARTESIAN_POINT('',(3.95,-3.25,0.)); #50958=CARTESIAN_POINT('Origin',(3.95,-0.985,0.)); #50959=CARTESIAN_POINT('',(3.95,-0.985,0.)); #50960=CARTESIAN_POINT('',(3.95,-0.985,0.)); #50961=CARTESIAN_POINT('',(3.95,-0.985,-0.25)); #50962=CARTESIAN_POINT('',(3.95,-0.985,-0.25)); #50963=CARTESIAN_POINT('',(3.95,-0.985,0.)); #50964=CARTESIAN_POINT('Origin',(4.35,-0.985,0.)); #50965=CARTESIAN_POINT('',(4.35,-0.985,0.)); #50966=CARTESIAN_POINT('',(4.35,-0.985,0.)); #50967=CARTESIAN_POINT('',(4.35,-0.985,-0.25)); #50968=CARTESIAN_POINT('',(4.35,-0.985,-0.25)); #50969=CARTESIAN_POINT('',(4.35,-0.985,0.)); #50970=CARTESIAN_POINT('Origin',(4.35,-0.6175,0.)); #50971=CARTESIAN_POINT('',(4.35,-0.6175,0.)); #50972=CARTESIAN_POINT('',(4.35,-0.6175,0.)); #50973=CARTESIAN_POINT('',(4.35,-0.6175,-0.25)); #50974=CARTESIAN_POINT('',(4.35,-0.6175,-0.25)); #50975=CARTESIAN_POINT('',(4.35,-0.6175,0.)); #50976=CARTESIAN_POINT('Origin',(5.35,-0.6175,0.)); #50977=CARTESIAN_POINT('',(5.35,-0.6175,0.)); #50978=CARTESIAN_POINT('',(4.35,-0.6175,0.)); #50979=CARTESIAN_POINT('',(5.35,-0.6175,-0.25)); #50980=CARTESIAN_POINT('',(4.35,-0.6175,-0.25)); #50981=CARTESIAN_POINT('',(5.35,-0.6175,0.)); #50982=CARTESIAN_POINT('Origin',(5.35,-0.985,0.)); #50983=CARTESIAN_POINT('',(5.35,-0.985,0.)); #50984=CARTESIAN_POINT('',(5.35,-0.6175,0.)); #50985=CARTESIAN_POINT('',(5.35,-0.985,-0.25)); #50986=CARTESIAN_POINT('',(5.35,-0.6175,-0.25)); #50987=CARTESIAN_POINT('',(5.35,-0.985,0.)); #50988=CARTESIAN_POINT('Origin',(5.75,-0.985,0.)); #50989=CARTESIAN_POINT('',(5.75,-0.985,0.)); #50990=CARTESIAN_POINT('',(5.75,-0.985,0.)); #50991=CARTESIAN_POINT('',(5.75,-0.985,-0.25)); #50992=CARTESIAN_POINT('',(5.75,-0.985,-0.25)); #50993=CARTESIAN_POINT('',(5.75,-0.985,0.)); #50994=CARTESIAN_POINT('Origin',(5.75,4.75,0.)); #50995=CARTESIAN_POINT('',(5.75,4.75,0.)); #50996=CARTESIAN_POINT('',(5.75,4.75,0.)); #50997=CARTESIAN_POINT('',(5.75,4.75,-0.25)); #50998=CARTESIAN_POINT('',(5.75,4.75,-0.25)); #50999=CARTESIAN_POINT('',(5.75,4.75,0.)); #51000=CARTESIAN_POINT('Origin',(4.75,4.75,0.)); #51001=CARTESIAN_POINT('',(4.75,5.75,0.)); #51002=CARTESIAN_POINT('Origin',(4.75,4.75,0.)); #51003=CARTESIAN_POINT('',(4.75,5.75,-0.25)); #51004=CARTESIAN_POINT('Origin',(4.75,4.75,-0.25)); #51005=CARTESIAN_POINT('',(4.75,5.75,0.)); #51006=CARTESIAN_POINT('Origin',(-4.75,5.75,0.)); #51007=CARTESIAN_POINT('',(-4.75,5.75,0.)); #51008=CARTESIAN_POINT('',(-4.75,5.75,0.)); #51009=CARTESIAN_POINT('',(-4.75,5.75,-0.25)); #51010=CARTESIAN_POINT('',(-4.75,5.75,-0.25)); #51011=CARTESIAN_POINT('',(-4.75,5.75,0.)); #51012=CARTESIAN_POINT('Origin',(-4.75,4.75,0.)); #51013=CARTESIAN_POINT('',(-5.75,4.75,0.)); #51014=CARTESIAN_POINT('Origin',(-4.75,4.75,0.)); #51015=CARTESIAN_POINT('',(-5.75,4.75,-0.25)); #51016=CARTESIAN_POINT('Origin',(-4.75,4.75,-0.25)); #51017=CARTESIAN_POINT('',(-5.75,4.75,0.)); #51018=CARTESIAN_POINT('Origin',(-5.75,-0.985,0.)); #51019=CARTESIAN_POINT('',(-5.75,-0.985,0.)); #51020=CARTESIAN_POINT('',(-5.75,-0.985,0.)); #51021=CARTESIAN_POINT('',(-5.75,-0.985,-0.25)); #51022=CARTESIAN_POINT('',(-5.75,-0.985,-0.25)); #51023=CARTESIAN_POINT('',(-5.75,-0.985,0.)); #51024=CARTESIAN_POINT('Origin',(-5.35,-0.985,0.)); #51025=CARTESIAN_POINT('',(-5.35,-0.985,0.)); #51026=CARTESIAN_POINT('',(-5.75,-0.985,0.)); #51027=CARTESIAN_POINT('',(-5.35,-0.985,-0.25)); #51028=CARTESIAN_POINT('',(-5.75,-0.985,-0.25)); #51029=CARTESIAN_POINT('',(-5.35,-0.985,0.)); #51030=CARTESIAN_POINT('Origin',(-5.35,-0.617499999999999,0.)); #51031=CARTESIAN_POINT('',(-5.35,-0.617499999999999,0.)); #51032=CARTESIAN_POINT('',(-5.35,-0.617499999999999,0.)); #51033=CARTESIAN_POINT('',(-5.35,-0.617499999999999,-0.25)); #51034=CARTESIAN_POINT('',(-5.35,-0.617499999999999,-0.25)); #51035=CARTESIAN_POINT('',(-5.35,-0.617499999999999,0.)); #51036=CARTESIAN_POINT('Origin',(-4.35,-0.617499999999999,0.)); #51037=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.)); #51038=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.)); #51039=CARTESIAN_POINT('',(-4.35,-0.617499999999999,-0.25)); #51040=CARTESIAN_POINT('',(-4.35,-0.617499999999999,-0.25)); #51041=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.)); #51042=CARTESIAN_POINT('Origin',(-4.35,-0.985,0.)); #51043=CARTESIAN_POINT('',(-4.35,-0.617499999999999,0.)); #51044=CARTESIAN_POINT('',(-4.35,-0.617499999999999,-0.25)); #51045=CARTESIAN_POINT('Origin',(0.,0.,0.)); #51046=CARTESIAN_POINT('Origin',(0.,0.,-0.25)); #51047=CARTESIAN_POINT('Origin',(1.09375,3.8,0.125)); #51048=CARTESIAN_POINT('',(0.984375,3.8,0.)); #51049=CARTESIAN_POINT('Origin',(1.09375,3.8,0.)); #51050=CARTESIAN_POINT('',(0.984375,3.8,0.125)); #51051=CARTESIAN_POINT('',(0.984375,3.8,0.125)); #51052=CARTESIAN_POINT('Origin',(1.09375,3.8,0.125)); #51053=CARTESIAN_POINT('Origin',(-1.09375,3.8,0.125)); #51054=CARTESIAN_POINT('',(-1.203125,3.8,0.)); #51055=CARTESIAN_POINT('Origin',(-1.09375,3.8,0.)); #51056=CARTESIAN_POINT('',(-1.203125,3.8,0.125)); #51057=CARTESIAN_POINT('',(-1.203125,3.8,0.125)); #51058=CARTESIAN_POINT('Origin',(-1.09375,3.8,0.125)); #51059=CARTESIAN_POINT('Origin',(0.,3.8,0.125)); #51060=CARTESIAN_POINT('',(-0.84375,3.8,0.)); #51061=CARTESIAN_POINT('Origin',(0.,3.8,0.)); #51062=CARTESIAN_POINT('',(-0.84375,3.8,0.125)); #51063=CARTESIAN_POINT('',(-0.84375,3.8,0.125)); #51064=CARTESIAN_POINT('Origin',(0.,3.8,0.125)); #51065=CARTESIAN_POINT('Origin',(-0.680852218590393,3.06757918076899,0.125)); #51066=CARTESIAN_POINT('',(-0.680852218590393,3.06757918076899,0.125)); #51067=CARTESIAN_POINT('',(-1.31116998181384,3.65351355422896,0.125)); #51068=CARTESIAN_POINT('',(-1.31116998181384,3.65351355422896,0.125)); #51069=CARTESIAN_POINT('',(-1.31116998181384,3.65351355422896,0.)); #51070=CARTESIAN_POINT('',(-1.31116998181384,3.65351355422896,0.125)); #51071=CARTESIAN_POINT('',(-0.680852218590393,3.06757918076899,0.)); #51072=CARTESIAN_POINT('',(-1.31116998181384,3.65351355422896,0.)); #51073=CARTESIAN_POINT('',(-0.680852218590393,3.06757918076899,0.125)); #51074=CARTESIAN_POINT('Origin',(0.,3.8,0.125)); #51075=CARTESIAN_POINT('',(0.680852218590393,3.06757918076899,0.125)); #51076=CARTESIAN_POINT('Origin',(0.,3.8,0.125)); #51077=CARTESIAN_POINT('',(0.680852218590393,3.06757918076899,0.)); #51078=CARTESIAN_POINT('Origin',(0.,3.8,0.)); #51079=CARTESIAN_POINT('',(0.680852218590393,3.06757918076899,0.125)); #51080=CARTESIAN_POINT('Origin',(1.31117021276594,3.65351562146331,0.125)); #51081=CARTESIAN_POINT('',(1.31117021276594,3.65351562146331,0.125)); #51082=CARTESIAN_POINT('',(1.31117021276594,3.65351562146331,0.125)); #51083=CARTESIAN_POINT('',(1.31117021276594,3.65351562146331,0.)); #51084=CARTESIAN_POINT('',(1.31117021276594,3.65351562146331,0.)); #51085=CARTESIAN_POINT('',(1.31117021276594,3.65351562146331,0.125)); #51086=CARTESIAN_POINT('Origin',(1.175,3.8,0.125)); #51087=CARTESIAN_POINT('',(1.31117021276594,3.94648437853669,0.125)); #51088=CARTESIAN_POINT('Origin',(1.175,3.8,0.125)); #51089=CARTESIAN_POINT('',(1.31117021276594,3.94648437853669,0.)); #51090=CARTESIAN_POINT('Origin',(1.175,3.8,0.)); #51091=CARTESIAN_POINT('',(1.31117021276594,3.94648437853669,0.125)); #51092=CARTESIAN_POINT('Origin',(0.680852218590393,4.53242081923101,0.125)); #51093=CARTESIAN_POINT('',(0.680852218590393,4.53242081923101,0.125)); #51094=CARTESIAN_POINT('',(1.31117021276594,3.94648437853669,0.125)); #51095=CARTESIAN_POINT('',(0.680852218590393,4.53242081923101,0.)); #51096=CARTESIAN_POINT('',(1.31117021276594,3.94648437853669,0.)); #51097=CARTESIAN_POINT('',(0.680852218590393,4.53242081923101,0.125)); #51098=CARTESIAN_POINT('Origin',(0.,3.8,0.125)); #51099=CARTESIAN_POINT('',(-0.680852218590393,4.53242081923101,0.125)); #51100=CARTESIAN_POINT('Origin',(0.,3.8,0.125)); #51101=CARTESIAN_POINT('',(-0.680852218590393,4.53242081923101,0.)); #51102=CARTESIAN_POINT('Origin',(0.,3.8,0.)); #51103=CARTESIAN_POINT('',(-0.680852218590393,4.53242081923101,0.125)); #51104=CARTESIAN_POINT('Origin',(-1.31117044371808,3.9464823113023,0.125)); #51105=CARTESIAN_POINT('',(-1.31117044371808,3.9464823113023,0.125)); #51106=CARTESIAN_POINT('',(-1.31117044371808,3.9464823113023,0.125)); #51107=CARTESIAN_POINT('',(-1.31117044371808,3.9464823113023,0.)); #51108=CARTESIAN_POINT('',(-1.31117044371808,3.9464823113023,0.)); #51109=CARTESIAN_POINT('',(-1.31117044371808,3.9464823113023,0.125)); #51110=CARTESIAN_POINT('Origin',(-1.175,3.7999981474561,0.125)); #51111=CARTESIAN_POINT('Origin',(-1.175,3.7999981474561,0.125)); #51112=CARTESIAN_POINT('Origin',(-1.175,3.7999981474561,0.)); #51113=CARTESIAN_POINT('Origin',(-1.21162922214997E-13,3.8,0.)); #51114=CARTESIAN_POINT('Origin',(-1.21162922214997E-13,3.8,0.125)); #51115=CARTESIAN_POINT('',(0.,0.,0.)); #51116=CARTESIAN_POINT('',(0.,0.,0.)); #51117=CARTESIAN_POINT('Origin',(-3.50000011170004,5.25,5.50000017552864)); #51118=CARTESIAN_POINT('',(-3.62000011170004,5.,5.50000017552864)); #51119=CARTESIAN_POINT('Origin',(-3.50000011170004,5.,5.50000017552864)); #51120=CARTESIAN_POINT('',(-3.62000011170004,5.25,5.50000017552864)); #51121=CARTESIAN_POINT('',(-3.62000011170004,5.25,5.50000017552864)); #51122=CARTESIAN_POINT('Origin',(-3.50000011170004,5.25,5.50000017552864)); #51123=CARTESIAN_POINT('Origin',(-3.50000011170004,5.25,0.500000015957149)); #51124=CARTESIAN_POINT('',(-3.62000011170004,5.,0.500000015957149)); #51125=CARTESIAN_POINT('Origin',(-3.50000011170004,5.,0.500000015957149)); #51126=CARTESIAN_POINT('',(-3.62000011170004,5.25,0.500000015957149)); #51127=CARTESIAN_POINT('',(-3.62000011170004,5.25,0.500000015957149)); #51128=CARTESIAN_POINT('Origin',(-3.50000011170004,5.25,0.500000015957149)); #51129=CARTESIAN_POINT('Origin',(-3.00000000750925,5.25,-0.25)); #51130=CARTESIAN_POINT('',(-3.00000000750925,5.25,0.)); #51131=CARTESIAN_POINT('',(-3.00000000750925,5.25,-0.25)); #51132=CARTESIAN_POINT('',(-3.00000000750925,5.25,0.)); #51133=CARTESIAN_POINT('',(-3.00000000750925,5.,0.)); #51134=CARTESIAN_POINT('',(-3.00000000750925,5.25,0.)); #51135=CARTESIAN_POINT('',(-3.00000000750925,5.,-0.25)); #51136=CARTESIAN_POINT('',(-3.00000000750925,5.,0.)); #51137=CARTESIAN_POINT('',(-3.00000000750925,5.25,-0.25)); #51138=CARTESIAN_POINT('Origin',(-4.00000000750925,5.25,-0.25)); #51139=CARTESIAN_POINT('',(-4.00000000750925,5.25,-0.25)); #51140=CARTESIAN_POINT('',(-3.00000000750925,5.25,-0.25)); #51141=CARTESIAN_POINT('',(-4.00000000750925,5.,-0.25)); #51142=CARTESIAN_POINT('',(-3.00000000750925,5.,-0.25)); #51143=CARTESIAN_POINT('',(-4.00000000750925,5.25,-0.25)); #51144=CARTESIAN_POINT('Origin',(-4.00000000750925,5.25,0.)); #51145=CARTESIAN_POINT('',(-4.00000000750925,5.25,0.)); #51146=CARTESIAN_POINT('',(-4.00000000750925,5.25,-0.25)); #51147=CARTESIAN_POINT('',(-4.00000000750925,5.,0.)); #51148=CARTESIAN_POINT('',(-4.00000000750925,5.,-0.25)); #51149=CARTESIAN_POINT('',(-4.00000000750925,5.25,0.)); #51150=CARTESIAN_POINT('Origin',(-4.50000014361434,5.25,0.)); #51151=CARTESIAN_POINT('',(-4.50000014361434,5.25,0.)); #51152=CARTESIAN_POINT('',(-4.00000000750925,5.25,0.)); #51153=CARTESIAN_POINT('',(-4.50000014361434,5.,0.)); #51154=CARTESIAN_POINT('',(-4.00000000750925,5.,0.)); #51155=CARTESIAN_POINT('',(-4.50000014361434,5.25,0.)); #51156=CARTESIAN_POINT('Origin',(-4.50000014361434,5.25,6.)); #51157=CARTESIAN_POINT('',(-4.50000014361434,5.25,6.)); #51158=CARTESIAN_POINT('',(-4.50000014361434,5.25,0.)); #51159=CARTESIAN_POINT('',(-4.50000014361434,5.,6.)); #51160=CARTESIAN_POINT('',(-4.50000014361434,5.,0.)); #51161=CARTESIAN_POINT('',(-4.50000014361434,5.25,6.)); #51162=CARTESIAN_POINT('Origin',(-4.00000012765719,5.25,5.99999990988904)); #51163=CARTESIAN_POINT('',(-4.00000012765719,5.25,5.99999990988904)); #51164=CARTESIAN_POINT('',(-4.00000012765719,5.25,5.99999990988904)); #51165=CARTESIAN_POINT('',(-4.00000012765719,5.,5.99999990988904)); #51166=CARTESIAN_POINT('',(-4.00000012765719,5.,5.99999990988904)); #51167=CARTESIAN_POINT('',(-4.00000012765719,5.25,5.99999990988904)); #51168=CARTESIAN_POINT('Origin',(-4.00000012765719,5.25,6.24999990988904)); #51169=CARTESIAN_POINT('',(-4.00000012765719,5.25,6.24999990988904)); #51170=CARTESIAN_POINT('',(-4.00000012765719,5.25,6.24999990988904)); #51171=CARTESIAN_POINT('',(-4.00000012765719,5.,6.24999990988904)); #51172=CARTESIAN_POINT('',(-4.00000012765719,5.,6.24999990988904)); #51173=CARTESIAN_POINT('',(-4.00000012765719,5.25,6.24999990988904)); #51174=CARTESIAN_POINT('Origin',(-3.00000000750925,5.25,6.24999990988904)); #51175=CARTESIAN_POINT('',(-3.00000000750925,5.25,6.24999990988904)); #51176=CARTESIAN_POINT('',(-3.00000000750925,5.25,6.24999990988904)); #51177=CARTESIAN_POINT('',(-3.00000000750925,5.,6.24999990988904)); #51178=CARTESIAN_POINT('',(-3.00000000750925,5.,6.24999990988904)); #51179=CARTESIAN_POINT('',(-3.00000000750925,5.25,6.24999990988904)); #51180=CARTESIAN_POINT('Origin',(-3.00000000750925,5.25,5.99999990988904)); #51181=CARTESIAN_POINT('',(-3.00000000750925,5.25,5.99999990988904)); #51182=CARTESIAN_POINT('',(-3.00000000750925,5.25,5.99999990988904)); #51183=CARTESIAN_POINT('',(-3.00000000750925,5.,5.99999990988904)); #51184=CARTESIAN_POINT('',(-3.00000000750925,5.,5.99999990988904)); #51185=CARTESIAN_POINT('',(-3.00000000750925,5.25,5.99999990988904)); #51186=CARTESIAN_POINT('Origin',(-2.49999996245377,5.25,5.99999990988904)); #51187=CARTESIAN_POINT('',(-2.49999996245377,5.25,5.99999990988904)); #51188=CARTESIAN_POINT('',(-2.49999996245377,5.25,5.99999990988904)); #51189=CARTESIAN_POINT('',(-2.49999996245377,5.,5.99999990988904)); #51190=CARTESIAN_POINT('',(-2.49999996245377,5.,5.99999990988904)); #51191=CARTESIAN_POINT('',(-2.49999996245377,5.25,5.99999990988904)); #51192=CARTESIAN_POINT('Origin',(-2.49999996245377,5.25,0.)); #51193=CARTESIAN_POINT('',(-2.49999996245377,5.25,0.)); #51194=CARTESIAN_POINT('',(-2.49999996245377,5.25,0.)); #51195=CARTESIAN_POINT('',(-2.49999996245377,5.,0.)); #51196=CARTESIAN_POINT('',(-2.49999996245377,5.,0.)); #51197=CARTESIAN_POINT('',(-2.49999996245377,5.25,0.)); #51198=CARTESIAN_POINT('Origin',(-3.00000000750925,5.25,0.)); #51199=CARTESIAN_POINT('',(-3.00000000750925,5.25,0.)); #51200=CARTESIAN_POINT('',(-3.00000000750925,5.,0.)); #51201=CARTESIAN_POINT('Origin',(-3.50000005303405,5.,2.99999995494452)); #51202=CARTESIAN_POINT('Origin',(-3.50000005303405,5.25,2.99999995494452)); #51203=CARTESIAN_POINT('Origin',(3.50000011170004,5.25,5.50000017552864)); #51204=CARTESIAN_POINT('',(3.38000011170004,5.,5.50000017552864)); #51205=CARTESIAN_POINT('Origin',(3.50000011170004,5.,5.50000017552864)); #51206=CARTESIAN_POINT('',(3.38000011170004,5.25,5.50000017552864)); #51207=CARTESIAN_POINT('',(3.38000011170004,5.25,5.50000017552864)); #51208=CARTESIAN_POINT('Origin',(3.50000011170004,5.25,5.50000017552864)); #51209=CARTESIAN_POINT('Origin',(3.49999994743527,5.25,0.499999992490753)); #51210=CARTESIAN_POINT('',(3.37999994743527,5.,0.499999992490753)); #51211=CARTESIAN_POINT('Origin',(3.49999994743527,5.,0.499999992490753)); #51212=CARTESIAN_POINT('',(3.37999994743527,5.25,0.499999992490753)); #51213=CARTESIAN_POINT('',(3.37999994743527,5.25,0.499999992490753)); #51214=CARTESIAN_POINT('Origin',(3.49999994743527,5.25,0.499999992490753)); #51215=CARTESIAN_POINT('Origin',(2.49999996245377,5.25,0.)); #51216=CARTESIAN_POINT('',(3.00000000750925,5.25,0.)); #51217=CARTESIAN_POINT('',(2.49999996245377,5.25,0.)); #51218=CARTESIAN_POINT('',(3.00000000750925,5.25,0.)); #51219=CARTESIAN_POINT('',(3.00000000750925,5.,0.)); #51220=CARTESIAN_POINT('',(3.00000000750925,5.25,0.)); #51221=CARTESIAN_POINT('',(2.49999996245377,5.,0.)); #51222=CARTESIAN_POINT('',(3.00000000750925,5.,0.)); #51223=CARTESIAN_POINT('',(2.49999996245377,5.25,0.)); #51224=CARTESIAN_POINT('Origin',(2.49999996245377,5.25,5.99999990988904)); #51225=CARTESIAN_POINT('',(2.49999996245377,5.25,5.99999990988904)); #51226=CARTESIAN_POINT('',(2.49999996245377,5.25,0.)); #51227=CARTESIAN_POINT('',(2.49999996245377,5.,5.99999990988904)); #51228=CARTESIAN_POINT('',(2.49999996245377,5.,0.)); #51229=CARTESIAN_POINT('',(2.49999996245377,5.25,5.99999990988904)); #51230=CARTESIAN_POINT('Origin',(3.00000000750925,5.25,5.99999990988904)); #51231=CARTESIAN_POINT('',(3.00000000750925,5.25,5.99999990988904)); #51232=CARTESIAN_POINT('',(2.49999996245377,5.25,5.99999990988904)); #51233=CARTESIAN_POINT('',(3.00000000750925,5.,5.99999990988904)); #51234=CARTESIAN_POINT('',(2.49999996245377,5.,5.99999990988904)); #51235=CARTESIAN_POINT('',(3.00000000750925,5.25,5.99999990988904)); #51236=CARTESIAN_POINT('Origin',(3.00000000750925,5.25,6.24999990988904)); #51237=CARTESIAN_POINT('',(3.00000000750925,5.25,6.24999990988904)); #51238=CARTESIAN_POINT('',(3.00000000750925,5.25,5.99999990988904)); #51239=CARTESIAN_POINT('',(3.00000000750925,5.,6.24999990988904)); #51240=CARTESIAN_POINT('',(3.00000000750925,5.,5.99999990988904)); #51241=CARTESIAN_POINT('',(3.00000000750925,5.25,6.24999990988904)); #51242=CARTESIAN_POINT('Origin',(4.00000012765719,5.25,6.24999990988904)); #51243=CARTESIAN_POINT('',(4.00000012765719,5.25,6.24999990988904)); #51244=CARTESIAN_POINT('',(3.00000000750925,5.25,6.24999990988904)); #51245=CARTESIAN_POINT('',(4.00000012765719,5.,6.24999990988904)); #51246=CARTESIAN_POINT('',(3.00000000750925,5.,6.24999990988904)); #51247=CARTESIAN_POINT('',(4.00000012765719,5.25,6.24999990988904)); #51248=CARTESIAN_POINT('Origin',(4.00000012765719,5.25,5.99999990988904)); #51249=CARTESIAN_POINT('',(4.00000012765719,5.25,5.99999990988904)); #51250=CARTESIAN_POINT('',(4.00000012765719,5.25,6.24999990988904)); #51251=CARTESIAN_POINT('',(4.00000012765719,5.,5.99999990988904)); #51252=CARTESIAN_POINT('',(4.00000012765719,5.,6.24999990988904)); #51253=CARTESIAN_POINT('',(4.00000012765719,5.25,5.99999990988904)); #51254=CARTESIAN_POINT('Origin',(4.50000014361434,5.25,6.)); #51255=CARTESIAN_POINT('',(4.50000014361434,5.25,6.)); #51256=CARTESIAN_POINT('',(4.00000012765719,5.25,5.99999990988904)); #51257=CARTESIAN_POINT('',(4.50000014361434,5.,6.)); #51258=CARTESIAN_POINT('',(4.00000012765719,5.,5.99999990988904)); #51259=CARTESIAN_POINT('',(4.50000014361434,5.25,6.)); #51260=CARTESIAN_POINT('Origin',(4.50000014361434,5.25,0.)); #51261=CARTESIAN_POINT('',(4.50000014361434,5.25,0.)); #51262=CARTESIAN_POINT('',(4.50000014361434,5.25,0.)); #51263=CARTESIAN_POINT('',(4.50000014361434,5.,0.)); #51264=CARTESIAN_POINT('',(4.50000014361434,5.,0.)); #51265=CARTESIAN_POINT('',(4.50000014361434,5.25,0.)); #51266=CARTESIAN_POINT('Origin',(4.00000000750925,5.25,0.)); #51267=CARTESIAN_POINT('',(4.00000000750925,5.25,0.)); #51268=CARTESIAN_POINT('',(4.00000000750925,5.25,0.)); #51269=CARTESIAN_POINT('',(4.00000000750925,5.,0.)); #51270=CARTESIAN_POINT('',(4.00000000750925,5.,0.)); #51271=CARTESIAN_POINT('',(4.00000000750925,5.25,0.)); #51272=CARTESIAN_POINT('Origin',(4.00000000750925,5.25,-0.25)); #51273=CARTESIAN_POINT('',(4.00000000750925,5.25,-0.25)); #51274=CARTESIAN_POINT('',(4.00000000750925,5.25,-0.25)); #51275=CARTESIAN_POINT('',(4.00000000750925,5.,-0.25)); #51276=CARTESIAN_POINT('',(4.00000000750925,5.,-0.25)); #51277=CARTESIAN_POINT('',(4.00000000750925,5.25,-0.25)); #51278=CARTESIAN_POINT('Origin',(3.00000000750925,5.25,-0.25)); #51279=CARTESIAN_POINT('',(3.00000000750925,5.25,-0.25)); #51280=CARTESIAN_POINT('',(3.00000000750925,5.25,-0.25)); #51281=CARTESIAN_POINT('',(3.00000000750925,5.,-0.25)); #51282=CARTESIAN_POINT('',(3.00000000750925,5.,-0.25)); #51283=CARTESIAN_POINT('',(3.00000000750925,5.25,-0.25)); #51284=CARTESIAN_POINT('Origin',(3.00000000750925,5.25,0.)); #51285=CARTESIAN_POINT('',(3.00000000750925,5.25,0.)); #51286=CARTESIAN_POINT('',(3.00000000750925,5.,0.)); #51287=CARTESIAN_POINT('Origin',(3.50000005303405,5.,2.99999995494452)); #51288=CARTESIAN_POINT('Origin',(3.50000005303405,5.25,2.99999995494452)); #51289=CARTESIAN_POINT('Origin',(-3.50000011170004,-5.25,5.50000017552864)); #51290=CARTESIAN_POINT('',(-3.62000011170004,-5.,5.50000017552864)); #51291=CARTESIAN_POINT('Origin',(-3.50000011170004,-5.,5.50000017552864)); #51292=CARTESIAN_POINT('',(-3.62000011170004,-5.25,5.50000017552864)); #51293=CARTESIAN_POINT('',(-3.62000011170004,-5.25,5.50000017552864)); #51294=CARTESIAN_POINT('Origin',(-3.50000011170004,-5.25,5.50000017552864)); #51295=CARTESIAN_POINT('Origin',(-3.50000011170004,-5.25,0.500000015957149)); #51296=CARTESIAN_POINT('',(-3.62000011170004,-5.,0.500000015957149)); #51297=CARTESIAN_POINT('Origin',(-3.50000011170004,-5.,0.500000015957149)); #51298=CARTESIAN_POINT('',(-3.62000011170004,-5.25,0.500000015957149)); #51299=CARTESIAN_POINT('',(-3.62000011170004,-5.25,0.500000015957149)); #51300=CARTESIAN_POINT('Origin',(-3.50000011170004,-5.25,0.500000015957149)); #51301=CARTESIAN_POINT('Origin',(-3.00000000750925,-5.25,-0.25)); #51302=CARTESIAN_POINT('',(-3.00000000750925,-5.25,0.)); #51303=CARTESIAN_POINT('',(-3.00000000750925,-5.25,-0.25)); #51304=CARTESIAN_POINT('',(-3.00000000750925,-5.25,0.)); #51305=CARTESIAN_POINT('',(-3.00000000750925,-5.,-0.25)); #51306=CARTESIAN_POINT('',(-3.00000000750925,-5.25,-0.25)); #51307=CARTESIAN_POINT('',(-3.00000000750925,-5.,0.)); #51308=CARTESIAN_POINT('',(-3.00000000750925,-5.,0.)); #51309=CARTESIAN_POINT('',(-3.00000000750925,-5.25,0.)); #51310=CARTESIAN_POINT('Origin',(-4.00000000750925,-5.25,-0.25)); #51311=CARTESIAN_POINT('',(-4.00000000750925,-5.25,-0.25)); #51312=CARTESIAN_POINT('',(-3.00000000750925,-5.25,-0.25)); #51313=CARTESIAN_POINT('',(-4.00000000750925,-5.,-0.25)); #51314=CARTESIAN_POINT('',(-4.00000000750925,-5.25,-0.25)); #51315=CARTESIAN_POINT('',(-3.00000000750925,-5.,-0.25)); #51316=CARTESIAN_POINT('Origin',(-4.00000000750925,-5.25,0.)); #51317=CARTESIAN_POINT('',(-4.00000000750925,-5.25,0.)); #51318=CARTESIAN_POINT('',(-4.00000000750925,-5.25,-0.25)); #51319=CARTESIAN_POINT('',(-4.00000000750925,-5.,0.)); #51320=CARTESIAN_POINT('',(-4.00000000750925,-5.25,0.)); #51321=CARTESIAN_POINT('',(-4.00000000750925,-5.,-0.25)); #51322=CARTESIAN_POINT('Origin',(-4.50000014361434,-5.25,0.)); #51323=CARTESIAN_POINT('',(-4.50000014361434,-5.25,0.)); #51324=CARTESIAN_POINT('',(-4.00000000750925,-5.25,0.)); #51325=CARTESIAN_POINT('',(-4.50000014361434,-5.,0.)); #51326=CARTESIAN_POINT('',(-4.50000014361434,-5.25,0.)); #51327=CARTESIAN_POINT('',(-4.00000000750925,-5.,0.)); #51328=CARTESIAN_POINT('Origin',(-4.50000014361434,-5.25,6.)); #51329=CARTESIAN_POINT('',(-4.50000014361434,-5.25,6.)); #51330=CARTESIAN_POINT('',(-4.50000014361434,-5.25,0.)); #51331=CARTESIAN_POINT('',(-4.50000014361434,-5.,6.)); #51332=CARTESIAN_POINT('',(-4.50000014361434,-5.25,6.)); #51333=CARTESIAN_POINT('',(-4.50000014361434,-5.,0.)); #51334=CARTESIAN_POINT('Origin',(-4.00000012765719,-5.25,5.99999990988904)); #51335=CARTESIAN_POINT('',(-4.00000012765719,-5.25,5.99999990988904)); #51336=CARTESIAN_POINT('',(-4.00000012765719,-5.25,5.99999990988904)); #51337=CARTESIAN_POINT('',(-4.00000012765719,-5.,5.99999990988904)); #51338=CARTESIAN_POINT('',(-4.00000012765719,-5.25,5.99999990988904)); #51339=CARTESIAN_POINT('',(-4.00000012765719,-5.,5.99999990988904)); #51340=CARTESIAN_POINT('Origin',(-4.00000012765719,-5.25,6.24999990988904)); #51341=CARTESIAN_POINT('',(-4.00000012765719,-5.25,6.24999990988904)); #51342=CARTESIAN_POINT('',(-4.00000012765719,-5.25,6.24999990988904)); #51343=CARTESIAN_POINT('',(-4.00000012765719,-5.,6.24999990988904)); #51344=CARTESIAN_POINT('',(-4.00000012765719,-5.25,6.24999990988904)); #51345=CARTESIAN_POINT('',(-4.00000012765719,-5.,6.24999990988904)); #51346=CARTESIAN_POINT('Origin',(-3.00000000750925,-5.25,6.24999990988904)); #51347=CARTESIAN_POINT('',(-3.00000000750925,-5.25,6.24999990988904)); #51348=CARTESIAN_POINT('',(-3.00000000750925,-5.25,6.24999990988904)); #51349=CARTESIAN_POINT('',(-3.00000000750925,-5.,6.24999990988904)); #51350=CARTESIAN_POINT('',(-3.00000000750925,-5.25,6.24999990988904)); #51351=CARTESIAN_POINT('',(-3.00000000750925,-5.,6.24999990988904)); #51352=CARTESIAN_POINT('Origin',(-3.00000000750925,-5.25,5.99999990988904)); #51353=CARTESIAN_POINT('',(-3.00000000750925,-5.25,5.99999990988904)); #51354=CARTESIAN_POINT('',(-3.00000000750925,-5.25,5.99999990988904)); #51355=CARTESIAN_POINT('',(-3.00000000750925,-5.,5.99999990988904)); #51356=CARTESIAN_POINT('',(-3.00000000750925,-5.25,5.99999990988904)); #51357=CARTESIAN_POINT('',(-3.00000000750925,-5.,5.99999990988904)); #51358=CARTESIAN_POINT('Origin',(-2.49999996245377,-5.25,5.99999990988904)); #51359=CARTESIAN_POINT('',(-2.49999996245377,-5.25,5.99999990988904)); #51360=CARTESIAN_POINT('',(-2.49999996245377,-5.25,5.99999990988904)); #51361=CARTESIAN_POINT('',(-2.49999996245377,-5.,5.99999990988904)); #51362=CARTESIAN_POINT('',(-2.49999996245377,-5.25,5.99999990988904)); #51363=CARTESIAN_POINT('',(-2.49999996245377,-5.,5.99999990988904)); #51364=CARTESIAN_POINT('Origin',(-2.49999996245377,-5.25,0.)); #51365=CARTESIAN_POINT('',(-2.49999996245377,-5.25,0.)); #51366=CARTESIAN_POINT('',(-2.49999996245377,-5.25,0.)); #51367=CARTESIAN_POINT('',(-2.49999996245377,-5.,0.)); #51368=CARTESIAN_POINT('',(-2.49999996245377,-5.25,0.)); #51369=CARTESIAN_POINT('',(-2.49999996245377,-5.,0.)); #51370=CARTESIAN_POINT('Origin',(-3.00000000750925,-5.25,0.)); #51371=CARTESIAN_POINT('',(-3.00000000750925,-5.25,0.)); #51372=CARTESIAN_POINT('',(-3.00000000750925,-5.,0.)); #51373=CARTESIAN_POINT('Origin',(-3.50000005303405,-5.,2.99999995494452)); #51374=CARTESIAN_POINT('Origin',(-3.50000005303405,-5.25,2.99999995494452)); #51375=CARTESIAN_POINT('Origin',(3.50000011170004,-5.25,5.50000017552864)); #51376=CARTESIAN_POINT('',(3.38000011170004,-5.,5.50000017552864)); #51377=CARTESIAN_POINT('Origin',(3.50000011170004,-5.,5.50000017552864)); #51378=CARTESIAN_POINT('',(3.38000011170004,-5.25,5.50000017552864)); #51379=CARTESIAN_POINT('',(3.38000011170004,-5.25,5.50000017552864)); #51380=CARTESIAN_POINT('Origin',(3.50000011170004,-5.25,5.50000017552864)); #51381=CARTESIAN_POINT('Origin',(3.49999994743527,-5.25,0.499999992490753)); #51382=CARTESIAN_POINT('',(3.37999994743527,-5.,0.499999992490753)); #51383=CARTESIAN_POINT('Origin',(3.49999994743527,-5.,0.499999992490753)); #51384=CARTESIAN_POINT('',(3.37999994743527,-5.25,0.499999992490753)); #51385=CARTESIAN_POINT('',(3.37999994743527,-5.25,0.499999992490753)); #51386=CARTESIAN_POINT('Origin',(3.49999994743527,-5.25,0.499999992490753)); #51387=CARTESIAN_POINT('Origin',(2.49999996245377,-5.25,0.)); #51388=CARTESIAN_POINT('',(3.00000000750925,-5.25,0.)); #51389=CARTESIAN_POINT('',(2.49999996245377,-5.25,0.)); #51390=CARTESIAN_POINT('',(3.00000000750925,-5.25,0.)); #51391=CARTESIAN_POINT('',(2.49999996245377,-5.,0.)); #51392=CARTESIAN_POINT('',(2.49999996245377,-5.25,0.)); #51393=CARTESIAN_POINT('',(3.00000000750925,-5.,0.)); #51394=CARTESIAN_POINT('',(3.00000000750925,-5.,0.)); #51395=CARTESIAN_POINT('',(3.00000000750925,-5.25,0.)); #51396=CARTESIAN_POINT('Origin',(2.49999996245377,-5.25,5.99999990988904)); #51397=CARTESIAN_POINT('',(2.49999996245377,-5.25,5.99999990988904)); #51398=CARTESIAN_POINT('',(2.49999996245377,-5.25,0.)); #51399=CARTESIAN_POINT('',(2.49999996245377,-5.,5.99999990988904)); #51400=CARTESIAN_POINT('',(2.49999996245377,-5.25,5.99999990988904)); #51401=CARTESIAN_POINT('',(2.49999996245377,-5.,0.)); #51402=CARTESIAN_POINT('Origin',(3.00000000750925,-5.25,5.99999990988904)); #51403=CARTESIAN_POINT('',(3.00000000750925,-5.25,5.99999990988904)); #51404=CARTESIAN_POINT('',(2.49999996245377,-5.25,5.99999990988904)); #51405=CARTESIAN_POINT('',(3.00000000750925,-5.,5.99999990988904)); #51406=CARTESIAN_POINT('',(3.00000000750925,-5.25,5.99999990988904)); #51407=CARTESIAN_POINT('',(2.49999996245377,-5.,5.99999990988904)); #51408=CARTESIAN_POINT('Origin',(3.00000000750925,-5.25,6.24999990988904)); #51409=CARTESIAN_POINT('',(3.00000000750925,-5.25,6.24999990988904)); #51410=CARTESIAN_POINT('',(3.00000000750925,-5.25,5.99999990988904)); #51411=CARTESIAN_POINT('',(3.00000000750925,-5.,6.24999990988904)); #51412=CARTESIAN_POINT('',(3.00000000750925,-5.25,6.24999990988904)); #51413=CARTESIAN_POINT('',(3.00000000750925,-5.,5.99999990988904)); #51414=CARTESIAN_POINT('Origin',(4.00000012765719,-5.25,6.24999990988904)); #51415=CARTESIAN_POINT('',(4.00000012765719,-5.25,6.24999990988904)); #51416=CARTESIAN_POINT('',(3.00000000750925,-5.25,6.24999990988904)); #51417=CARTESIAN_POINT('',(4.00000012765719,-5.,6.24999990988904)); #51418=CARTESIAN_POINT('',(4.00000012765719,-5.25,6.24999990988904)); #51419=CARTESIAN_POINT('',(3.00000000750925,-5.,6.24999990988904)); #51420=CARTESIAN_POINT('Origin',(4.00000012765719,-5.25,5.99999990988904)); #51421=CARTESIAN_POINT('',(4.00000012765719,-5.25,5.99999990988904)); #51422=CARTESIAN_POINT('',(4.00000012765719,-5.25,6.24999990988904)); #51423=CARTESIAN_POINT('',(4.00000012765719,-5.,5.99999990988904)); #51424=CARTESIAN_POINT('',(4.00000012765719,-5.25,5.99999990988904)); #51425=CARTESIAN_POINT('',(4.00000012765719,-5.,6.24999990988904)); #51426=CARTESIAN_POINT('Origin',(4.50000014361434,-5.25,6.)); #51427=CARTESIAN_POINT('',(4.50000014361434,-5.25,6.)); #51428=CARTESIAN_POINT('',(4.00000012765719,-5.25,5.99999990988904)); #51429=CARTESIAN_POINT('',(4.50000014361434,-5.,6.)); #51430=CARTESIAN_POINT('',(4.50000014361434,-5.25,6.)); #51431=CARTESIAN_POINT('',(4.00000012765719,-5.,5.99999990988904)); #51432=CARTESIAN_POINT('Origin',(4.50000014361434,-5.25,0.)); #51433=CARTESIAN_POINT('',(4.50000014361434,-5.25,0.)); #51434=CARTESIAN_POINT('',(4.50000014361434,-5.25,0.)); #51435=CARTESIAN_POINT('',(4.50000014361434,-5.,0.)); #51436=CARTESIAN_POINT('',(4.50000014361434,-5.25,0.)); #51437=CARTESIAN_POINT('',(4.50000014361434,-5.,0.)); #51438=CARTESIAN_POINT('Origin',(4.00000000750925,-5.25,0.)); #51439=CARTESIAN_POINT('',(4.00000000750925,-5.25,0.)); #51440=CARTESIAN_POINT('',(4.00000000750925,-5.25,0.)); #51441=CARTESIAN_POINT('',(4.00000000750925,-5.,0.)); #51442=CARTESIAN_POINT('',(4.00000000750925,-5.25,0.)); #51443=CARTESIAN_POINT('',(4.00000000750925,-5.,0.)); #51444=CARTESIAN_POINT('Origin',(4.00000000750925,-5.25,-0.25)); #51445=CARTESIAN_POINT('',(4.00000000750925,-5.25,-0.25)); #51446=CARTESIAN_POINT('',(4.00000000750925,-5.25,-0.25)); #51447=CARTESIAN_POINT('',(4.00000000750925,-5.,-0.25)); #51448=CARTESIAN_POINT('',(4.00000000750925,-5.25,-0.25)); #51449=CARTESIAN_POINT('',(4.00000000750925,-5.,-0.25)); #51450=CARTESIAN_POINT('Origin',(3.00000000750925,-5.25,-0.25)); #51451=CARTESIAN_POINT('',(3.00000000750925,-5.25,-0.25)); #51452=CARTESIAN_POINT('',(3.00000000750925,-5.25,-0.25)); #51453=CARTESIAN_POINT('',(3.00000000750925,-5.,-0.25)); #51454=CARTESIAN_POINT('',(3.00000000750925,-5.25,-0.25)); #51455=CARTESIAN_POINT('',(3.00000000750925,-5.,-0.25)); #51456=CARTESIAN_POINT('Origin',(3.00000000750925,-5.25,0.)); #51457=CARTESIAN_POINT('',(3.00000000750925,-5.25,0.)); #51458=CARTESIAN_POINT('',(3.00000000750925,-5.,0.)); #51459=CARTESIAN_POINT('Origin',(3.50000005303405,-5.,2.99999995494452)); #51460=CARTESIAN_POINT('Origin',(3.50000005303405,-5.25,2.99999995494452)); #51461=CARTESIAN_POINT('Origin',(1.50000004787145,0.500000015957149,0.500000015957149)); #51462=CARTESIAN_POINT('',(1.75000004787145,0.380000015957149,0.500000015957149)); #51463=CARTESIAN_POINT('Origin',(1.75000004787145,0.500000015957149,0.500000015957149)); #51464=CARTESIAN_POINT('',(1.50000004787145,0.380000015957149,0.500000015957149)); #51465=CARTESIAN_POINT('',(1.50000004787145,0.380000015957149,0.500000015957149)); #51466=CARTESIAN_POINT('Origin',(1.50000004787145,0.500000015957149,0.500000015957149)); #51467=CARTESIAN_POINT('Origin',(1.50000004787145,0.499999992490753,5.49999991739829)); #51468=CARTESIAN_POINT('',(1.75000004787145,0.379999992490753,5.49999991739829)); #51469=CARTESIAN_POINT('Origin',(1.75000004787145,0.499999992490753,5.49999991739829)); #51470=CARTESIAN_POINT('',(1.50000004787145,0.379999992490753,5.49999991739829)); #51471=CARTESIAN_POINT('',(1.50000004787145,0.379999992490753,5.49999991739829)); #51472=CARTESIAN_POINT('Origin',(1.50000004787145,0.499999992490753,5.49999991739829)); #51473=CARTESIAN_POINT('Origin',(1.50000004787145,0.,-0.25)); #51474=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,-0.25)); #51475=CARTESIAN_POINT('',(1.50000004787145,0.,-0.25)); #51476=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,-0.25)); #51477=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,-0.25)); #51478=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,-0.25)); #51479=CARTESIAN_POINT('',(1.75000004787145,0.,-0.25)); #51480=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,-0.25)); #51481=CARTESIAN_POINT('',(1.50000004787145,0.,-0.25)); #51482=CARTESIAN_POINT('Origin',(1.50000004787145,0.,0.)); #51483=CARTESIAN_POINT('',(1.50000004787145,0.,0.)); #51484=CARTESIAN_POINT('',(1.50000004787145,0.,-0.25)); #51485=CARTESIAN_POINT('',(1.75000004787145,0.,0.)); #51486=CARTESIAN_POINT('',(1.75000004787145,0.,-0.25)); #51487=CARTESIAN_POINT('',(1.50000004787145,0.,0.)); #51488=CARTESIAN_POINT('Origin',(1.50000004787145,-0.500000015957149,0.)); #51489=CARTESIAN_POINT('',(1.50000004787145,-0.500000015957149,0.)); #51490=CARTESIAN_POINT('',(1.50000004787145,0.,0.)); #51491=CARTESIAN_POINT('',(1.75000004787145,-0.500000015957149,0.)); #51492=CARTESIAN_POINT('',(1.75000004787145,0.,0.)); #51493=CARTESIAN_POINT('',(1.50000004787145,-0.500000015957149,0.)); #51494=CARTESIAN_POINT('Origin',(1.50000004787145,-0.500000015957149,6.00000019148579)); #51495=CARTESIAN_POINT('',(1.50000004787145,-0.500000015957149,6.00000019148579)); #51496=CARTESIAN_POINT('',(1.50000004787145,-0.500000015957149,0.)); #51497=CARTESIAN_POINT('',(1.75000004787145,-0.500000015957149,6.00000019148579)); #51498=CARTESIAN_POINT('',(1.75000004787145,-0.500000015957149,0.)); #51499=CARTESIAN_POINT('',(1.50000004787145,-0.500000015957149,6.00000019148579)); #51500=CARTESIAN_POINT('Origin',(1.50000004787145,0.,6.00000019148579)); #51501=CARTESIAN_POINT('',(1.50000004787145,0.,6.00000019148579)); #51502=CARTESIAN_POINT('',(1.50000004787145,-0.500000015957149,6.00000019148579)); #51503=CARTESIAN_POINT('',(1.75000004787145,0.,6.00000019148579)); #51504=CARTESIAN_POINT('',(1.75000004787145,-0.500000015957149,6.00000019148579)); #51505=CARTESIAN_POINT('',(1.50000004787145,0.,6.00000019148579)); #51506=CARTESIAN_POINT('Origin',(1.50000004787145,0.,6.25000019148579)); #51507=CARTESIAN_POINT('',(1.50000004787145,0.,6.25000019148579)); #51508=CARTESIAN_POINT('',(1.50000004787145,0.,6.00000019148579)); #51509=CARTESIAN_POINT('',(1.75000004787145,0.,6.25000019148579)); #51510=CARTESIAN_POINT('',(1.75000004787145,0.,6.00000019148579)); #51511=CARTESIAN_POINT('',(1.50000004787145,0.,6.25000019148579)); #51512=CARTESIAN_POINT('Origin',(1.50000004787145,1.0000000319143,6.25000019148579)); #51513=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,6.25000019148579)); #51514=CARTESIAN_POINT('',(1.50000004787145,0.,6.25000019148579)); #51515=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,6.25000019148579)); #51516=CARTESIAN_POINT('',(1.75000004787145,0.,6.25000019148579)); #51517=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,6.25000019148579)); #51518=CARTESIAN_POINT('Origin',(1.50000004787145,1.0000000319143,5.99999992748884)); #51519=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,5.99999992748884)); #51520=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,6.25000019148579)); #51521=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,5.99999992748884)); #51522=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,6.25000019148579)); #51523=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,5.99999992748884)); #51524=CARTESIAN_POINT('Origin',(1.50000004787145,1.50000004787145,6.)); #51525=CARTESIAN_POINT('',(1.50000004787145,1.50000004787145,6.)); #51526=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,5.99999992748884)); #51527=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,6.)); #51528=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,5.99999992748884)); #51529=CARTESIAN_POINT('',(1.50000004787145,1.50000004787145,6.)); #51530=CARTESIAN_POINT('Origin',(1.50000004787145,1.50000004787145,0.)); #51531=CARTESIAN_POINT('',(1.50000004787145,1.50000004787145,0.)); #51532=CARTESIAN_POINT('',(1.50000004787145,1.50000004787145,0.)); #51533=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,0.)); #51534=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,0.)); #51535=CARTESIAN_POINT('',(1.50000004787145,1.50000004787145,0.)); #51536=CARTESIAN_POINT('Origin',(1.50000004787145,1.0000000319143,0.)); #51537=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,0.)); #51538=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,0.)); #51539=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,0.)); #51540=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,0.)); #51541=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,0.)); #51542=CARTESIAN_POINT('Origin',(1.50000004787145,1.0000000319143,-0.25)); #51543=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,-0.25)); #51544=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,-0.25)); #51545=CARTESIAN_POINT('Origin',(1.75000004787145,0.500000015957149,3.00000009574289)); #51546=CARTESIAN_POINT('Origin',(1.50000004787145,0.500000015957149,3.00000009574289)); #51547=CARTESIAN_POINT('Origin',(-1.50000004787145,0.500000015957149,0.500000015957149)); #51548=CARTESIAN_POINT('',(-1.75000004787145,0.380000015957149,0.500000015957149)); #51549=CARTESIAN_POINT('Origin',(-1.75000004787145,0.500000015957149,0.500000015957149)); #51550=CARTESIAN_POINT('',(-1.50000004787145,0.380000015957149,0.500000015957149)); #51551=CARTESIAN_POINT('',(-1.50000004787145,0.380000015957149,0.500000015957149)); #51552=CARTESIAN_POINT('Origin',(-1.50000004787145,0.500000015957149,0.500000015957149)); #51553=CARTESIAN_POINT('Origin',(-1.50000004787145,0.499999992490753,5.49999991739829)); #51554=CARTESIAN_POINT('',(-1.75000004787145,0.379999992490753,5.49999991739829)); #51555=CARTESIAN_POINT('Origin',(-1.75000004787145,0.499999992490753,5.49999991739829)); #51556=CARTESIAN_POINT('',(-1.50000004787145,0.379999992490753,5.49999991739829)); #51557=CARTESIAN_POINT('',(-1.50000004787145,0.379999992490753,5.49999991739829)); #51558=CARTESIAN_POINT('Origin',(-1.50000004787145,0.499999992490753,5.49999991739829)); #51559=CARTESIAN_POINT('Origin',(-1.50000004787145,0.,-0.25)); #51560=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,-0.25)); #51561=CARTESIAN_POINT('',(-1.50000004787145,0.,-0.25)); #51562=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,-0.25)); #51563=CARTESIAN_POINT('',(-1.75000004787145,0.,-0.25)); #51564=CARTESIAN_POINT('',(-1.50000004787145,0.,-0.25)); #51565=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,-0.25)); #51566=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,-0.25)); #51567=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,-0.25)); #51568=CARTESIAN_POINT('Origin',(-1.50000004787145,0.,0.)); #51569=CARTESIAN_POINT('',(-1.50000004787145,0.,0.)); #51570=CARTESIAN_POINT('',(-1.50000004787145,0.,-0.25)); #51571=CARTESIAN_POINT('',(-1.75000004787145,0.,0.)); #51572=CARTESIAN_POINT('',(-1.50000004787145,0.,0.)); #51573=CARTESIAN_POINT('',(-1.75000004787145,0.,-0.25)); #51574=CARTESIAN_POINT('Origin',(-1.50000004787145,-0.500000015957149,0.)); #51575=CARTESIAN_POINT('',(-1.50000004787145,-0.500000015957149,0.)); #51576=CARTESIAN_POINT('',(-1.50000004787145,0.,0.)); #51577=CARTESIAN_POINT('',(-1.75000004787145,-0.500000015957149,0.)); #51578=CARTESIAN_POINT('',(-1.50000004787145,-0.500000015957149,0.)); #51579=CARTESIAN_POINT('',(-1.75000004787145,0.,0.)); #51580=CARTESIAN_POINT('Origin',(-1.50000004787145,-0.500000015957149,6.00000019148579)); #51581=CARTESIAN_POINT('',(-1.50000004787145,-0.500000015957149,6.00000019148579)); #51582=CARTESIAN_POINT('',(-1.50000004787145,-0.500000015957149,0.)); #51583=CARTESIAN_POINT('',(-1.75000004787145,-0.500000015957149,6.00000019148579)); #51584=CARTESIAN_POINT('',(-1.50000004787145,-0.500000015957149,6.00000019148579)); #51585=CARTESIAN_POINT('',(-1.75000004787145,-0.500000015957149,0.)); #51586=CARTESIAN_POINT('Origin',(-1.50000004787145,0.,6.00000019148579)); #51587=CARTESIAN_POINT('',(-1.50000004787145,0.,6.00000019148579)); #51588=CARTESIAN_POINT('',(-1.50000004787145,-0.500000015957149,6.00000019148579)); #51589=CARTESIAN_POINT('',(-1.75000004787145,0.,6.00000019148579)); #51590=CARTESIAN_POINT('',(-1.50000004787145,0.,6.00000019148579)); #51591=CARTESIAN_POINT('',(-1.75000004787145,-0.500000015957149,6.00000019148579)); #51592=CARTESIAN_POINT('Origin',(-1.50000004787145,0.,6.25000019148579)); #51593=CARTESIAN_POINT('',(-1.50000004787145,0.,6.25000019148579)); #51594=CARTESIAN_POINT('',(-1.50000004787145,0.,6.00000019148579)); #51595=CARTESIAN_POINT('',(-1.75000004787145,0.,6.25000019148579)); #51596=CARTESIAN_POINT('',(-1.50000004787145,0.,6.25000019148579)); #51597=CARTESIAN_POINT('',(-1.75000004787145,0.,6.00000019148579)); #51598=CARTESIAN_POINT('Origin',(-1.50000004787145,1.0000000319143,6.25000019148579)); #51599=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,6.25000019148579)); #51600=CARTESIAN_POINT('',(-1.50000004787145,0.,6.25000019148579)); #51601=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,6.25000019148579)); #51602=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,6.25000019148579)); #51603=CARTESIAN_POINT('',(-1.75000004787145,0.,6.25000019148579)); #51604=CARTESIAN_POINT('Origin',(-1.50000004787145,1.0000000319143,5.99999992748884)); #51605=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,5.99999992748884)); #51606=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,6.25000019148579)); #51607=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,5.99999992748884)); #51608=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,5.99999992748884)); #51609=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,6.25000019148579)); #51610=CARTESIAN_POINT('Origin',(-1.50000004787145,1.50000004787145,6.)); #51611=CARTESIAN_POINT('',(-1.50000004787145,1.50000004787145,6.)); #51612=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,5.99999992748884)); #51613=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,6.)); #51614=CARTESIAN_POINT('',(-1.50000004787145,1.50000004787145,6.)); #51615=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,5.99999992748884)); #51616=CARTESIAN_POINT('Origin',(-1.50000004787145,1.50000004787145,0.)); #51617=CARTESIAN_POINT('',(-1.50000004787145,1.50000004787145,0.)); #51618=CARTESIAN_POINT('',(-1.50000004787145,1.50000004787145,0.)); #51619=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,0.)); #51620=CARTESIAN_POINT('',(-1.50000004787145,1.50000004787145,0.)); #51621=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,0.)); #51622=CARTESIAN_POINT('Origin',(-1.50000004787145,1.0000000319143,0.)); #51623=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,0.)); #51624=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,0.)); #51625=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,0.)); #51626=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,0.)); #51627=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,0.)); #51628=CARTESIAN_POINT('Origin',(-1.50000004787145,1.0000000319143,-0.25)); #51629=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,-0.25)); #51630=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,-0.25)); #51631=CARTESIAN_POINT('Origin',(-1.75000004787145,0.500000015957149,3.00000009574289)); #51632=CARTESIAN_POINT('Origin',(-1.50000004787145,0.500000015957149,3.00000009574289)); #51633=CARTESIAN_POINT('',(0.,0.,0.)); #51634=CARTESIAN_POINT('Origin',(4.46220465682623,-6.75832177622812E-8, 2.5)); #51635=CARTESIAN_POINT('',(4.46220465682623,-6.75832177622812E-8,2.5)); #51636=CARTESIAN_POINT('',(2.03779520800733,-6.75832177622812E-8,2.5)); #51637=CARTESIAN_POINT('',(4.46220465682623,-6.75832177622812E-8,2.5)); #51638=CARTESIAN_POINT('',(2.03779520800733,-6.75832177622812E-8,2.625)); #51639=CARTESIAN_POINT('',(2.03779520800733,-6.75832177622812E-8,2.5)); #51640=CARTESIAN_POINT('',(4.46220465682623,-6.75832177622812E-8,2.625)); #51641=CARTESIAN_POINT('',(4.46220465682623,-6.75832177622812E-8,2.625)); #51642=CARTESIAN_POINT('',(4.46220465682623,-6.75832177622812E-8,2.5)); #51643=CARTESIAN_POINT('Origin',(4.46220465682623,0.037795208007332,2.5)); #51644=CARTESIAN_POINT('',(4.49999993241678,0.037795208007332,2.5)); #51645=CARTESIAN_POINT('Origin',(4.46220465682623,0.037795208007332,2.5)); #51646=CARTESIAN_POINT('',(4.49999993241678,0.037795208007332,2.625)); #51647=CARTESIAN_POINT('Origin',(4.46220465682623,0.037795208007332,2.625)); #51648=CARTESIAN_POINT('',(4.49999993241678,0.037795208007332,2.5)); #51649=CARTESIAN_POINT('Origin',(4.49999993241678,0.112204656826232,2.5)); #51650=CARTESIAN_POINT('',(4.49999993241678,0.112204656826232,2.5)); #51651=CARTESIAN_POINT('',(4.49999993241678,0.112204656826232,2.5)); #51652=CARTESIAN_POINT('',(4.49999993241678,0.112204656826232,2.625)); #51653=CARTESIAN_POINT('',(4.49999993241678,0.112204656826232,2.625)); #51654=CARTESIAN_POINT('',(4.49999993241678,0.112204656826232,2.5)); #51655=CARTESIAN_POINT('Origin',(4.46220465682623,0.112204656826232,2.5)); #51656=CARTESIAN_POINT('',(4.46220465682623,0.149999932416782,2.5)); #51657=CARTESIAN_POINT('Origin',(4.46220465682623,0.112204656826232,2.5)); #51658=CARTESIAN_POINT('',(4.46220465682623,0.149999932416782,2.625)); #51659=CARTESIAN_POINT('Origin',(4.46220465682623,0.112204656826232,2.625)); #51660=CARTESIAN_POINT('',(4.46220465682623,0.149999932416782,2.5)); #51661=CARTESIAN_POINT('Origin',(2.03779520800733,0.149999932416782,2.5)); #51662=CARTESIAN_POINT('',(2.03779520800733,0.149999932416782,2.5)); #51663=CARTESIAN_POINT('',(2.03779520800733,0.149999932416782,2.5)); #51664=CARTESIAN_POINT('',(2.03779520800733,0.149999932416782,2.625)); #51665=CARTESIAN_POINT('',(2.03779520800733,0.149999932416782,2.625)); #51666=CARTESIAN_POINT('',(2.03779520800733,0.149999932416782,2.5)); #51667=CARTESIAN_POINT('Origin',(2.03779520800733,0.112204656826232,2.5)); #51668=CARTESIAN_POINT('',(1.99999993241678,0.112204656826232,2.5)); #51669=CARTESIAN_POINT('Origin',(2.03779520800733,0.112204656826232,2.5)); #51670=CARTESIAN_POINT('',(1.99999993241678,0.112204656826232,2.625)); #51671=CARTESIAN_POINT('Origin',(2.03779520800733,0.112204656826232,2.625)); #51672=CARTESIAN_POINT('',(1.99999993241678,0.112204656826232,2.5)); #51673=CARTESIAN_POINT('Origin',(1.99999993241678,0.0377952080073327,2.5)); #51674=CARTESIAN_POINT('',(1.99999993241678,0.0377952080073327,2.5)); #51675=CARTESIAN_POINT('',(1.99999993241678,0.0377952080073327,2.5)); #51676=CARTESIAN_POINT('',(1.99999993241678,0.0377952080073327,2.625)); #51677=CARTESIAN_POINT('',(1.99999993241678,0.0377952080073327,2.625)); #51678=CARTESIAN_POINT('',(1.99999993241678,0.0377952080073327,2.5)); #51679=CARTESIAN_POINT('Origin',(2.03779520800733,0.0377952080073327,2.5)); #51680=CARTESIAN_POINT('Origin',(2.03779520800733,0.0377952080073327,2.5)); #51681=CARTESIAN_POINT('Origin',(2.03779520800733,0.0377952080073327,2.625)); #51682=CARTESIAN_POINT('Origin',(4.46220465682623,0.499999932416782,2.5)); #51683=CARTESIAN_POINT('',(4.46220465682623,0.499999932416782,2.5)); #51684=CARTESIAN_POINT('',(2.03779520800733,0.499999932416782,2.5)); #51685=CARTESIAN_POINT('',(4.46220465682623,0.499999932416782,2.5)); #51686=CARTESIAN_POINT('',(2.03779520800733,0.499999932416782,2.625)); #51687=CARTESIAN_POINT('',(2.03779520800733,0.499999932416782,2.5)); #51688=CARTESIAN_POINT('',(4.46220465682623,0.499999932416782,2.625)); #51689=CARTESIAN_POINT('',(4.46220465682623,0.499999932416782,2.625)); #51690=CARTESIAN_POINT('',(4.46220465682623,0.499999932416782,2.5)); #51691=CARTESIAN_POINT('Origin',(4.46220465682623,0.537795208007332,2.5)); #51692=CARTESIAN_POINT('',(4.49999993241678,0.537795208007332,2.5)); #51693=CARTESIAN_POINT('Origin',(4.46220465682623,0.537795208007332,2.5)); #51694=CARTESIAN_POINT('',(4.49999993241678,0.537795208007332,2.625)); #51695=CARTESIAN_POINT('Origin',(4.46220465682623,0.537795208007332,2.625)); #51696=CARTESIAN_POINT('',(4.49999993241678,0.537795208007332,2.5)); #51697=CARTESIAN_POINT('Origin',(4.49999993241678,0.612204656826232,2.5)); #51698=CARTESIAN_POINT('',(4.49999993241678,0.612204656826232,2.5)); #51699=CARTESIAN_POINT('',(4.49999993241678,0.612204656826232,2.5)); #51700=CARTESIAN_POINT('',(4.49999993241678,0.612204656826232,2.625)); #51701=CARTESIAN_POINT('',(4.49999993241678,0.612204656826232,2.625)); #51702=CARTESIAN_POINT('',(4.49999993241678,0.612204656826232,2.5)); #51703=CARTESIAN_POINT('Origin',(4.46220465682623,0.612204656826232,2.5)); #51704=CARTESIAN_POINT('',(4.46220465682623,0.649999932416782,2.5)); #51705=CARTESIAN_POINT('Origin',(4.46220465682623,0.612204656826232,2.5)); #51706=CARTESIAN_POINT('',(4.46220465682623,0.649999932416782,2.625)); #51707=CARTESIAN_POINT('Origin',(4.46220465682623,0.612204656826232,2.625)); #51708=CARTESIAN_POINT('',(4.46220465682623,0.649999932416782,2.5)); #51709=CARTESIAN_POINT('Origin',(2.03779520800733,0.649999932416782,2.5)); #51710=CARTESIAN_POINT('',(2.03779520800733,0.649999932416782,2.5)); #51711=CARTESIAN_POINT('',(2.03779520800733,0.649999932416782,2.5)); #51712=CARTESIAN_POINT('',(2.03779520800733,0.649999932416782,2.625)); #51713=CARTESIAN_POINT('',(2.03779520800733,0.649999932416782,2.625)); #51714=CARTESIAN_POINT('',(2.03779520800733,0.649999932416782,2.5)); #51715=CARTESIAN_POINT('Origin',(2.03779520800733,0.612204656826232,2.5)); #51716=CARTESIAN_POINT('',(1.99999993241678,0.612204656826232,2.5)); #51717=CARTESIAN_POINT('Origin',(2.03779520800733,0.612204656826232,2.5)); #51718=CARTESIAN_POINT('',(1.99999993241678,0.612204656826232,2.625)); #51719=CARTESIAN_POINT('Origin',(2.03779520800733,0.612204656826232,2.625)); #51720=CARTESIAN_POINT('',(1.99999993241678,0.612204656826232,2.5)); #51721=CARTESIAN_POINT('Origin',(1.99999993241678,0.537795208007332,2.5)); #51722=CARTESIAN_POINT('',(1.99999993241678,0.537795208007332,2.5)); #51723=CARTESIAN_POINT('',(1.99999993241678,0.537795208007332,2.5)); #51724=CARTESIAN_POINT('',(1.99999993241678,0.537795208007332,2.625)); #51725=CARTESIAN_POINT('',(1.99999993241678,0.537795208007332,2.625)); #51726=CARTESIAN_POINT('',(1.99999993241678,0.537795208007332,2.5)); #51727=CARTESIAN_POINT('Origin',(2.03779520800733,0.537795208007332,2.5)); #51728=CARTESIAN_POINT('Origin',(2.03779520800733,0.537795208007332,2.5)); #51729=CARTESIAN_POINT('Origin',(2.03779520800733,0.537795208007332,2.625)); #51730=CARTESIAN_POINT('Origin',(4.46220465682623,-1.00000006758322,2.5)); #51731=CARTESIAN_POINT('',(4.46220465682623,-1.00000006758322,2.5)); #51732=CARTESIAN_POINT('',(2.03779520800733,-1.00000006758322,2.5)); #51733=CARTESIAN_POINT('',(4.46220465682623,-1.00000006758322,2.5)); #51734=CARTESIAN_POINT('',(2.03779520800733,-1.00000006758322,2.625)); #51735=CARTESIAN_POINT('',(2.03779520800733,-1.00000006758322,2.5)); #51736=CARTESIAN_POINT('',(4.46220465682623,-1.00000006758322,2.625)); #51737=CARTESIAN_POINT('',(4.46220465682623,-1.00000006758322,2.625)); #51738=CARTESIAN_POINT('',(4.46220465682623,-1.00000006758322,2.5)); #51739=CARTESIAN_POINT('Origin',(4.46220465682623,-0.962204791992668,2.5)); #51740=CARTESIAN_POINT('',(4.49999993241678,-0.962204791992668,2.5)); #51741=CARTESIAN_POINT('Origin',(4.46220465682623,-0.962204791992668,2.5)); #51742=CARTESIAN_POINT('',(4.49999993241678,-0.962204791992668,2.625)); #51743=CARTESIAN_POINT('Origin',(4.46220465682623,-0.962204791992668,2.625)); #51744=CARTESIAN_POINT('',(4.49999993241678,-0.962204791992668,2.5)); #51745=CARTESIAN_POINT('Origin',(4.49999993241678,-0.887795343173767,2.5)); #51746=CARTESIAN_POINT('',(4.49999993241678,-0.887795343173767,2.5)); #51747=CARTESIAN_POINT('',(4.49999993241678,-0.887795343173767,2.5)); #51748=CARTESIAN_POINT('',(4.49999993241678,-0.887795343173767,2.625)); #51749=CARTESIAN_POINT('',(4.49999993241678,-0.887795343173767,2.625)); #51750=CARTESIAN_POINT('',(4.49999993241678,-0.887795343173767,2.5)); #51751=CARTESIAN_POINT('Origin',(4.46220465682623,-0.887795343173767,2.5)); #51752=CARTESIAN_POINT('',(4.46220465682623,-0.850000067583217,2.5)); #51753=CARTESIAN_POINT('Origin',(4.46220465682623,-0.887795343173767,2.5)); #51754=CARTESIAN_POINT('',(4.46220465682623,-0.850000067583217,2.625)); #51755=CARTESIAN_POINT('Origin',(4.46220465682623,-0.887795343173767,2.625)); #51756=CARTESIAN_POINT('',(4.46220465682623,-0.850000067583217,2.5)); #51757=CARTESIAN_POINT('Origin',(2.03779520800733,-0.850000067583217,2.5)); #51758=CARTESIAN_POINT('',(2.03779520800733,-0.850000067583217,2.5)); #51759=CARTESIAN_POINT('',(2.03779520800733,-0.850000067583217,2.5)); #51760=CARTESIAN_POINT('',(2.03779520800733,-0.850000067583217,2.625)); #51761=CARTESIAN_POINT('',(2.03779520800733,-0.850000067583217,2.625)); #51762=CARTESIAN_POINT('',(2.03779520800733,-0.850000067583217,2.5)); #51763=CARTESIAN_POINT('Origin',(2.03779520800733,-0.887795343173768,2.5)); #51764=CARTESIAN_POINT('',(1.99999993241678,-0.887795343173768,2.5)); #51765=CARTESIAN_POINT('Origin',(2.03779520800733,-0.887795343173768,2.5)); #51766=CARTESIAN_POINT('',(1.99999993241678,-0.887795343173768,2.625)); #51767=CARTESIAN_POINT('Origin',(2.03779520800733,-0.887795343173768,2.625)); #51768=CARTESIAN_POINT('',(1.99999993241678,-0.887795343173768,2.5)); #51769=CARTESIAN_POINT('Origin',(1.99999993241678,-0.962204791992667,2.5)); #51770=CARTESIAN_POINT('',(1.99999993241678,-0.962204791992667,2.5)); #51771=CARTESIAN_POINT('',(1.99999993241678,-0.962204791992667,2.5)); #51772=CARTESIAN_POINT('',(1.99999993241678,-0.962204791992667,2.625)); #51773=CARTESIAN_POINT('',(1.99999993241678,-0.962204791992667,2.625)); #51774=CARTESIAN_POINT('',(1.99999993241678,-0.962204791992667,2.5)); #51775=CARTESIAN_POINT('Origin',(2.03779520800733,-0.962204791992667,2.5)); #51776=CARTESIAN_POINT('Origin',(2.03779520800733,-0.962204791992667,2.5)); #51777=CARTESIAN_POINT('Origin',(2.03779520800733,-0.962204791992667,2.625)); #51778=CARTESIAN_POINT('Origin',(4.46220465682623,-2.00000006758322,2.5)); #51779=CARTESIAN_POINT('',(4.46220465682623,-2.00000006758322,2.5)); #51780=CARTESIAN_POINT('',(2.03779520800733,-2.00000006758322,2.5)); #51781=CARTESIAN_POINT('',(4.46220465682623,-2.00000006758322,2.5)); #51782=CARTESIAN_POINT('',(2.03779520800733,-2.00000006758322,2.625)); #51783=CARTESIAN_POINT('',(2.03779520800733,-2.00000006758322,2.5)); #51784=CARTESIAN_POINT('',(4.46220465682623,-2.00000006758322,2.625)); #51785=CARTESIAN_POINT('',(4.46220465682623,-2.00000006758322,2.625)); #51786=CARTESIAN_POINT('',(4.46220465682623,-2.00000006758322,2.5)); #51787=CARTESIAN_POINT('Origin',(4.46220465682623,-1.96220479199267,2.5)); #51788=CARTESIAN_POINT('',(4.49999993241678,-1.96220479199267,2.5)); #51789=CARTESIAN_POINT('Origin',(4.46220465682623,-1.96220479199267,2.5)); #51790=CARTESIAN_POINT('',(4.49999993241678,-1.96220479199267,2.625)); #51791=CARTESIAN_POINT('Origin',(4.46220465682623,-1.96220479199267,2.625)); #51792=CARTESIAN_POINT('',(4.49999993241678,-1.96220479199267,2.5)); #51793=CARTESIAN_POINT('Origin',(4.49999993241678,-1.88779534317377,2.5)); #51794=CARTESIAN_POINT('',(4.49999993241678,-1.88779534317377,2.5)); #51795=CARTESIAN_POINT('',(4.49999993241678,-1.88779534317377,2.5)); #51796=CARTESIAN_POINT('',(4.49999993241678,-1.88779534317377,2.625)); #51797=CARTESIAN_POINT('',(4.49999993241678,-1.88779534317377,2.625)); #51798=CARTESIAN_POINT('',(4.49999993241678,-1.88779534317377,2.5)); #51799=CARTESIAN_POINT('Origin',(4.46220465682623,-1.88779534317377,2.5)); #51800=CARTESIAN_POINT('',(4.46220465682623,-1.85000006758322,2.5)); #51801=CARTESIAN_POINT('Origin',(4.46220465682623,-1.88779534317377,2.5)); #51802=CARTESIAN_POINT('',(4.46220465682623,-1.85000006758322,2.625)); #51803=CARTESIAN_POINT('Origin',(4.46220465682623,-1.88779534317377,2.625)); #51804=CARTESIAN_POINT('',(4.46220465682623,-1.85000006758322,2.5)); #51805=CARTESIAN_POINT('Origin',(2.03779520800733,-1.85000006758322,2.5)); #51806=CARTESIAN_POINT('',(2.03779520800733,-1.85000006758322,2.5)); #51807=CARTESIAN_POINT('',(2.03779520800733,-1.85000006758322,2.5)); #51808=CARTESIAN_POINT('',(2.03779520800733,-1.85000006758322,2.625)); #51809=CARTESIAN_POINT('',(2.03779520800733,-1.85000006758322,2.625)); #51810=CARTESIAN_POINT('',(2.03779520800733,-1.85000006758322,2.5)); #51811=CARTESIAN_POINT('Origin',(2.03779520800733,-1.88779534317377,2.5)); #51812=CARTESIAN_POINT('',(1.99999993241678,-1.88779534317377,2.5)); #51813=CARTESIAN_POINT('Origin',(2.03779520800733,-1.88779534317377,2.5)); #51814=CARTESIAN_POINT('',(1.99999993241678,-1.88779534317377,2.625)); #51815=CARTESIAN_POINT('Origin',(2.03779520800733,-1.88779534317377,2.625)); #51816=CARTESIAN_POINT('',(1.99999993241678,-1.88779534317377,2.5)); #51817=CARTESIAN_POINT('Origin',(1.99999993241678,-1.96220479199267,2.5)); #51818=CARTESIAN_POINT('',(1.99999993241678,-1.96220479199267,2.5)); #51819=CARTESIAN_POINT('',(1.99999993241678,-1.96220479199267,2.5)); #51820=CARTESIAN_POINT('',(1.99999993241678,-1.96220479199267,2.625)); #51821=CARTESIAN_POINT('',(1.99999993241678,-1.96220479199267,2.625)); #51822=CARTESIAN_POINT('',(1.99999993241678,-1.96220479199267,2.5)); #51823=CARTESIAN_POINT('Origin',(2.03779520800733,-1.96220479199267,2.5)); #51824=CARTESIAN_POINT('Origin',(2.03779520800733,-1.96220479199267,2.5)); #51825=CARTESIAN_POINT('Origin',(2.03779520800733,-1.96220479199267,2.625)); #51826=CARTESIAN_POINT('Origin',(4.46220465682623,-4.50000006758322,2.5)); #51827=CARTESIAN_POINT('',(4.46220465682623,-4.50000006758322,2.5)); #51828=CARTESIAN_POINT('',(2.03779520800733,-4.50000006758322,2.5)); #51829=CARTESIAN_POINT('',(4.46220465682623,-4.50000006758322,2.5)); #51830=CARTESIAN_POINT('',(2.03779520800733,-4.50000006758322,2.625)); #51831=CARTESIAN_POINT('',(2.03779520800733,-4.50000006758322,2.5)); #51832=CARTESIAN_POINT('',(4.46220465682623,-4.50000006758322,2.625)); #51833=CARTESIAN_POINT('',(4.46220465682623,-4.50000006758322,2.625)); #51834=CARTESIAN_POINT('',(4.46220465682623,-4.50000006758322,2.5)); #51835=CARTESIAN_POINT('Origin',(4.46220465682623,-4.46220479199267,2.5)); #51836=CARTESIAN_POINT('',(4.49999993241678,-4.46220479199267,2.5)); #51837=CARTESIAN_POINT('Origin',(4.46220465682623,-4.46220479199267,2.5)); #51838=CARTESIAN_POINT('',(4.49999993241678,-4.46220479199267,2.625)); #51839=CARTESIAN_POINT('Origin',(4.46220465682623,-4.46220479199267,2.625)); #51840=CARTESIAN_POINT('',(4.49999993241678,-4.46220479199267,2.5)); #51841=CARTESIAN_POINT('Origin',(4.49999993241678,-4.38779534317377,2.5)); #51842=CARTESIAN_POINT('',(4.49999993241678,-4.38779534317377,2.5)); #51843=CARTESIAN_POINT('',(4.49999993241678,-4.38779534317377,2.5)); #51844=CARTESIAN_POINT('',(4.49999993241678,-4.38779534317377,2.625)); #51845=CARTESIAN_POINT('',(4.49999993241678,-4.38779534317377,2.625)); #51846=CARTESIAN_POINT('',(4.49999993241678,-4.38779534317377,2.5)); #51847=CARTESIAN_POINT('Origin',(4.46220465682623,-4.38779534317377,2.5)); #51848=CARTESIAN_POINT('',(4.46220465682623,-4.35000006758322,2.5)); #51849=CARTESIAN_POINT('Origin',(4.46220465682623,-4.38779534317377,2.5)); #51850=CARTESIAN_POINT('',(4.46220465682623,-4.35000006758322,2.625)); #51851=CARTESIAN_POINT('Origin',(4.46220465682623,-4.38779534317377,2.625)); #51852=CARTESIAN_POINT('',(4.46220465682623,-4.35000006758322,2.5)); #51853=CARTESIAN_POINT('Origin',(2.03779520800733,-4.35000006758322,2.5)); #51854=CARTESIAN_POINT('',(2.03779520800733,-4.35000006758322,2.5)); #51855=CARTESIAN_POINT('',(2.03779520800733,-4.35000006758322,2.5)); #51856=CARTESIAN_POINT('',(2.03779520800733,-4.35000006758322,2.625)); #51857=CARTESIAN_POINT('',(2.03779520800733,-4.35000006758322,2.625)); #51858=CARTESIAN_POINT('',(2.03779520800733,-4.35000006758322,2.5)); #51859=CARTESIAN_POINT('Origin',(2.03779520800733,-4.38779534317377,2.5)); #51860=CARTESIAN_POINT('',(1.99999993241678,-4.38779534317377,2.5)); #51861=CARTESIAN_POINT('Origin',(2.03779520800733,-4.38779534317377,2.5)); #51862=CARTESIAN_POINT('',(1.99999993241678,-4.38779534317377,2.625)); #51863=CARTESIAN_POINT('Origin',(2.03779520800733,-4.38779534317377,2.625)); #51864=CARTESIAN_POINT('',(1.99999993241678,-4.38779534317377,2.5)); #51865=CARTESIAN_POINT('Origin',(1.99999993241678,-4.46220479199267,2.5)); #51866=CARTESIAN_POINT('',(1.99999993241678,-4.46220479199267,2.5)); #51867=CARTESIAN_POINT('',(1.99999993241678,-4.46220479199267,2.5)); #51868=CARTESIAN_POINT('',(1.99999993241678,-4.46220479199267,2.625)); #51869=CARTESIAN_POINT('',(1.99999993241678,-4.46220479199267,2.625)); #51870=CARTESIAN_POINT('',(1.99999993241678,-4.46220479199267,2.5)); #51871=CARTESIAN_POINT('Origin',(2.03779520800733,-4.46220479199267,2.5)); #51872=CARTESIAN_POINT('Origin',(2.03779520800733,-4.46220479199267,2.5)); #51873=CARTESIAN_POINT('Origin',(2.03779520800733,-4.46220479199267,2.625)); #51874=CARTESIAN_POINT('Origin',(4.46220465682623,-2.50000006758322,2.5)); #51875=CARTESIAN_POINT('',(4.46220465682623,-2.50000006758322,2.5)); #51876=CARTESIAN_POINT('',(2.03779520800733,-2.50000006758322,2.5)); #51877=CARTESIAN_POINT('',(4.46220465682623,-2.50000006758322,2.5)); #51878=CARTESIAN_POINT('',(2.03779520800733,-2.50000006758322,2.625)); #51879=CARTESIAN_POINT('',(2.03779520800733,-2.50000006758322,2.5)); #51880=CARTESIAN_POINT('',(4.46220465682623,-2.50000006758322,2.625)); #51881=CARTESIAN_POINT('',(4.46220465682623,-2.50000006758322,2.625)); #51882=CARTESIAN_POINT('',(4.46220465682623,-2.50000006758322,2.5)); #51883=CARTESIAN_POINT('Origin',(4.46220465682623,-2.46220479199267,2.5)); #51884=CARTESIAN_POINT('',(4.49999993241678,-2.46220479199267,2.5)); #51885=CARTESIAN_POINT('Origin',(4.46220465682623,-2.46220479199267,2.5)); #51886=CARTESIAN_POINT('',(4.49999993241678,-2.46220479199267,2.625)); #51887=CARTESIAN_POINT('Origin',(4.46220465682623,-2.46220479199267,2.625)); #51888=CARTESIAN_POINT('',(4.49999993241678,-2.46220479199267,2.5)); #51889=CARTESIAN_POINT('Origin',(4.49999993241678,-2.38779534317377,2.5)); #51890=CARTESIAN_POINT('',(4.49999993241678,-2.38779534317377,2.5)); #51891=CARTESIAN_POINT('',(4.49999993241678,-2.38779534317377,2.5)); #51892=CARTESIAN_POINT('',(4.49999993241678,-2.38779534317377,2.625)); #51893=CARTESIAN_POINT('',(4.49999993241678,-2.38779534317377,2.625)); #51894=CARTESIAN_POINT('',(4.49999993241678,-2.38779534317377,2.5)); #51895=CARTESIAN_POINT('Origin',(4.46220465682623,-2.38779534317377,2.5)); #51896=CARTESIAN_POINT('',(4.46220465682623,-2.35000006758322,2.5)); #51897=CARTESIAN_POINT('Origin',(4.46220465682623,-2.38779534317377,2.5)); #51898=CARTESIAN_POINT('',(4.46220465682623,-2.35000006758322,2.625)); #51899=CARTESIAN_POINT('Origin',(4.46220465682623,-2.38779534317377,2.625)); #51900=CARTESIAN_POINT('',(4.46220465682623,-2.35000006758322,2.5)); #51901=CARTESIAN_POINT('Origin',(2.03779520800733,-2.35000006758322,2.5)); #51902=CARTESIAN_POINT('',(2.03779520800733,-2.35000006758322,2.5)); #51903=CARTESIAN_POINT('',(2.03779520800733,-2.35000006758322,2.5)); #51904=CARTESIAN_POINT('',(2.03779520800733,-2.35000006758322,2.625)); #51905=CARTESIAN_POINT('',(2.03779520800733,-2.35000006758322,2.625)); #51906=CARTESIAN_POINT('',(2.03779520800733,-2.35000006758322,2.5)); #51907=CARTESIAN_POINT('Origin',(2.03779520800733,-2.38779534317377,2.5)); #51908=CARTESIAN_POINT('',(1.99999993241678,-2.38779534317377,2.5)); #51909=CARTESIAN_POINT('Origin',(2.03779520800733,-2.38779534317377,2.5)); #51910=CARTESIAN_POINT('',(1.99999993241678,-2.38779534317377,2.625)); #51911=CARTESIAN_POINT('Origin',(2.03779520800733,-2.38779534317377,2.625)); #51912=CARTESIAN_POINT('',(1.99999993241678,-2.38779534317377,2.5)); #51913=CARTESIAN_POINT('Origin',(1.99999993241678,-2.46220479199267,2.5)); #51914=CARTESIAN_POINT('',(1.99999993241678,-2.46220479199267,2.5)); #51915=CARTESIAN_POINT('',(1.99999993241678,-2.46220479199267,2.5)); #51916=CARTESIAN_POINT('',(1.99999993241678,-2.46220479199267,2.625)); #51917=CARTESIAN_POINT('',(1.99999993241678,-2.46220479199267,2.625)); #51918=CARTESIAN_POINT('',(1.99999993241678,-2.46220479199267,2.5)); #51919=CARTESIAN_POINT('Origin',(2.03779520800733,-2.46220479199267,2.5)); #51920=CARTESIAN_POINT('Origin',(2.03779520800733,-2.46220479199267,2.5)); #51921=CARTESIAN_POINT('Origin',(2.03779520800733,-2.46220479199267,2.625)); #51922=CARTESIAN_POINT('Origin',(4.46220465682623,-3.00000006758322,2.5)); #51923=CARTESIAN_POINT('',(4.46220465682623,-3.00000006758322,2.5)); #51924=CARTESIAN_POINT('',(2.03779520800733,-3.00000006758322,2.5)); #51925=CARTESIAN_POINT('',(4.46220465682623,-3.00000006758322,2.5)); #51926=CARTESIAN_POINT('',(2.03779520800733,-3.00000006758322,2.625)); #51927=CARTESIAN_POINT('',(2.03779520800733,-3.00000006758322,2.5)); #51928=CARTESIAN_POINT('',(4.46220465682623,-3.00000006758322,2.625)); #51929=CARTESIAN_POINT('',(4.46220465682623,-3.00000006758322,2.625)); #51930=CARTESIAN_POINT('',(4.46220465682623,-3.00000006758322,2.5)); #51931=CARTESIAN_POINT('Origin',(4.46220465682623,-2.96220479199267,2.5)); #51932=CARTESIAN_POINT('',(4.49999993241678,-2.96220479199267,2.5)); #51933=CARTESIAN_POINT('Origin',(4.46220465682623,-2.96220479199267,2.5)); #51934=CARTESIAN_POINT('',(4.49999993241678,-2.96220479199267,2.625)); #51935=CARTESIAN_POINT('Origin',(4.46220465682623,-2.96220479199267,2.625)); #51936=CARTESIAN_POINT('',(4.49999993241678,-2.96220479199267,2.5)); #51937=CARTESIAN_POINT('Origin',(4.49999993241678,-2.88779534317377,2.5)); #51938=CARTESIAN_POINT('',(4.49999993241678,-2.88779534317377,2.5)); #51939=CARTESIAN_POINT('',(4.49999993241678,-2.88779534317377,2.5)); #51940=CARTESIAN_POINT('',(4.49999993241678,-2.88779534317377,2.625)); #51941=CARTESIAN_POINT('',(4.49999993241678,-2.88779534317377,2.625)); #51942=CARTESIAN_POINT('',(4.49999993241678,-2.88779534317377,2.5)); #51943=CARTESIAN_POINT('Origin',(4.46220465682623,-2.88779534317377,2.5)); #51944=CARTESIAN_POINT('',(4.46220465682623,-2.85000006758322,2.5)); #51945=CARTESIAN_POINT('Origin',(4.46220465682623,-2.88779534317377,2.5)); #51946=CARTESIAN_POINT('',(4.46220465682623,-2.85000006758322,2.625)); #51947=CARTESIAN_POINT('Origin',(4.46220465682623,-2.88779534317377,2.625)); #51948=CARTESIAN_POINT('',(4.46220465682623,-2.85000006758322,2.5)); #51949=CARTESIAN_POINT('Origin',(2.03779520800733,-2.85000006758322,2.5)); #51950=CARTESIAN_POINT('',(2.03779520800733,-2.85000006758322,2.5)); #51951=CARTESIAN_POINT('',(2.03779520800733,-2.85000006758322,2.5)); #51952=CARTESIAN_POINT('',(2.03779520800733,-2.85000006758322,2.625)); #51953=CARTESIAN_POINT('',(2.03779520800733,-2.85000006758322,2.625)); #51954=CARTESIAN_POINT('',(2.03779520800733,-2.85000006758322,2.5)); #51955=CARTESIAN_POINT('Origin',(2.03779520800733,-2.88779534317377,2.5)); #51956=CARTESIAN_POINT('',(1.99999993241678,-2.88779534317377,2.5)); #51957=CARTESIAN_POINT('Origin',(2.03779520800733,-2.88779534317377,2.5)); #51958=CARTESIAN_POINT('',(1.99999993241678,-2.88779534317377,2.625)); #51959=CARTESIAN_POINT('Origin',(2.03779520800733,-2.88779534317377,2.625)); #51960=CARTESIAN_POINT('',(1.99999993241678,-2.88779534317377,2.5)); #51961=CARTESIAN_POINT('Origin',(1.99999993241678,-2.96220479199267,2.5)); #51962=CARTESIAN_POINT('',(1.99999993241678,-2.96220479199267,2.5)); #51963=CARTESIAN_POINT('',(1.99999993241678,-2.96220479199267,2.5)); #51964=CARTESIAN_POINT('',(1.99999993241678,-2.96220479199267,2.625)); #51965=CARTESIAN_POINT('',(1.99999993241678,-2.96220479199267,2.625)); #51966=CARTESIAN_POINT('',(1.99999993241678,-2.96220479199267,2.5)); #51967=CARTESIAN_POINT('Origin',(2.03779520800733,-2.96220479199267,2.5)); #51968=CARTESIAN_POINT('Origin',(2.03779520800733,-2.96220479199267,2.5)); #51969=CARTESIAN_POINT('Origin',(2.03779520800733,-2.96220479199267,2.625)); #51970=CARTESIAN_POINT('Origin',(4.46220465682623,2.49999993241678,2.5)); #51971=CARTESIAN_POINT('',(4.46220465682623,2.49999993241678,2.5)); #51972=CARTESIAN_POINT('',(2.03779520800733,2.49999993241678,2.5)); #51973=CARTESIAN_POINT('',(4.46220465682623,2.49999993241678,2.5)); #51974=CARTESIAN_POINT('',(2.03779520800733,2.49999993241678,2.625)); #51975=CARTESIAN_POINT('',(2.03779520800733,2.49999993241678,2.5)); #51976=CARTESIAN_POINT('',(4.46220465682623,2.49999993241678,2.625)); #51977=CARTESIAN_POINT('',(4.46220465682623,2.49999993241678,2.625)); #51978=CARTESIAN_POINT('',(4.46220465682623,2.49999993241678,2.5)); #51979=CARTESIAN_POINT('Origin',(4.46220465682623,2.53779520800733,2.5)); #51980=CARTESIAN_POINT('',(4.49999993241678,2.53779520800733,2.5)); #51981=CARTESIAN_POINT('Origin',(4.46220465682623,2.53779520800733,2.5)); #51982=CARTESIAN_POINT('',(4.49999993241678,2.53779520800733,2.625)); #51983=CARTESIAN_POINT('Origin',(4.46220465682623,2.53779520800733,2.625)); #51984=CARTESIAN_POINT('',(4.49999993241678,2.53779520800733,2.5)); #51985=CARTESIAN_POINT('Origin',(4.49999993241678,2.61220465682623,2.5)); #51986=CARTESIAN_POINT('',(4.49999993241678,2.61220465682623,2.5)); #51987=CARTESIAN_POINT('',(4.49999993241678,2.61220465682623,2.5)); #51988=CARTESIAN_POINT('',(4.49999993241678,2.61220465682623,2.625)); #51989=CARTESIAN_POINT('',(4.49999993241678,2.61220465682623,2.625)); #51990=CARTESIAN_POINT('',(4.49999993241678,2.61220465682623,2.5)); #51991=CARTESIAN_POINT('Origin',(4.46220465682623,2.61220465682623,2.5)); #51992=CARTESIAN_POINT('',(4.46220465682623,2.64999993241678,2.5)); #51993=CARTESIAN_POINT('Origin',(4.46220465682623,2.61220465682623,2.5)); #51994=CARTESIAN_POINT('',(4.46220465682623,2.64999993241678,2.625)); #51995=CARTESIAN_POINT('Origin',(4.46220465682623,2.61220465682623,2.625)); #51996=CARTESIAN_POINT('',(4.46220465682623,2.64999993241678,2.5)); #51997=CARTESIAN_POINT('Origin',(2.03779520800733,2.64999993241678,2.5)); #51998=CARTESIAN_POINT('',(2.03779520800733,2.64999993241678,2.5)); #51999=CARTESIAN_POINT('',(2.03779520800733,2.64999993241678,2.5)); #52000=CARTESIAN_POINT('',(2.03779520800733,2.64999993241678,2.625)); #52001=CARTESIAN_POINT('',(2.03779520800733,2.64999993241678,2.625)); #52002=CARTESIAN_POINT('',(2.03779520800733,2.64999993241678,2.5)); #52003=CARTESIAN_POINT('Origin',(2.03779520800733,2.61220465682623,2.5)); #52004=CARTESIAN_POINT('',(1.99999993241678,2.61220465682623,2.5)); #52005=CARTESIAN_POINT('Origin',(2.03779520800733,2.61220465682623,2.5)); #52006=CARTESIAN_POINT('',(1.99999993241678,2.61220465682623,2.625)); #52007=CARTESIAN_POINT('Origin',(2.03779520800733,2.61220465682623,2.625)); #52008=CARTESIAN_POINT('',(1.99999993241678,2.61220465682623,2.5)); #52009=CARTESIAN_POINT('Origin',(1.99999993241678,2.53779520800733,2.5)); #52010=CARTESIAN_POINT('',(1.99999993241678,2.53779520800733,2.5)); #52011=CARTESIAN_POINT('',(1.99999993241678,2.53779520800733,2.5)); #52012=CARTESIAN_POINT('',(1.99999993241678,2.53779520800733,2.625)); #52013=CARTESIAN_POINT('',(1.99999993241678,2.53779520800733,2.625)); #52014=CARTESIAN_POINT('',(1.99999993241678,2.53779520800733,2.5)); #52015=CARTESIAN_POINT('Origin',(2.03779520800733,2.53779520800733,2.5)); #52016=CARTESIAN_POINT('Origin',(2.03779520800733,2.53779520800733,2.5)); #52017=CARTESIAN_POINT('Origin',(2.03779520800733,2.53779520800733,2.625)); #52018=CARTESIAN_POINT('Origin',(4.46220465682623,3.99999993241678,2.5)); #52019=CARTESIAN_POINT('',(4.46220465682623,3.99999993241678,2.5)); #52020=CARTESIAN_POINT('',(2.03779520800733,3.99999993241678,2.5)); #52021=CARTESIAN_POINT('',(4.46220465682623,3.99999993241678,2.5)); #52022=CARTESIAN_POINT('',(2.03779520800733,3.99999993241678,2.625)); #52023=CARTESIAN_POINT('',(2.03779520800733,3.99999993241678,2.5)); #52024=CARTESIAN_POINT('',(4.46220465682623,3.99999993241678,2.625)); #52025=CARTESIAN_POINT('',(4.46220465682623,3.99999993241678,2.625)); #52026=CARTESIAN_POINT('',(4.46220465682623,3.99999993241678,2.5)); #52027=CARTESIAN_POINT('Origin',(4.46220465682623,4.03779520800733,2.5)); #52028=CARTESIAN_POINT('',(4.49999993241678,4.03779520800733,2.5)); #52029=CARTESIAN_POINT('Origin',(4.46220465682623,4.03779520800733,2.5)); #52030=CARTESIAN_POINT('',(4.49999993241678,4.03779520800733,2.625)); #52031=CARTESIAN_POINT('Origin',(4.46220465682623,4.03779520800733,2.625)); #52032=CARTESIAN_POINT('',(4.49999993241678,4.03779520800733,2.5)); #52033=CARTESIAN_POINT('Origin',(4.49999993241678,4.11220465682623,2.5)); #52034=CARTESIAN_POINT('',(4.49999993241678,4.11220465682623,2.5)); #52035=CARTESIAN_POINT('',(4.49999993241678,4.11220465682623,2.5)); #52036=CARTESIAN_POINT('',(4.49999993241678,4.11220465682623,2.625)); #52037=CARTESIAN_POINT('',(4.49999993241678,4.11220465682623,2.625)); #52038=CARTESIAN_POINT('',(4.49999993241678,4.11220465682623,2.5)); #52039=CARTESIAN_POINT('Origin',(4.46220465682623,4.11220465682623,2.5)); #52040=CARTESIAN_POINT('',(4.46220465682623,4.14999993241678,2.5)); #52041=CARTESIAN_POINT('Origin',(4.46220465682623,4.11220465682623,2.5)); #52042=CARTESIAN_POINT('',(4.46220465682623,4.14999993241678,2.625)); #52043=CARTESIAN_POINT('Origin',(4.46220465682623,4.11220465682623,2.625)); #52044=CARTESIAN_POINT('',(4.46220465682623,4.14999993241678,2.5)); #52045=CARTESIAN_POINT('Origin',(2.03779520800733,4.14999993241678,2.5)); #52046=CARTESIAN_POINT('',(2.03779520800733,4.14999993241678,2.5)); #52047=CARTESIAN_POINT('',(2.03779520800733,4.14999993241678,2.5)); #52048=CARTESIAN_POINT('',(2.03779520800733,4.14999993241678,2.625)); #52049=CARTESIAN_POINT('',(2.03779520800733,4.14999993241678,2.625)); #52050=CARTESIAN_POINT('',(2.03779520800733,4.14999993241678,2.5)); #52051=CARTESIAN_POINT('Origin',(2.03779520800733,4.11220465682623,2.5)); #52052=CARTESIAN_POINT('',(1.99999993241678,4.11220465682623,2.5)); #52053=CARTESIAN_POINT('Origin',(2.03779520800733,4.11220465682623,2.5)); #52054=CARTESIAN_POINT('',(1.99999993241678,4.11220465682623,2.625)); #52055=CARTESIAN_POINT('Origin',(2.03779520800733,4.11220465682623,2.625)); #52056=CARTESIAN_POINT('',(1.99999993241678,4.11220465682623,2.5)); #52057=CARTESIAN_POINT('Origin',(1.99999993241678,4.03779520800733,2.5)); #52058=CARTESIAN_POINT('',(1.99999993241678,4.03779520800733,2.5)); #52059=CARTESIAN_POINT('',(1.99999993241678,4.03779520800733,2.5)); #52060=CARTESIAN_POINT('',(1.99999993241678,4.03779520800733,2.625)); #52061=CARTESIAN_POINT('',(1.99999993241678,4.03779520800733,2.625)); #52062=CARTESIAN_POINT('',(1.99999993241678,4.03779520800733,2.5)); #52063=CARTESIAN_POINT('Origin',(2.03779520800733,4.03779520800733,2.5)); #52064=CARTESIAN_POINT('Origin',(2.03779520800733,4.03779520800733,2.5)); #52065=CARTESIAN_POINT('Origin',(2.03779520800733,4.03779520800733,2.625)); #52066=CARTESIAN_POINT('Origin',(4.46220465682623,-4.00000006758322,2.5)); #52067=CARTESIAN_POINT('',(4.46220465682623,-4.00000006758322,2.5)); #52068=CARTESIAN_POINT('',(2.03779520800733,-4.00000006758322,2.5)); #52069=CARTESIAN_POINT('',(4.46220465682623,-4.00000006758322,2.5)); #52070=CARTESIAN_POINT('',(2.03779520800733,-4.00000006758322,2.625)); #52071=CARTESIAN_POINT('',(2.03779520800733,-4.00000006758322,2.5)); #52072=CARTESIAN_POINT('',(4.46220465682623,-4.00000006758322,2.625)); #52073=CARTESIAN_POINT('',(4.46220465682623,-4.00000006758322,2.625)); #52074=CARTESIAN_POINT('',(4.46220465682623,-4.00000006758322,2.5)); #52075=CARTESIAN_POINT('Origin',(4.46220465682623,-3.96220479199267,2.5)); #52076=CARTESIAN_POINT('',(4.49999993241678,-3.96220479199267,2.5)); #52077=CARTESIAN_POINT('Origin',(4.46220465682623,-3.96220479199267,2.5)); #52078=CARTESIAN_POINT('',(4.49999993241678,-3.96220479199267,2.625)); #52079=CARTESIAN_POINT('Origin',(4.46220465682623,-3.96220479199267,2.625)); #52080=CARTESIAN_POINT('',(4.49999993241678,-3.96220479199267,2.5)); #52081=CARTESIAN_POINT('Origin',(4.49999993241678,-3.88779534317377,2.5)); #52082=CARTESIAN_POINT('',(4.49999993241678,-3.88779534317377,2.5)); #52083=CARTESIAN_POINT('',(4.49999993241678,-3.88779534317377,2.5)); #52084=CARTESIAN_POINT('',(4.49999993241678,-3.88779534317377,2.625)); #52085=CARTESIAN_POINT('',(4.49999993241678,-3.88779534317377,2.625)); #52086=CARTESIAN_POINT('',(4.49999993241678,-3.88779534317377,2.5)); #52087=CARTESIAN_POINT('Origin',(4.46220465682623,-3.88779534317377,2.5)); #52088=CARTESIAN_POINT('',(4.46220465682623,-3.85000006758322,2.5)); #52089=CARTESIAN_POINT('Origin',(4.46220465682623,-3.88779534317377,2.5)); #52090=CARTESIAN_POINT('',(4.46220465682623,-3.85000006758322,2.625)); #52091=CARTESIAN_POINT('Origin',(4.46220465682623,-3.88779534317377,2.625)); #52092=CARTESIAN_POINT('',(4.46220465682623,-3.85000006758322,2.5)); #52093=CARTESIAN_POINT('Origin',(2.03779520800733,-3.85000006758322,2.5)); #52094=CARTESIAN_POINT('',(2.03779520800733,-3.85000006758322,2.5)); #52095=CARTESIAN_POINT('',(2.03779520800733,-3.85000006758322,2.5)); #52096=CARTESIAN_POINT('',(2.03779520800733,-3.85000006758322,2.625)); #52097=CARTESIAN_POINT('',(2.03779520800733,-3.85000006758322,2.625)); #52098=CARTESIAN_POINT('',(2.03779520800733,-3.85000006758322,2.5)); #52099=CARTESIAN_POINT('Origin',(2.03779520800733,-3.88779534317377,2.5)); #52100=CARTESIAN_POINT('',(1.99999993241678,-3.88779534317377,2.5)); #52101=CARTESIAN_POINT('Origin',(2.03779520800733,-3.88779534317377,2.5)); #52102=CARTESIAN_POINT('',(1.99999993241678,-3.88779534317377,2.625)); #52103=CARTESIAN_POINT('Origin',(2.03779520800733,-3.88779534317377,2.625)); #52104=CARTESIAN_POINT('',(1.99999993241678,-3.88779534317377,2.5)); #52105=CARTESIAN_POINT('Origin',(1.99999993241678,-3.96220479199267,2.5)); #52106=CARTESIAN_POINT('',(1.99999993241678,-3.96220479199267,2.5)); #52107=CARTESIAN_POINT('',(1.99999993241678,-3.96220479199267,2.5)); #52108=CARTESIAN_POINT('',(1.99999993241678,-3.96220479199267,2.625)); #52109=CARTESIAN_POINT('',(1.99999993241678,-3.96220479199267,2.625)); #52110=CARTESIAN_POINT('',(1.99999993241678,-3.96220479199267,2.5)); #52111=CARTESIAN_POINT('Origin',(2.03779520800733,-3.96220479199267,2.5)); #52112=CARTESIAN_POINT('Origin',(2.03779520800733,-3.96220479199267,2.5)); #52113=CARTESIAN_POINT('Origin',(2.03779520800733,-3.96220479199267,2.625)); #52114=CARTESIAN_POINT('Origin',(4.46220465682623,-3.50000006758322,2.5)); #52115=CARTESIAN_POINT('',(4.46220465682623,-3.50000006758322,2.5)); #52116=CARTESIAN_POINT('',(2.03779520800733,-3.50000006758322,2.5)); #52117=CARTESIAN_POINT('',(4.46220465682623,-3.50000006758322,2.5)); #52118=CARTESIAN_POINT('',(2.03779520800733,-3.50000006758322,2.625)); #52119=CARTESIAN_POINT('',(2.03779520800733,-3.50000006758322,2.5)); #52120=CARTESIAN_POINT('',(4.46220465682623,-3.50000006758322,2.625)); #52121=CARTESIAN_POINT('',(4.46220465682623,-3.50000006758322,2.625)); #52122=CARTESIAN_POINT('',(4.46220465682623,-3.50000006758322,2.5)); #52123=CARTESIAN_POINT('Origin',(4.46220465682623,-3.46220479199267,2.5)); #52124=CARTESIAN_POINT('',(4.49999993241678,-3.46220479199267,2.5)); #52125=CARTESIAN_POINT('Origin',(4.46220465682623,-3.46220479199267,2.5)); #52126=CARTESIAN_POINT('',(4.49999993241678,-3.46220479199267,2.625)); #52127=CARTESIAN_POINT('Origin',(4.46220465682623,-3.46220479199267,2.625)); #52128=CARTESIAN_POINT('',(4.49999993241678,-3.46220479199267,2.5)); #52129=CARTESIAN_POINT('Origin',(4.49999993241678,-3.38779534317377,2.5)); #52130=CARTESIAN_POINT('',(4.49999993241678,-3.38779534317377,2.5)); #52131=CARTESIAN_POINT('',(4.49999993241678,-3.38779534317377,2.5)); #52132=CARTESIAN_POINT('',(4.49999993241678,-3.38779534317377,2.625)); #52133=CARTESIAN_POINT('',(4.49999993241678,-3.38779534317377,2.625)); #52134=CARTESIAN_POINT('',(4.49999993241678,-3.38779534317377,2.5)); #52135=CARTESIAN_POINT('Origin',(4.46220465682623,-3.38779534317377,2.5)); #52136=CARTESIAN_POINT('',(4.46220465682623,-3.35000006758322,2.5)); #52137=CARTESIAN_POINT('Origin',(4.46220465682623,-3.38779534317377,2.5)); #52138=CARTESIAN_POINT('',(4.46220465682623,-3.35000006758322,2.625)); #52139=CARTESIAN_POINT('Origin',(4.46220465682623,-3.38779534317377,2.625)); #52140=CARTESIAN_POINT('',(4.46220465682623,-3.35000006758322,2.5)); #52141=CARTESIAN_POINT('Origin',(2.03779520800733,-3.35000006758322,2.5)); #52142=CARTESIAN_POINT('',(2.03779520800733,-3.35000006758322,2.5)); #52143=CARTESIAN_POINT('',(2.03779520800733,-3.35000006758322,2.5)); #52144=CARTESIAN_POINT('',(2.03779520800733,-3.35000006758322,2.625)); #52145=CARTESIAN_POINT('',(2.03779520800733,-3.35000006758322,2.625)); #52146=CARTESIAN_POINT('',(2.03779520800733,-3.35000006758322,2.5)); #52147=CARTESIAN_POINT('Origin',(2.03779520800733,-3.38779534317377,2.5)); #52148=CARTESIAN_POINT('',(1.99999993241678,-3.38779534317377,2.5)); #52149=CARTESIAN_POINT('Origin',(2.03779520800733,-3.38779534317377,2.5)); #52150=CARTESIAN_POINT('',(1.99999993241678,-3.38779534317377,2.625)); #52151=CARTESIAN_POINT('Origin',(2.03779520800733,-3.38779534317377,2.625)); #52152=CARTESIAN_POINT('',(1.99999993241678,-3.38779534317377,2.5)); #52153=CARTESIAN_POINT('Origin',(1.99999993241678,-3.46220479199267,2.5)); #52154=CARTESIAN_POINT('',(1.99999993241678,-3.46220479199267,2.5)); #52155=CARTESIAN_POINT('',(1.99999993241678,-3.46220479199267,2.5)); #52156=CARTESIAN_POINT('',(1.99999993241678,-3.46220479199267,2.625)); #52157=CARTESIAN_POINT('',(1.99999993241678,-3.46220479199267,2.625)); #52158=CARTESIAN_POINT('',(1.99999993241678,-3.46220479199267,2.5)); #52159=CARTESIAN_POINT('Origin',(2.03779520800733,-3.46220479199267,2.5)); #52160=CARTESIAN_POINT('Origin',(2.03779520800733,-3.46220479199267,2.5)); #52161=CARTESIAN_POINT('Origin',(2.03779520800733,-3.46220479199267,2.625)); #52162=CARTESIAN_POINT('Origin',(4.46220465682623,2.99999993241678,2.5)); #52163=CARTESIAN_POINT('',(4.46220465682623,2.99999993241678,2.5)); #52164=CARTESIAN_POINT('',(2.03779520800733,2.99999993241678,2.5)); #52165=CARTESIAN_POINT('',(4.46220465682623,2.99999993241678,2.5)); #52166=CARTESIAN_POINT('',(2.03779520800733,2.99999993241678,2.625)); #52167=CARTESIAN_POINT('',(2.03779520800733,2.99999993241678,2.5)); #52168=CARTESIAN_POINT('',(4.46220465682623,2.99999993241678,2.625)); #52169=CARTESIAN_POINT('',(4.46220465682623,2.99999993241678,2.625)); #52170=CARTESIAN_POINT('',(4.46220465682623,2.99999993241678,2.5)); #52171=CARTESIAN_POINT('Origin',(4.46220465682623,3.03779520800733,2.5)); #52172=CARTESIAN_POINT('',(4.49999993241678,3.03779520800733,2.5)); #52173=CARTESIAN_POINT('Origin',(4.46220465682623,3.03779520800733,2.5)); #52174=CARTESIAN_POINT('',(4.49999993241678,3.03779520800733,2.625)); #52175=CARTESIAN_POINT('Origin',(4.46220465682623,3.03779520800733,2.625)); #52176=CARTESIAN_POINT('',(4.49999993241678,3.03779520800733,2.5)); #52177=CARTESIAN_POINT('Origin',(4.49999993241678,3.11220465682623,2.5)); #52178=CARTESIAN_POINT('',(4.49999993241678,3.11220465682623,2.5)); #52179=CARTESIAN_POINT('',(4.49999993241678,3.11220465682623,2.5)); #52180=CARTESIAN_POINT('',(4.49999993241678,3.11220465682623,2.625)); #52181=CARTESIAN_POINT('',(4.49999993241678,3.11220465682623,2.625)); #52182=CARTESIAN_POINT('',(4.49999993241678,3.11220465682623,2.5)); #52183=CARTESIAN_POINT('Origin',(4.46220465682623,3.11220465682623,2.5)); #52184=CARTESIAN_POINT('',(4.46220465682623,3.14999993241678,2.5)); #52185=CARTESIAN_POINT('Origin',(4.46220465682623,3.11220465682623,2.5)); #52186=CARTESIAN_POINT('',(4.46220465682623,3.14999993241678,2.625)); #52187=CARTESIAN_POINT('Origin',(4.46220465682623,3.11220465682623,2.625)); #52188=CARTESIAN_POINT('',(4.46220465682623,3.14999993241678,2.5)); #52189=CARTESIAN_POINT('Origin',(2.03779520800733,3.14999993241678,2.5)); #52190=CARTESIAN_POINT('',(2.03779520800733,3.14999993241678,2.5)); #52191=CARTESIAN_POINT('',(2.03779520800733,3.14999993241678,2.5)); #52192=CARTESIAN_POINT('',(2.03779520800733,3.14999993241678,2.625)); #52193=CARTESIAN_POINT('',(2.03779520800733,3.14999993241678,2.625)); #52194=CARTESIAN_POINT('',(2.03779520800733,3.14999993241678,2.5)); #52195=CARTESIAN_POINT('Origin',(2.03779520800733,3.11220465682623,2.5)); #52196=CARTESIAN_POINT('',(1.99999993241678,3.11220465682623,2.5)); #52197=CARTESIAN_POINT('Origin',(2.03779520800733,3.11220465682623,2.5)); #52198=CARTESIAN_POINT('',(1.99999993241678,3.11220465682623,2.625)); #52199=CARTESIAN_POINT('Origin',(2.03779520800733,3.11220465682623,2.625)); #52200=CARTESIAN_POINT('',(1.99999993241678,3.11220465682623,2.5)); #52201=CARTESIAN_POINT('Origin',(1.99999993241678,3.03779520800733,2.5)); #52202=CARTESIAN_POINT('',(1.99999993241678,3.03779520800733,2.5)); #52203=CARTESIAN_POINT('',(1.99999993241678,3.03779520800733,2.5)); #52204=CARTESIAN_POINT('',(1.99999993241678,3.03779520800733,2.625)); #52205=CARTESIAN_POINT('',(1.99999993241678,3.03779520800733,2.625)); #52206=CARTESIAN_POINT('',(1.99999993241678,3.03779520800733,2.5)); #52207=CARTESIAN_POINT('Origin',(2.03779520800733,3.03779520800733,2.5)); #52208=CARTESIAN_POINT('Origin',(2.03779520800733,3.03779520800733,2.5)); #52209=CARTESIAN_POINT('Origin',(2.03779520800733,3.03779520800733,2.625)); #52210=CARTESIAN_POINT('Origin',(4.46220465682623,3.49999993241678,2.5)); #52211=CARTESIAN_POINT('',(4.46220465682623,3.49999993241678,2.5)); #52212=CARTESIAN_POINT('',(2.03779520800733,3.49999993241678,2.5)); #52213=CARTESIAN_POINT('',(4.46220465682623,3.49999993241678,2.5)); #52214=CARTESIAN_POINT('',(2.03779520800733,3.49999993241678,2.625)); #52215=CARTESIAN_POINT('',(2.03779520800733,3.49999993241678,2.5)); #52216=CARTESIAN_POINT('',(4.46220465682623,3.49999993241678,2.625)); #52217=CARTESIAN_POINT('',(4.46220465682623,3.49999993241678,2.625)); #52218=CARTESIAN_POINT('',(4.46220465682623,3.49999993241678,2.5)); #52219=CARTESIAN_POINT('Origin',(4.46220465682623,3.53779520800733,2.5)); #52220=CARTESIAN_POINT('',(4.49999993241678,3.53779520800733,2.5)); #52221=CARTESIAN_POINT('Origin',(4.46220465682623,3.53779520800733,2.5)); #52222=CARTESIAN_POINT('',(4.49999993241678,3.53779520800733,2.625)); #52223=CARTESIAN_POINT('Origin',(4.46220465682623,3.53779520800733,2.625)); #52224=CARTESIAN_POINT('',(4.49999993241678,3.53779520800733,2.5)); #52225=CARTESIAN_POINT('Origin',(4.49999993241678,3.61220465682623,2.5)); #52226=CARTESIAN_POINT('',(4.49999993241678,3.61220465682623,2.5)); #52227=CARTESIAN_POINT('',(4.49999993241678,3.61220465682623,2.5)); #52228=CARTESIAN_POINT('',(4.49999993241678,3.61220465682623,2.625)); #52229=CARTESIAN_POINT('',(4.49999993241678,3.61220465682623,2.625)); #52230=CARTESIAN_POINT('',(4.49999993241678,3.61220465682623,2.5)); #52231=CARTESIAN_POINT('Origin',(4.46220465682623,3.61220465682623,2.5)); #52232=CARTESIAN_POINT('',(4.46220465682623,3.64999993241678,2.5)); #52233=CARTESIAN_POINT('Origin',(4.46220465682623,3.61220465682623,2.5)); #52234=CARTESIAN_POINT('',(4.46220465682623,3.64999993241678,2.625)); #52235=CARTESIAN_POINT('Origin',(4.46220465682623,3.61220465682623,2.625)); #52236=CARTESIAN_POINT('',(4.46220465682623,3.64999993241678,2.5)); #52237=CARTESIAN_POINT('Origin',(2.03779520800733,3.64999993241678,2.5)); #52238=CARTESIAN_POINT('',(2.03779520800733,3.64999993241678,2.5)); #52239=CARTESIAN_POINT('',(2.03779520800733,3.64999993241678,2.5)); #52240=CARTESIAN_POINT('',(2.03779520800733,3.64999993241678,2.625)); #52241=CARTESIAN_POINT('',(2.03779520800733,3.64999993241678,2.625)); #52242=CARTESIAN_POINT('',(2.03779520800733,3.64999993241678,2.5)); #52243=CARTESIAN_POINT('Origin',(2.03779520800733,3.61220465682623,2.5)); #52244=CARTESIAN_POINT('',(1.99999993241678,3.61220465682623,2.5)); #52245=CARTESIAN_POINT('Origin',(2.03779520800733,3.61220465682623,2.5)); #52246=CARTESIAN_POINT('',(1.99999993241678,3.61220465682623,2.625)); #52247=CARTESIAN_POINT('Origin',(2.03779520800733,3.61220465682623,2.625)); #52248=CARTESIAN_POINT('',(1.99999993241678,3.61220465682623,2.5)); #52249=CARTESIAN_POINT('Origin',(1.99999993241678,3.53779520800733,2.5)); #52250=CARTESIAN_POINT('',(1.99999993241678,3.53779520800733,2.5)); #52251=CARTESIAN_POINT('',(1.99999993241678,3.53779520800733,2.5)); #52252=CARTESIAN_POINT('',(1.99999993241678,3.53779520800733,2.625)); #52253=CARTESIAN_POINT('',(1.99999993241678,3.53779520800733,2.625)); #52254=CARTESIAN_POINT('',(1.99999993241678,3.53779520800733,2.5)); #52255=CARTESIAN_POINT('Origin',(2.03779520800733,3.53779520800733,2.5)); #52256=CARTESIAN_POINT('Origin',(2.03779520800733,3.53779520800733,2.5)); #52257=CARTESIAN_POINT('Origin',(2.03779520800733,3.53779520800733,2.625)); #52258=CARTESIAN_POINT('Origin',(4.46220465682623,1.99999993241678,2.5)); #52259=CARTESIAN_POINT('',(4.46220465682623,1.99999993241678,2.5)); #52260=CARTESIAN_POINT('',(2.03779520800733,1.99999993241678,2.5)); #52261=CARTESIAN_POINT('',(4.46220465682623,1.99999993241678,2.5)); #52262=CARTESIAN_POINT('',(2.03779520800733,1.99999993241678,2.625)); #52263=CARTESIAN_POINT('',(2.03779520800733,1.99999993241678,2.5)); #52264=CARTESIAN_POINT('',(4.46220465682623,1.99999993241678,2.625)); #52265=CARTESIAN_POINT('',(4.46220465682623,1.99999993241678,2.625)); #52266=CARTESIAN_POINT('',(4.46220465682623,1.99999993241678,2.5)); #52267=CARTESIAN_POINT('Origin',(4.46220465682623,2.03779520800733,2.5)); #52268=CARTESIAN_POINT('',(4.49999993241678,2.03779520800733,2.5)); #52269=CARTESIAN_POINT('Origin',(4.46220465682623,2.03779520800733,2.5)); #52270=CARTESIAN_POINT('',(4.49999993241678,2.03779520800733,2.625)); #52271=CARTESIAN_POINT('Origin',(4.46220465682623,2.03779520800733,2.625)); #52272=CARTESIAN_POINT('',(4.49999993241678,2.03779520800733,2.5)); #52273=CARTESIAN_POINT('Origin',(4.49999993241678,2.11220465682623,2.5)); #52274=CARTESIAN_POINT('',(4.49999993241678,2.11220465682623,2.5)); #52275=CARTESIAN_POINT('',(4.49999993241678,2.11220465682623,2.5)); #52276=CARTESIAN_POINT('',(4.49999993241678,2.11220465682623,2.625)); #52277=CARTESIAN_POINT('',(4.49999993241678,2.11220465682623,2.625)); #52278=CARTESIAN_POINT('',(4.49999993241678,2.11220465682623,2.5)); #52279=CARTESIAN_POINT('Origin',(4.46220465682623,2.11220465682623,2.5)); #52280=CARTESIAN_POINT('',(4.46220465682623,2.14999993241678,2.5)); #52281=CARTESIAN_POINT('Origin',(4.46220465682623,2.11220465682623,2.5)); #52282=CARTESIAN_POINT('',(4.46220465682623,2.14999993241678,2.625)); #52283=CARTESIAN_POINT('Origin',(4.46220465682623,2.11220465682623,2.625)); #52284=CARTESIAN_POINT('',(4.46220465682623,2.14999993241678,2.5)); #52285=CARTESIAN_POINT('Origin',(2.03779520800733,2.14999993241678,2.5)); #52286=CARTESIAN_POINT('',(2.03779520800733,2.14999993241678,2.5)); #52287=CARTESIAN_POINT('',(2.03779520800733,2.14999993241678,2.5)); #52288=CARTESIAN_POINT('',(2.03779520800733,2.14999993241678,2.625)); #52289=CARTESIAN_POINT('',(2.03779520800733,2.14999993241678,2.625)); #52290=CARTESIAN_POINT('',(2.03779520800733,2.14999993241678,2.5)); #52291=CARTESIAN_POINT('Origin',(2.03779520800733,2.11220465682623,2.5)); #52292=CARTESIAN_POINT('',(1.99999993241678,2.11220465682623,2.5)); #52293=CARTESIAN_POINT('Origin',(2.03779520800733,2.11220465682623,2.5)); #52294=CARTESIAN_POINT('',(1.99999993241678,2.11220465682623,2.625)); #52295=CARTESIAN_POINT('Origin',(2.03779520800733,2.11220465682623,2.625)); #52296=CARTESIAN_POINT('',(1.99999993241678,2.11220465682623,2.5)); #52297=CARTESIAN_POINT('Origin',(1.99999993241678,2.03779520800733,2.5)); #52298=CARTESIAN_POINT('',(1.99999993241678,2.03779520800733,2.5)); #52299=CARTESIAN_POINT('',(1.99999993241678,2.03779520800733,2.5)); #52300=CARTESIAN_POINT('',(1.99999993241678,2.03779520800733,2.625)); #52301=CARTESIAN_POINT('',(1.99999993241678,2.03779520800733,2.625)); #52302=CARTESIAN_POINT('',(1.99999993241678,2.03779520800733,2.5)); #52303=CARTESIAN_POINT('Origin',(2.03779520800733,2.03779520800733,2.5)); #52304=CARTESIAN_POINT('Origin',(2.03779520800733,2.03779520800733,2.5)); #52305=CARTESIAN_POINT('Origin',(2.03779520800733,2.03779520800733,2.625)); #52306=CARTESIAN_POINT('Origin',(4.46220465682623,-0.500000067583218,2.5)); #52307=CARTESIAN_POINT('',(4.46220465682623,-0.500000067583218,2.5)); #52308=CARTESIAN_POINT('',(2.03779520800733,-0.500000067583218,2.5)); #52309=CARTESIAN_POINT('',(4.46220465682623,-0.500000067583218,2.5)); #52310=CARTESIAN_POINT('',(2.03779520800733,-0.500000067583218,2.625)); #52311=CARTESIAN_POINT('',(2.03779520800733,-0.500000067583218,2.5)); #52312=CARTESIAN_POINT('',(4.46220465682623,-0.500000067583218,2.625)); #52313=CARTESIAN_POINT('',(4.46220465682623,-0.500000067583218,2.625)); #52314=CARTESIAN_POINT('',(4.46220465682623,-0.500000067583218,2.5)); #52315=CARTESIAN_POINT('Origin',(4.46220465682623,-0.462204791992668,2.5)); #52316=CARTESIAN_POINT('',(4.49999993241678,-0.462204791992668,2.5)); #52317=CARTESIAN_POINT('Origin',(4.46220465682623,-0.462204791992668,2.5)); #52318=CARTESIAN_POINT('',(4.49999993241678,-0.462204791992668,2.625)); #52319=CARTESIAN_POINT('Origin',(4.46220465682623,-0.462204791992668,2.625)); #52320=CARTESIAN_POINT('',(4.49999993241678,-0.462204791992668,2.5)); #52321=CARTESIAN_POINT('Origin',(4.49999993241678,-0.387795343173767,2.5)); #52322=CARTESIAN_POINT('',(4.49999993241678,-0.387795343173767,2.5)); #52323=CARTESIAN_POINT('',(4.49999993241678,-0.387795343173767,2.5)); #52324=CARTESIAN_POINT('',(4.49999993241678,-0.387795343173767,2.625)); #52325=CARTESIAN_POINT('',(4.49999993241678,-0.387795343173767,2.625)); #52326=CARTESIAN_POINT('',(4.49999993241678,-0.387795343173767,2.5)); #52327=CARTESIAN_POINT('Origin',(4.46220465682623,-0.387795343173767,2.5)); #52328=CARTESIAN_POINT('',(4.46220465682623,-0.350000067583218,2.5)); #52329=CARTESIAN_POINT('Origin',(4.46220465682623,-0.387795343173767,2.5)); #52330=CARTESIAN_POINT('',(4.46220465682623,-0.350000067583218,2.625)); #52331=CARTESIAN_POINT('Origin',(4.46220465682623,-0.387795343173767,2.625)); #52332=CARTESIAN_POINT('',(4.46220465682623,-0.350000067583218,2.5)); #52333=CARTESIAN_POINT('Origin',(2.03779520800733,-0.350000067583218,2.5)); #52334=CARTESIAN_POINT('',(2.03779520800733,-0.350000067583218,2.5)); #52335=CARTESIAN_POINT('',(2.03779520800733,-0.350000067583218,2.5)); #52336=CARTESIAN_POINT('',(2.03779520800733,-0.350000067583218,2.625)); #52337=CARTESIAN_POINT('',(2.03779520800733,-0.350000067583218,2.625)); #52338=CARTESIAN_POINT('',(2.03779520800733,-0.350000067583218,2.5)); #52339=CARTESIAN_POINT('Origin',(2.03779520800733,-0.387795343173768,2.5)); #52340=CARTESIAN_POINT('',(1.99999993241678,-0.387795343173768,2.5)); #52341=CARTESIAN_POINT('Origin',(2.03779520800733,-0.387795343173768,2.5)); #52342=CARTESIAN_POINT('',(1.99999993241678,-0.387795343173768,2.625)); #52343=CARTESIAN_POINT('Origin',(2.03779520800733,-0.387795343173768,2.625)); #52344=CARTESIAN_POINT('',(1.99999993241678,-0.387795343173768,2.5)); #52345=CARTESIAN_POINT('Origin',(1.99999993241678,-0.462204791992667,2.5)); #52346=CARTESIAN_POINT('',(1.99999993241678,-0.462204791992667,2.5)); #52347=CARTESIAN_POINT('',(1.99999993241678,-0.462204791992667,2.5)); #52348=CARTESIAN_POINT('',(1.99999993241678,-0.462204791992667,2.625)); #52349=CARTESIAN_POINT('',(1.99999993241678,-0.462204791992667,2.625)); #52350=CARTESIAN_POINT('',(1.99999993241678,-0.462204791992667,2.5)); #52351=CARTESIAN_POINT('Origin',(2.03779520800733,-0.462204791992667,2.5)); #52352=CARTESIAN_POINT('Origin',(2.03779520800733,-0.462204791992667,2.5)); #52353=CARTESIAN_POINT('Origin',(2.03779520800733,-0.462204791992667,2.625)); #52354=CARTESIAN_POINT('Origin',(4.46220465682623,1.49999993241678,2.5)); #52355=CARTESIAN_POINT('',(4.46220465682623,1.49999993241678,2.5)); #52356=CARTESIAN_POINT('',(2.03779520800733,1.49999993241678,2.5)); #52357=CARTESIAN_POINT('',(4.46220465682623,1.49999993241678,2.5)); #52358=CARTESIAN_POINT('',(2.03779520800733,1.49999993241678,2.625)); #52359=CARTESIAN_POINT('',(2.03779520800733,1.49999993241678,2.5)); #52360=CARTESIAN_POINT('',(4.46220465682623,1.49999993241678,2.625)); #52361=CARTESIAN_POINT('',(4.46220465682623,1.49999993241678,2.625)); #52362=CARTESIAN_POINT('',(4.46220465682623,1.49999993241678,2.5)); #52363=CARTESIAN_POINT('Origin',(4.46220465682623,1.53779520800733,2.5)); #52364=CARTESIAN_POINT('',(4.49999993241678,1.53779520800733,2.5)); #52365=CARTESIAN_POINT('Origin',(4.46220465682623,1.53779520800733,2.5)); #52366=CARTESIAN_POINT('',(4.49999993241678,1.53779520800733,2.625)); #52367=CARTESIAN_POINT('Origin',(4.46220465682623,1.53779520800733,2.625)); #52368=CARTESIAN_POINT('',(4.49999993241678,1.53779520800733,2.5)); #52369=CARTESIAN_POINT('Origin',(4.49999993241678,1.61220465682623,2.5)); #52370=CARTESIAN_POINT('',(4.49999993241678,1.61220465682623,2.5)); #52371=CARTESIAN_POINT('',(4.49999993241678,1.61220465682623,2.5)); #52372=CARTESIAN_POINT('',(4.49999993241678,1.61220465682623,2.625)); #52373=CARTESIAN_POINT('',(4.49999993241678,1.61220465682623,2.625)); #52374=CARTESIAN_POINT('',(4.49999993241678,1.61220465682623,2.5)); #52375=CARTESIAN_POINT('Origin',(4.46220465682623,1.61220465682623,2.5)); #52376=CARTESIAN_POINT('',(4.46220465682623,1.64999993241678,2.5)); #52377=CARTESIAN_POINT('Origin',(4.46220465682623,1.61220465682623,2.5)); #52378=CARTESIAN_POINT('',(4.46220465682623,1.64999993241678,2.625)); #52379=CARTESIAN_POINT('Origin',(4.46220465682623,1.61220465682623,2.625)); #52380=CARTESIAN_POINT('',(4.46220465682623,1.64999993241678,2.5)); #52381=CARTESIAN_POINT('Origin',(2.03779520800733,1.64999993241678,2.5)); #52382=CARTESIAN_POINT('',(2.03779520800733,1.64999993241678,2.5)); #52383=CARTESIAN_POINT('',(2.03779520800733,1.64999993241678,2.5)); #52384=CARTESIAN_POINT('',(2.03779520800733,1.64999993241678,2.625)); #52385=CARTESIAN_POINT('',(2.03779520800733,1.64999993241678,2.625)); #52386=CARTESIAN_POINT('',(2.03779520800733,1.64999993241678,2.5)); #52387=CARTESIAN_POINT('Origin',(2.03779520800733,1.61220465682623,2.5)); #52388=CARTESIAN_POINT('',(1.99999993241678,1.61220465682623,2.5)); #52389=CARTESIAN_POINT('Origin',(2.03779520800733,1.61220465682623,2.5)); #52390=CARTESIAN_POINT('',(1.99999993241678,1.61220465682623,2.625)); #52391=CARTESIAN_POINT('Origin',(2.03779520800733,1.61220465682623,2.625)); #52392=CARTESIAN_POINT('',(1.99999993241678,1.61220465682623,2.5)); #52393=CARTESIAN_POINT('Origin',(1.99999993241678,1.53779520800733,2.5)); #52394=CARTESIAN_POINT('',(1.99999993241678,1.53779520800733,2.5)); #52395=CARTESIAN_POINT('',(1.99999993241678,1.53779520800733,2.5)); #52396=CARTESIAN_POINT('',(1.99999993241678,1.53779520800733,2.625)); #52397=CARTESIAN_POINT('',(1.99999993241678,1.53779520800733,2.625)); #52398=CARTESIAN_POINT('',(1.99999993241678,1.53779520800733,2.5)); #52399=CARTESIAN_POINT('Origin',(2.03779520800733,1.53779520800733,2.5)); #52400=CARTESIAN_POINT('Origin',(2.03779520800733,1.53779520800733,2.5)); #52401=CARTESIAN_POINT('Origin',(2.03779520800733,1.53779520800733,2.625)); #52402=CARTESIAN_POINT('Origin',(4.46220465682623,0.999999932416782,2.5)); #52403=CARTESIAN_POINT('',(4.46220465682623,0.999999932416782,2.5)); #52404=CARTESIAN_POINT('',(2.03779520800733,0.999999932416782,2.5)); #52405=CARTESIAN_POINT('',(4.46220465682623,0.999999932416782,2.5)); #52406=CARTESIAN_POINT('',(2.03779520800733,0.999999932416782,2.625)); #52407=CARTESIAN_POINT('',(2.03779520800733,0.999999932416782,2.5)); #52408=CARTESIAN_POINT('',(4.46220465682623,0.999999932416782,2.625)); #52409=CARTESIAN_POINT('',(4.46220465682623,0.999999932416782,2.625)); #52410=CARTESIAN_POINT('',(4.46220465682623,0.999999932416782,2.5)); #52411=CARTESIAN_POINT('Origin',(4.46220465682623,1.03779520800733,2.5)); #52412=CARTESIAN_POINT('',(4.49999993241678,1.03779520800733,2.5)); #52413=CARTESIAN_POINT('Origin',(4.46220465682623,1.03779520800733,2.5)); #52414=CARTESIAN_POINT('',(4.49999993241678,1.03779520800733,2.625)); #52415=CARTESIAN_POINT('Origin',(4.46220465682623,1.03779520800733,2.625)); #52416=CARTESIAN_POINT('',(4.49999993241678,1.03779520800733,2.5)); #52417=CARTESIAN_POINT('Origin',(4.49999993241678,1.11220465682623,2.5)); #52418=CARTESIAN_POINT('',(4.49999993241678,1.11220465682623,2.5)); #52419=CARTESIAN_POINT('',(4.49999993241678,1.11220465682623,2.5)); #52420=CARTESIAN_POINT('',(4.49999993241678,1.11220465682623,2.625)); #52421=CARTESIAN_POINT('',(4.49999993241678,1.11220465682623,2.625)); #52422=CARTESIAN_POINT('',(4.49999993241678,1.11220465682623,2.5)); #52423=CARTESIAN_POINT('Origin',(4.46220465682623,1.11220465682623,2.5)); #52424=CARTESIAN_POINT('',(4.46220465682623,1.14999993241678,2.5)); #52425=CARTESIAN_POINT('Origin',(4.46220465682623,1.11220465682623,2.5)); #52426=CARTESIAN_POINT('',(4.46220465682623,1.14999993241678,2.625)); #52427=CARTESIAN_POINT('Origin',(4.46220465682623,1.11220465682623,2.625)); #52428=CARTESIAN_POINT('',(4.46220465682623,1.14999993241678,2.5)); #52429=CARTESIAN_POINT('Origin',(2.03779520800733,1.14999993241678,2.5)); #52430=CARTESIAN_POINT('',(2.03779520800733,1.14999993241678,2.5)); #52431=CARTESIAN_POINT('',(2.03779520800733,1.14999993241678,2.5)); #52432=CARTESIAN_POINT('',(2.03779520800733,1.14999993241678,2.625)); #52433=CARTESIAN_POINT('',(2.03779520800733,1.14999993241678,2.625)); #52434=CARTESIAN_POINT('',(2.03779520800733,1.14999993241678,2.5)); #52435=CARTESIAN_POINT('Origin',(2.03779520800733,1.11220465682623,2.5)); #52436=CARTESIAN_POINT('',(1.99999993241678,1.11220465682623,2.5)); #52437=CARTESIAN_POINT('Origin',(2.03779520800733,1.11220465682623,2.5)); #52438=CARTESIAN_POINT('',(1.99999993241678,1.11220465682623,2.625)); #52439=CARTESIAN_POINT('Origin',(2.03779520800733,1.11220465682623,2.625)); #52440=CARTESIAN_POINT('',(1.99999993241678,1.11220465682623,2.5)); #52441=CARTESIAN_POINT('Origin',(1.99999993241678,1.03779520800733,2.5)); #52442=CARTESIAN_POINT('',(1.99999993241678,1.03779520800733,2.5)); #52443=CARTESIAN_POINT('',(1.99999993241678,1.03779520800733,2.5)); #52444=CARTESIAN_POINT('',(1.99999993241678,1.03779520800733,2.625)); #52445=CARTESIAN_POINT('',(1.99999993241678,1.03779520800733,2.625)); #52446=CARTESIAN_POINT('',(1.99999993241678,1.03779520800733,2.5)); #52447=CARTESIAN_POINT('Origin',(2.03779520800733,1.03779520800733,2.5)); #52448=CARTESIAN_POINT('Origin',(2.03779520800733,1.03779520800733,2.5)); #52449=CARTESIAN_POINT('Origin',(2.03779520800733,1.03779520800733,2.625)); #52450=CARTESIAN_POINT('Origin',(4.46220465682623,-1.50000006758322,2.5)); #52451=CARTESIAN_POINT('',(4.46220465682623,-1.50000006758322,2.5)); #52452=CARTESIAN_POINT('',(2.03779520800733,-1.50000006758322,2.5)); #52453=CARTESIAN_POINT('',(4.46220465682623,-1.50000006758322,2.5)); #52454=CARTESIAN_POINT('',(2.03779520800733,-1.50000006758322,2.625)); #52455=CARTESIAN_POINT('',(2.03779520800733,-1.50000006758322,2.5)); #52456=CARTESIAN_POINT('',(4.46220465682623,-1.50000006758322,2.625)); #52457=CARTESIAN_POINT('',(4.46220465682623,-1.50000006758322,2.625)); #52458=CARTESIAN_POINT('',(4.46220465682623,-1.50000006758322,2.5)); #52459=CARTESIAN_POINT('Origin',(4.46220465682623,-1.46220479199267,2.5)); #52460=CARTESIAN_POINT('',(4.49999993241678,-1.46220479199267,2.5)); #52461=CARTESIAN_POINT('Origin',(4.46220465682623,-1.46220479199267,2.5)); #52462=CARTESIAN_POINT('',(4.49999993241678,-1.46220479199267,2.625)); #52463=CARTESIAN_POINT('Origin',(4.46220465682623,-1.46220479199267,2.625)); #52464=CARTESIAN_POINT('',(4.49999993241678,-1.46220479199267,2.5)); #52465=CARTESIAN_POINT('Origin',(4.49999993241678,-1.38779534317377,2.5)); #52466=CARTESIAN_POINT('',(4.49999993241678,-1.38779534317377,2.5)); #52467=CARTESIAN_POINT('',(4.49999993241678,-1.38779534317377,2.5)); #52468=CARTESIAN_POINT('',(4.49999993241678,-1.38779534317377,2.625)); #52469=CARTESIAN_POINT('',(4.49999993241678,-1.38779534317377,2.625)); #52470=CARTESIAN_POINT('',(4.49999993241678,-1.38779534317377,2.5)); #52471=CARTESIAN_POINT('Origin',(4.46220465682623,-1.38779534317377,2.5)); #52472=CARTESIAN_POINT('',(4.46220465682623,-1.35000006758322,2.5)); #52473=CARTESIAN_POINT('Origin',(4.46220465682623,-1.38779534317377,2.5)); #52474=CARTESIAN_POINT('',(4.46220465682623,-1.35000006758322,2.625)); #52475=CARTESIAN_POINT('Origin',(4.46220465682623,-1.38779534317377,2.625)); #52476=CARTESIAN_POINT('',(4.46220465682623,-1.35000006758322,2.5)); #52477=CARTESIAN_POINT('Origin',(2.03779520800733,-1.35000006758322,2.5)); #52478=CARTESIAN_POINT('',(2.03779520800733,-1.35000006758322,2.5)); #52479=CARTESIAN_POINT('',(2.03779520800733,-1.35000006758322,2.5)); #52480=CARTESIAN_POINT('',(2.03779520800733,-1.35000006758322,2.625)); #52481=CARTESIAN_POINT('',(2.03779520800733,-1.35000006758322,2.625)); #52482=CARTESIAN_POINT('',(2.03779520800733,-1.35000006758322,2.5)); #52483=CARTESIAN_POINT('Origin',(2.03779520800733,-1.38779534317377,2.5)); #52484=CARTESIAN_POINT('',(1.99999993241678,-1.38779534317377,2.5)); #52485=CARTESIAN_POINT('Origin',(2.03779520800733,-1.38779534317377,2.5)); #52486=CARTESIAN_POINT('',(1.99999993241678,-1.38779534317377,2.625)); #52487=CARTESIAN_POINT('Origin',(2.03779520800733,-1.38779534317377,2.625)); #52488=CARTESIAN_POINT('',(1.99999993241678,-1.38779534317377,2.5)); #52489=CARTESIAN_POINT('Origin',(1.99999993241678,-1.46220479199267,2.5)); #52490=CARTESIAN_POINT('',(1.99999993241678,-1.46220479199267,2.5)); #52491=CARTESIAN_POINT('',(1.99999993241678,-1.46220479199267,2.5)); #52492=CARTESIAN_POINT('',(1.99999993241678,-1.46220479199267,2.625)); #52493=CARTESIAN_POINT('',(1.99999993241678,-1.46220479199267,2.625)); #52494=CARTESIAN_POINT('',(1.99999993241678,-1.46220479199267,2.5)); #52495=CARTESIAN_POINT('Origin',(2.03779520800733,-1.46220479199267,2.5)); #52496=CARTESIAN_POINT('Origin',(2.03779520800733,-1.46220479199267,2.5)); #52497=CARTESIAN_POINT('Origin',(2.03779520800733,-1.46220479199267,2.625)); #52498=CARTESIAN_POINT('Origin',(2.50000007978574,4.99999992490753,2.5)); #52499=CARTESIAN_POINT('',(2.50000007978574,5.24999992490753,2.5)); #52500=CARTESIAN_POINT('',(2.50000007978574,4.99999992490753,2.5)); #52501=CARTESIAN_POINT('',(2.50000007978574,5.24999992490753,2.5)); #52502=CARTESIAN_POINT('',(2.50000007978574,5.24999992490753,2.625)); #52503=CARTESIAN_POINT('',(2.50000007978574,5.24999992490753,2.5)); #52504=CARTESIAN_POINT('',(2.50000007978574,4.99999992490753,2.625)); #52505=CARTESIAN_POINT('',(2.50000007978574,5.24999992490753,2.625)); #52506=CARTESIAN_POINT('',(2.50000007978574,4.99999992490753,2.5)); #52507=CARTESIAN_POINT('Origin',(4.50000014361434,4.99999992490753,2.5)); #52508=CARTESIAN_POINT('',(4.50000014361434,4.99999992490753,2.5)); #52509=CARTESIAN_POINT('',(4.50000014361434,4.99999992490753,2.5)); #52510=CARTESIAN_POINT('',(4.50000014361434,4.99999992490753,2.625)); #52511=CARTESIAN_POINT('',(4.50000014361434,4.99999992490753,2.625)); #52512=CARTESIAN_POINT('',(4.50000014361434,4.99999992490753,2.5)); #52513=CARTESIAN_POINT('Origin',(4.50000014361434,5.24999992490753,2.5)); #52514=CARTESIAN_POINT('',(4.49999996996301,5.24999992490753,2.5)); #52515=CARTESIAN_POINT('',(4.50000014361434,5.24999992490753,2.5)); #52516=CARTESIAN_POINT('',(4.49999996996301,5.24999992490753,2.625)); #52517=CARTESIAN_POINT('',(4.50000014361434,5.24999992490753,2.625)); #52518=CARTESIAN_POINT('',(4.49999996996301,5.24999992490753,2.5)); #52519=CARTESIAN_POINT('Origin',(4.49999996996301,4.74999992490753,2.5)); #52520=CARTESIAN_POINT('',(4.99999996996301,4.74999992490753,2.5)); #52521=CARTESIAN_POINT('Origin',(4.49999996996301,4.74999992490753,2.5)); #52522=CARTESIAN_POINT('',(4.99999996996301,4.74999992490753,2.625)); #52523=CARTESIAN_POINT('Origin',(4.49999996996301,4.74999992490753,2.625)); #52524=CARTESIAN_POINT('',(4.99999996996301,4.74999992490753,2.5)); #52525=CARTESIAN_POINT('Origin',(4.99999996996301,-4.74999992490753,2.5)); #52526=CARTESIAN_POINT('',(4.99999996996301,-4.74999992490753,2.5)); #52527=CARTESIAN_POINT('',(4.99999996996301,4.74999992490753,2.5)); #52528=CARTESIAN_POINT('',(4.99999996996301,-4.74999992490753,2.625)); #52529=CARTESIAN_POINT('',(4.99999996996301,4.74999992490753,2.625)); #52530=CARTESIAN_POINT('',(4.99999996996301,-4.74999992490753,2.5)); #52531=CARTESIAN_POINT('Origin',(4.49999996996301,-4.74999992490753,2.5)); #52532=CARTESIAN_POINT('',(4.49999996996301,-5.24999992490753,2.5)); #52533=CARTESIAN_POINT('Origin',(4.49999996996301,-4.74999992490753,2.5)); #52534=CARTESIAN_POINT('',(4.49999996996301,-5.24999992490753,2.625)); #52535=CARTESIAN_POINT('Origin',(4.49999996996301,-4.74999992490753,2.625)); #52536=CARTESIAN_POINT('',(4.49999996996301,-5.24999992490753,2.5)); #52537=CARTESIAN_POINT('Origin',(4.50000014361434,-4.99999992490753,2.5)); #52538=CARTESIAN_POINT('',(4.50000014361434,-4.99999992490753,2.5)); #52539=CARTESIAN_POINT('',(4.50000014361434,-5.24999992490753,2.5)); #52540=CARTESIAN_POINT('',(4.50000014361434,-4.99999992490753,2.625)); #52541=CARTESIAN_POINT('',(4.50000014361434,-5.24999992490753,2.625)); #52542=CARTESIAN_POINT('',(4.50000014361434,-4.99999992490753,2.5)); #52543=CARTESIAN_POINT('Origin',(2.50000007978574,-4.99999992490753,2.5)); #52544=CARTESIAN_POINT('',(2.50000007978574,-4.99999992490753,2.5)); #52545=CARTESIAN_POINT('',(2.50000007978574,-4.99999992490753,2.5)); #52546=CARTESIAN_POINT('',(2.50000007978574,-4.99999992490753,2.625)); #52547=CARTESIAN_POINT('',(2.50000007978574,-4.99999992490753,2.625)); #52548=CARTESIAN_POINT('',(2.50000007978574,-4.99999992490753,2.5)); #52549=CARTESIAN_POINT('Origin',(2.50000007978574,-5.24999992490753,2.5)); #52550=CARTESIAN_POINT('',(2.50000007978574,-5.24999992490753,2.5)); #52551=CARTESIAN_POINT('',(2.50000007978574,-5.24999992490753,2.5)); #52552=CARTESIAN_POINT('',(2.50000007978574,-5.24999992490753,2.625)); #52553=CARTESIAN_POINT('',(2.50000007978574,-5.24999992490753,2.625)); #52554=CARTESIAN_POINT('',(2.50000007978574,-5.24999992490753,2.5)); #52555=CARTESIAN_POINT('Origin',(1.99999997747226,-5.24999992490753,2.5)); #52556=CARTESIAN_POINT('',(1.99999997747226,-5.24999992490753,2.5)); #52557=CARTESIAN_POINT('',(1.99999997747226,-5.24999992490753,2.5)); #52558=CARTESIAN_POINT('',(1.99999997747226,-5.24999992490753,2.625)); #52559=CARTESIAN_POINT('',(1.99999997747226,-5.24999992490753,2.625)); #52560=CARTESIAN_POINT('',(1.99999997747226,-5.24999992490753,2.5)); #52561=CARTESIAN_POINT('Origin',(1.99999997747226,-4.74999992490753,2.5)); #52562=CARTESIAN_POINT('',(1.49999997747226,-4.74999992490753,2.5)); #52563=CARTESIAN_POINT('Origin',(1.99999997747226,-4.74999992490753,2.5)); #52564=CARTESIAN_POINT('',(1.49999997747226,-4.74999992490753,2.625)); #52565=CARTESIAN_POINT('Origin',(1.99999997747226,-4.74999992490753,2.625)); #52566=CARTESIAN_POINT('',(1.49999997747226,-4.74999992490753,2.5)); #52567=CARTESIAN_POINT('Origin',(1.49999997747226,-0.500000015957149,2.5)); #52568=CARTESIAN_POINT('',(1.49999997747226,-0.500000015957149,2.5)); #52569=CARTESIAN_POINT('',(1.49999997747226,-4.99999992490753,2.5)); #52570=CARTESIAN_POINT('',(1.49999997747226,-0.500000015957149,2.625)); #52571=CARTESIAN_POINT('',(1.49999997747226,-4.99999992490753,2.625)); #52572=CARTESIAN_POINT('',(1.49999997747226,-0.500000015957149,2.5)); #52573=CARTESIAN_POINT('Origin',(1.74999996996301,-0.500000015957149,2.5)); #52574=CARTESIAN_POINT('',(1.74999996996301,-0.500000015957149,2.5)); #52575=CARTESIAN_POINT('',(1.49999997747226,-0.500000015957149,2.5)); #52576=CARTESIAN_POINT('',(1.74999996996301,-0.500000015957149,2.625)); #52577=CARTESIAN_POINT('',(1.49999997747226,-0.500000015957149,2.625)); #52578=CARTESIAN_POINT('',(1.74999996996301,-0.500000015957149,2.5)); #52579=CARTESIAN_POINT('Origin',(1.74999996996301,1.50000004787145,2.5)); #52580=CARTESIAN_POINT('',(1.74999996996301,1.50000004787145,2.5)); #52581=CARTESIAN_POINT('',(1.74999996996301,1.50000004787145,2.5)); #52582=CARTESIAN_POINT('',(1.74999996996301,1.50000004787145,2.625)); #52583=CARTESIAN_POINT('',(1.74999996996301,1.50000004787145,2.625)); #52584=CARTESIAN_POINT('',(1.74999996996301,1.50000004787145,2.5)); #52585=CARTESIAN_POINT('Origin',(1.49999997747226,1.50000004787145,2.5)); #52586=CARTESIAN_POINT('',(1.49999997747226,1.50000004787145,2.5)); #52587=CARTESIAN_POINT('',(1.49999997747226,1.50000004787145,2.5)); #52588=CARTESIAN_POINT('',(1.49999997747226,1.50000004787145,2.625)); #52589=CARTESIAN_POINT('',(1.49999997747226,1.50000004787145,2.625)); #52590=CARTESIAN_POINT('',(1.49999997747226,1.50000004787145,2.5)); #52591=CARTESIAN_POINT('Origin',(1.49999997747226,4.74999992490753,2.5)); #52592=CARTESIAN_POINT('',(1.49999997747226,4.74999992490753,2.5)); #52593=CARTESIAN_POINT('',(1.49999997747226,4.99999992490753,2.5)); #52594=CARTESIAN_POINT('',(1.49999997747226,4.74999992490753,2.625)); #52595=CARTESIAN_POINT('',(1.49999997747226,4.99999992490753,2.625)); #52596=CARTESIAN_POINT('',(1.49999997747226,4.74999992490753,2.5)); #52597=CARTESIAN_POINT('Origin',(1.99999997747226,4.74999992490753,2.5)); #52598=CARTESIAN_POINT('',(1.99999997747226,5.24999992490753,2.5)); #52599=CARTESIAN_POINT('Origin',(1.99999997747226,4.74999992490753,2.5)); #52600=CARTESIAN_POINT('',(1.99999997747226,5.24999992490753,2.625)); #52601=CARTESIAN_POINT('Origin',(1.99999997747226,4.74999992490753,2.625)); #52602=CARTESIAN_POINT('',(1.99999997747226,5.24999992490753,2.5)); #52603=CARTESIAN_POINT('Origin',(2.50000007978574,5.24999992490753,2.5)); #52604=CARTESIAN_POINT('',(1.99999997747226,5.24999992490753,2.5)); #52605=CARTESIAN_POINT('',(1.99999997747226,5.24999992490753,2.625)); #52606=CARTESIAN_POINT('Origin',(3.24999997371764,0.,2.625)); #52607=CARTESIAN_POINT('Origin',(3.24999997371764,0.,2.5)); #52608=CARTESIAN_POINT('Origin',(-4.46220465682623,-6.75832177622812E-8, 2.5)); #52609=CARTESIAN_POINT('',(-4.46220465682623,-6.75832177622812E-8,2.5)); #52610=CARTESIAN_POINT('',(-2.03779520800733,-6.75832177622812E-8,2.5)); #52611=CARTESIAN_POINT('',(-4.46220465682623,-6.75832177622812E-8,2.5)); #52612=CARTESIAN_POINT('',(-4.46220465682623,-6.75832177622812E-8,2.625)); #52613=CARTESIAN_POINT('',(-4.46220465682623,-6.75832177622812E-8,2.5)); #52614=CARTESIAN_POINT('',(-2.03779520800733,-6.75832177622812E-8,2.625)); #52615=CARTESIAN_POINT('',(-4.46220465682623,-6.75832177622812E-8,2.625)); #52616=CARTESIAN_POINT('',(-2.03779520800733,-6.75832177622812E-8,2.5)); #52617=CARTESIAN_POINT('Origin',(-4.46220465682623,0.037795208007332,2.5)); #52618=CARTESIAN_POINT('',(-4.49999993241678,0.037795208007332,2.5)); #52619=CARTESIAN_POINT('Origin',(-4.46220465682623,0.037795208007332,2.5)); #52620=CARTESIAN_POINT('',(-4.49999993241678,0.037795208007332,2.625)); #52621=CARTESIAN_POINT('',(-4.49999993241678,0.037795208007332,2.5)); #52622=CARTESIAN_POINT('Origin',(-4.46220465682623,0.037795208007332,2.625)); #52623=CARTESIAN_POINT('Origin',(-4.49999993241678,0.112204656826232,2.5)); #52624=CARTESIAN_POINT('',(-4.49999993241678,0.112204656826232,2.5)); #52625=CARTESIAN_POINT('',(-4.49999993241678,0.112204656826232,2.5)); #52626=CARTESIAN_POINT('',(-4.49999993241678,0.112204656826232,2.625)); #52627=CARTESIAN_POINT('',(-4.49999993241678,0.112204656826232,2.5)); #52628=CARTESIAN_POINT('',(-4.49999993241678,0.112204656826232,2.625)); #52629=CARTESIAN_POINT('Origin',(-4.46220465682623,0.112204656826232,2.5)); #52630=CARTESIAN_POINT('',(-4.46220465682623,0.149999932416782,2.5)); #52631=CARTESIAN_POINT('Origin',(-4.46220465682623,0.112204656826232,2.5)); #52632=CARTESIAN_POINT('',(-4.46220465682623,0.149999932416782,2.625)); #52633=CARTESIAN_POINT('',(-4.46220465682623,0.149999932416782,2.5)); #52634=CARTESIAN_POINT('Origin',(-4.46220465682623,0.112204656826232,2.625)); #52635=CARTESIAN_POINT('Origin',(-2.03779520800733,0.149999932416782,2.5)); #52636=CARTESIAN_POINT('',(-2.03779520800733,0.149999932416782,2.5)); #52637=CARTESIAN_POINT('',(-2.03779520800733,0.149999932416782,2.5)); #52638=CARTESIAN_POINT('',(-2.03779520800733,0.149999932416782,2.625)); #52639=CARTESIAN_POINT('',(-2.03779520800733,0.149999932416782,2.5)); #52640=CARTESIAN_POINT('',(-2.03779520800733,0.149999932416782,2.625)); #52641=CARTESIAN_POINT('Origin',(-2.03779520800733,0.112204656826232,2.5)); #52642=CARTESIAN_POINT('',(-1.99999993241678,0.112204656826232,2.5)); #52643=CARTESIAN_POINT('Origin',(-2.03779520800733,0.112204656826232,2.5)); #52644=CARTESIAN_POINT('',(-1.99999993241678,0.112204656826232,2.625)); #52645=CARTESIAN_POINT('',(-1.99999993241678,0.112204656826232,2.5)); #52646=CARTESIAN_POINT('Origin',(-2.03779520800733,0.112204656826232,2.625)); #52647=CARTESIAN_POINT('Origin',(-1.99999993241678,0.0377952080073327,2.5)); #52648=CARTESIAN_POINT('',(-1.99999993241678,0.0377952080073327,2.5)); #52649=CARTESIAN_POINT('',(-1.99999993241678,0.0377952080073327,2.5)); #52650=CARTESIAN_POINT('',(-1.99999993241678,0.0377952080073327,2.625)); #52651=CARTESIAN_POINT('',(-1.99999993241678,0.0377952080073327,2.5)); #52652=CARTESIAN_POINT('',(-1.99999993241678,0.0377952080073327,2.625)); #52653=CARTESIAN_POINT('Origin',(-2.03779520800733,0.0377952080073327,2.5)); #52654=CARTESIAN_POINT('Origin',(-2.03779520800733,0.0377952080073327,2.5)); #52655=CARTESIAN_POINT('Origin',(-2.03779520800733,0.0377952080073327,2.625)); #52656=CARTESIAN_POINT('Origin',(-4.46220465682623,0.499999932416782,2.5)); #52657=CARTESIAN_POINT('',(-4.46220465682623,0.499999932416782,2.5)); #52658=CARTESIAN_POINT('',(-2.03779520800733,0.499999932416782,2.5)); #52659=CARTESIAN_POINT('',(-4.46220465682623,0.499999932416782,2.5)); #52660=CARTESIAN_POINT('',(-4.46220465682623,0.499999932416782,2.625)); #52661=CARTESIAN_POINT('',(-4.46220465682623,0.499999932416782,2.5)); #52662=CARTESIAN_POINT('',(-2.03779520800733,0.499999932416782,2.625)); #52663=CARTESIAN_POINT('',(-4.46220465682623,0.499999932416782,2.625)); #52664=CARTESIAN_POINT('',(-2.03779520800733,0.499999932416782,2.5)); #52665=CARTESIAN_POINT('Origin',(-4.46220465682623,0.537795208007332,2.5)); #52666=CARTESIAN_POINT('',(-4.49999993241678,0.537795208007332,2.5)); #52667=CARTESIAN_POINT('Origin',(-4.46220465682623,0.537795208007332,2.5)); #52668=CARTESIAN_POINT('',(-4.49999993241678,0.537795208007332,2.625)); #52669=CARTESIAN_POINT('',(-4.49999993241678,0.537795208007332,2.5)); #52670=CARTESIAN_POINT('Origin',(-4.46220465682623,0.537795208007332,2.625)); #52671=CARTESIAN_POINT('Origin',(-4.49999993241678,0.612204656826232,2.5)); #52672=CARTESIAN_POINT('',(-4.49999993241678,0.612204656826232,2.5)); #52673=CARTESIAN_POINT('',(-4.49999993241678,0.612204656826232,2.5)); #52674=CARTESIAN_POINT('',(-4.49999993241678,0.612204656826232,2.625)); #52675=CARTESIAN_POINT('',(-4.49999993241678,0.612204656826232,2.5)); #52676=CARTESIAN_POINT('',(-4.49999993241678,0.612204656826232,2.625)); #52677=CARTESIAN_POINT('Origin',(-4.46220465682623,0.612204656826232,2.5)); #52678=CARTESIAN_POINT('',(-4.46220465682623,0.649999932416782,2.5)); #52679=CARTESIAN_POINT('Origin',(-4.46220465682623,0.612204656826232,2.5)); #52680=CARTESIAN_POINT('',(-4.46220465682623,0.649999932416782,2.625)); #52681=CARTESIAN_POINT('',(-4.46220465682623,0.649999932416782,2.5)); #52682=CARTESIAN_POINT('Origin',(-4.46220465682623,0.612204656826232,2.625)); #52683=CARTESIAN_POINT('Origin',(-2.03779520800733,0.649999932416782,2.5)); #52684=CARTESIAN_POINT('',(-2.03779520800733,0.649999932416782,2.5)); #52685=CARTESIAN_POINT('',(-2.03779520800733,0.649999932416782,2.5)); #52686=CARTESIAN_POINT('',(-2.03779520800733,0.649999932416782,2.625)); #52687=CARTESIAN_POINT('',(-2.03779520800733,0.649999932416782,2.5)); #52688=CARTESIAN_POINT('',(-2.03779520800733,0.649999932416782,2.625)); #52689=CARTESIAN_POINT('Origin',(-2.03779520800733,0.612204656826232,2.5)); #52690=CARTESIAN_POINT('',(-1.99999993241678,0.612204656826232,2.5)); #52691=CARTESIAN_POINT('Origin',(-2.03779520800733,0.612204656826232,2.5)); #52692=CARTESIAN_POINT('',(-1.99999993241678,0.612204656826232,2.625)); #52693=CARTESIAN_POINT('',(-1.99999993241678,0.612204656826232,2.5)); #52694=CARTESIAN_POINT('Origin',(-2.03779520800733,0.612204656826232,2.625)); #52695=CARTESIAN_POINT('Origin',(-1.99999993241678,0.537795208007332,2.5)); #52696=CARTESIAN_POINT('',(-1.99999993241678,0.537795208007332,2.5)); #52697=CARTESIAN_POINT('',(-1.99999993241678,0.537795208007332,2.5)); #52698=CARTESIAN_POINT('',(-1.99999993241678,0.537795208007332,2.625)); #52699=CARTESIAN_POINT('',(-1.99999993241678,0.537795208007332,2.5)); #52700=CARTESIAN_POINT('',(-1.99999993241678,0.537795208007332,2.625)); #52701=CARTESIAN_POINT('Origin',(-2.03779520800733,0.537795208007332,2.5)); #52702=CARTESIAN_POINT('Origin',(-2.03779520800733,0.537795208007332,2.5)); #52703=CARTESIAN_POINT('Origin',(-2.03779520800733,0.537795208007332,2.625)); #52704=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.00000006758322,2.5)); #52705=CARTESIAN_POINT('',(-4.46220465682623,-1.00000006758322,2.5)); #52706=CARTESIAN_POINT('',(-2.03779520800733,-1.00000006758322,2.5)); #52707=CARTESIAN_POINT('',(-4.46220465682623,-1.00000006758322,2.5)); #52708=CARTESIAN_POINT('',(-4.46220465682623,-1.00000006758322,2.625)); #52709=CARTESIAN_POINT('',(-4.46220465682623,-1.00000006758322,2.5)); #52710=CARTESIAN_POINT('',(-2.03779520800733,-1.00000006758322,2.625)); #52711=CARTESIAN_POINT('',(-4.46220465682623,-1.00000006758322,2.625)); #52712=CARTESIAN_POINT('',(-2.03779520800733,-1.00000006758322,2.5)); #52713=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.962204791992668,2.5)); #52714=CARTESIAN_POINT('',(-4.49999993241678,-0.962204791992668,2.5)); #52715=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.962204791992668,2.5)); #52716=CARTESIAN_POINT('',(-4.49999993241678,-0.962204791992668,2.625)); #52717=CARTESIAN_POINT('',(-4.49999993241678,-0.962204791992668,2.5)); #52718=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.962204791992668,2.625)); #52719=CARTESIAN_POINT('Origin',(-4.49999993241678,-0.887795343173767,2.5)); #52720=CARTESIAN_POINT('',(-4.49999993241678,-0.887795343173767,2.5)); #52721=CARTESIAN_POINT('',(-4.49999993241678,-0.887795343173767,2.5)); #52722=CARTESIAN_POINT('',(-4.49999993241678,-0.887795343173767,2.625)); #52723=CARTESIAN_POINT('',(-4.49999993241678,-0.887795343173767,2.5)); #52724=CARTESIAN_POINT('',(-4.49999993241678,-0.887795343173767,2.625)); #52725=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.887795343173767,2.5)); #52726=CARTESIAN_POINT('',(-4.46220465682623,-0.850000067583217,2.5)); #52727=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.887795343173767,2.5)); #52728=CARTESIAN_POINT('',(-4.46220465682623,-0.850000067583217,2.625)); #52729=CARTESIAN_POINT('',(-4.46220465682623,-0.850000067583217,2.5)); #52730=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.887795343173767,2.625)); #52731=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.850000067583217,2.5)); #52732=CARTESIAN_POINT('',(-2.03779520800733,-0.850000067583217,2.5)); #52733=CARTESIAN_POINT('',(-2.03779520800733,-0.850000067583217,2.5)); #52734=CARTESIAN_POINT('',(-2.03779520800733,-0.850000067583217,2.625)); #52735=CARTESIAN_POINT('',(-2.03779520800733,-0.850000067583217,2.5)); #52736=CARTESIAN_POINT('',(-2.03779520800733,-0.850000067583217,2.625)); #52737=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.887795343173768,2.5)); #52738=CARTESIAN_POINT('',(-1.99999993241678,-0.887795343173768,2.5)); #52739=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.887795343173768,2.5)); #52740=CARTESIAN_POINT('',(-1.99999993241678,-0.887795343173768,2.625)); #52741=CARTESIAN_POINT('',(-1.99999993241678,-0.887795343173768,2.5)); #52742=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.887795343173768,2.625)); #52743=CARTESIAN_POINT('Origin',(-1.99999993241678,-0.962204791992667,2.5)); #52744=CARTESIAN_POINT('',(-1.99999993241678,-0.962204791992667,2.5)); #52745=CARTESIAN_POINT('',(-1.99999993241678,-0.962204791992667,2.5)); #52746=CARTESIAN_POINT('',(-1.99999993241678,-0.962204791992667,2.625)); #52747=CARTESIAN_POINT('',(-1.99999993241678,-0.962204791992667,2.5)); #52748=CARTESIAN_POINT('',(-1.99999993241678,-0.962204791992667,2.625)); #52749=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.962204791992667,2.5)); #52750=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.962204791992667,2.5)); #52751=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.962204791992667,2.625)); #52752=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.00000006758322,2.5)); #52753=CARTESIAN_POINT('',(-4.46220465682623,-2.00000006758322,2.5)); #52754=CARTESIAN_POINT('',(-2.03779520800733,-2.00000006758322,2.5)); #52755=CARTESIAN_POINT('',(-4.46220465682623,-2.00000006758322,2.5)); #52756=CARTESIAN_POINT('',(-4.46220465682623,-2.00000006758322,2.625)); #52757=CARTESIAN_POINT('',(-4.46220465682623,-2.00000006758322,2.5)); #52758=CARTESIAN_POINT('',(-2.03779520800733,-2.00000006758322,2.625)); #52759=CARTESIAN_POINT('',(-4.46220465682623,-2.00000006758322,2.625)); #52760=CARTESIAN_POINT('',(-2.03779520800733,-2.00000006758322,2.5)); #52761=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.96220479199267,2.5)); #52762=CARTESIAN_POINT('',(-4.49999993241678,-1.96220479199267,2.5)); #52763=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.96220479199267,2.5)); #52764=CARTESIAN_POINT('',(-4.49999993241678,-1.96220479199267,2.625)); #52765=CARTESIAN_POINT('',(-4.49999993241678,-1.96220479199267,2.5)); #52766=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.96220479199267,2.625)); #52767=CARTESIAN_POINT('Origin',(-4.49999993241678,-1.88779534317377,2.5)); #52768=CARTESIAN_POINT('',(-4.49999993241678,-1.88779534317377,2.5)); #52769=CARTESIAN_POINT('',(-4.49999993241678,-1.88779534317377,2.5)); #52770=CARTESIAN_POINT('',(-4.49999993241678,-1.88779534317377,2.625)); #52771=CARTESIAN_POINT('',(-4.49999993241678,-1.88779534317377,2.5)); #52772=CARTESIAN_POINT('',(-4.49999993241678,-1.88779534317377,2.625)); #52773=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.88779534317377,2.5)); #52774=CARTESIAN_POINT('',(-4.46220465682623,-1.85000006758322,2.5)); #52775=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.88779534317377,2.5)); #52776=CARTESIAN_POINT('',(-4.46220465682623,-1.85000006758322,2.625)); #52777=CARTESIAN_POINT('',(-4.46220465682623,-1.85000006758322,2.5)); #52778=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.88779534317377,2.625)); #52779=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.85000006758322,2.5)); #52780=CARTESIAN_POINT('',(-2.03779520800733,-1.85000006758322,2.5)); #52781=CARTESIAN_POINT('',(-2.03779520800733,-1.85000006758322,2.5)); #52782=CARTESIAN_POINT('',(-2.03779520800733,-1.85000006758322,2.625)); #52783=CARTESIAN_POINT('',(-2.03779520800733,-1.85000006758322,2.5)); #52784=CARTESIAN_POINT('',(-2.03779520800733,-1.85000006758322,2.625)); #52785=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.88779534317377,2.5)); #52786=CARTESIAN_POINT('',(-1.99999993241678,-1.88779534317377,2.5)); #52787=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.88779534317377,2.5)); #52788=CARTESIAN_POINT('',(-1.99999993241678,-1.88779534317377,2.625)); #52789=CARTESIAN_POINT('',(-1.99999993241678,-1.88779534317377,2.5)); #52790=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.88779534317377,2.625)); #52791=CARTESIAN_POINT('Origin',(-1.99999993241678,-1.96220479199267,2.5)); #52792=CARTESIAN_POINT('',(-1.99999993241678,-1.96220479199267,2.5)); #52793=CARTESIAN_POINT('',(-1.99999993241678,-1.96220479199267,2.5)); #52794=CARTESIAN_POINT('',(-1.99999993241678,-1.96220479199267,2.625)); #52795=CARTESIAN_POINT('',(-1.99999993241678,-1.96220479199267,2.5)); #52796=CARTESIAN_POINT('',(-1.99999993241678,-1.96220479199267,2.625)); #52797=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.96220479199267,2.5)); #52798=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.96220479199267,2.5)); #52799=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.96220479199267,2.625)); #52800=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.50000006758322,2.5)); #52801=CARTESIAN_POINT('',(-4.46220465682623,-4.50000006758322,2.5)); #52802=CARTESIAN_POINT('',(-2.03779520800733,-4.50000006758322,2.5)); #52803=CARTESIAN_POINT('',(-4.46220465682623,-4.50000006758322,2.5)); #52804=CARTESIAN_POINT('',(-4.46220465682623,-4.50000006758322,2.625)); #52805=CARTESIAN_POINT('',(-4.46220465682623,-4.50000006758322,2.5)); #52806=CARTESIAN_POINT('',(-2.03779520800733,-4.50000006758322,2.625)); #52807=CARTESIAN_POINT('',(-4.46220465682623,-4.50000006758322,2.625)); #52808=CARTESIAN_POINT('',(-2.03779520800733,-4.50000006758322,2.5)); #52809=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.46220479199267,2.5)); #52810=CARTESIAN_POINT('',(-4.49999993241678,-4.46220479199267,2.5)); #52811=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.46220479199267,2.5)); #52812=CARTESIAN_POINT('',(-4.49999993241678,-4.46220479199267,2.625)); #52813=CARTESIAN_POINT('',(-4.49999993241678,-4.46220479199267,2.5)); #52814=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.46220479199267,2.625)); #52815=CARTESIAN_POINT('Origin',(-4.49999993241678,-4.38779534317377,2.5)); #52816=CARTESIAN_POINT('',(-4.49999993241678,-4.38779534317377,2.5)); #52817=CARTESIAN_POINT('',(-4.49999993241678,-4.38779534317377,2.5)); #52818=CARTESIAN_POINT('',(-4.49999993241678,-4.38779534317377,2.625)); #52819=CARTESIAN_POINT('',(-4.49999993241678,-4.38779534317377,2.5)); #52820=CARTESIAN_POINT('',(-4.49999993241678,-4.38779534317377,2.625)); #52821=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.38779534317377,2.5)); #52822=CARTESIAN_POINT('',(-4.46220465682623,-4.35000006758322,2.5)); #52823=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.38779534317377,2.5)); #52824=CARTESIAN_POINT('',(-4.46220465682623,-4.35000006758322,2.625)); #52825=CARTESIAN_POINT('',(-4.46220465682623,-4.35000006758322,2.5)); #52826=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.38779534317377,2.625)); #52827=CARTESIAN_POINT('Origin',(-2.03779520800733,-4.35000006758322,2.5)); #52828=CARTESIAN_POINT('',(-2.03779520800733,-4.35000006758322,2.5)); #52829=CARTESIAN_POINT('',(-2.03779520800733,-4.35000006758322,2.5)); #52830=CARTESIAN_POINT('',(-2.03779520800733,-4.35000006758322,2.625)); #52831=CARTESIAN_POINT('',(-2.03779520800733,-4.35000006758322,2.5)); #52832=CARTESIAN_POINT('',(-2.03779520800733,-4.35000006758322,2.625)); #52833=CARTESIAN_POINT('Origin',(-2.03779520800733,-4.38779534317377,2.5)); #52834=CARTESIAN_POINT('',(-1.99999993241678,-4.38779534317377,2.5)); #52835=CARTESIAN_POINT('Origin',(-2.03779520800733,-4.38779534317377,2.5)); #52836=CARTESIAN_POINT('',(-1.99999993241678,-4.38779534317377,2.625)); #52837=CARTESIAN_POINT('',(-1.99999993241678,-4.38779534317377,2.5)); #52838=CARTESIAN_POINT('Origin',(-2.03779520800733,-4.38779534317377,2.625)); #52839=CARTESIAN_POINT('Origin',(-1.99999993241678,-4.46220479199267,2.5)); #52840=CARTESIAN_POINT('',(-1.99999993241678,-4.46220479199267,2.5)); #52841=CARTESIAN_POINT('',(-1.99999993241678,-4.46220479199267,2.5)); #52842=CARTESIAN_POINT('',(-1.99999993241678,-4.46220479199267,2.625)); #52843=CARTESIAN_POINT('',(-1.99999993241678,-4.46220479199267,2.5)); #52844=CARTESIAN_POINT('',(-1.99999993241678,-4.46220479199267,2.625)); #52845=CARTESIAN_POINT('Origin',(-2.03779520800733,-4.46220479199267,2.5)); #52846=CARTESIAN_POINT('Origin',(-2.03779520800733,-4.46220479199267,2.5)); #52847=CARTESIAN_POINT('Origin',(-2.03779520800733,-4.46220479199267,2.625)); #52848=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.50000006758322,2.5)); #52849=CARTESIAN_POINT('',(-4.46220465682623,-2.50000006758322,2.5)); #52850=CARTESIAN_POINT('',(-2.03779520800733,-2.50000006758322,2.5)); #52851=CARTESIAN_POINT('',(-4.46220465682623,-2.50000006758322,2.5)); #52852=CARTESIAN_POINT('',(-4.46220465682623,-2.50000006758322,2.625)); #52853=CARTESIAN_POINT('',(-4.46220465682623,-2.50000006758322,2.5)); #52854=CARTESIAN_POINT('',(-2.03779520800733,-2.50000006758322,2.625)); #52855=CARTESIAN_POINT('',(-4.46220465682623,-2.50000006758322,2.625)); #52856=CARTESIAN_POINT('',(-2.03779520800733,-2.50000006758322,2.5)); #52857=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.46220479199267,2.5)); #52858=CARTESIAN_POINT('',(-4.49999993241678,-2.46220479199267,2.5)); #52859=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.46220479199267,2.5)); #52860=CARTESIAN_POINT('',(-4.49999993241678,-2.46220479199267,2.625)); #52861=CARTESIAN_POINT('',(-4.49999993241678,-2.46220479199267,2.5)); #52862=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.46220479199267,2.625)); #52863=CARTESIAN_POINT('Origin',(-4.49999993241678,-2.38779534317377,2.5)); #52864=CARTESIAN_POINT('',(-4.49999993241678,-2.38779534317377,2.5)); #52865=CARTESIAN_POINT('',(-4.49999993241678,-2.38779534317377,2.5)); #52866=CARTESIAN_POINT('',(-4.49999993241678,-2.38779534317377,2.625)); #52867=CARTESIAN_POINT('',(-4.49999993241678,-2.38779534317377,2.5)); #52868=CARTESIAN_POINT('',(-4.49999993241678,-2.38779534317377,2.625)); #52869=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.38779534317377,2.5)); #52870=CARTESIAN_POINT('',(-4.46220465682623,-2.35000006758322,2.5)); #52871=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.38779534317377,2.5)); #52872=CARTESIAN_POINT('',(-4.46220465682623,-2.35000006758322,2.625)); #52873=CARTESIAN_POINT('',(-4.46220465682623,-2.35000006758322,2.5)); #52874=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.38779534317377,2.625)); #52875=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.35000006758322,2.5)); #52876=CARTESIAN_POINT('',(-2.03779520800733,-2.35000006758322,2.5)); #52877=CARTESIAN_POINT('',(-2.03779520800733,-2.35000006758322,2.5)); #52878=CARTESIAN_POINT('',(-2.03779520800733,-2.35000006758322,2.625)); #52879=CARTESIAN_POINT('',(-2.03779520800733,-2.35000006758322,2.5)); #52880=CARTESIAN_POINT('',(-2.03779520800733,-2.35000006758322,2.625)); #52881=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.38779534317377,2.5)); #52882=CARTESIAN_POINT('',(-1.99999993241678,-2.38779534317377,2.5)); #52883=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.38779534317377,2.5)); #52884=CARTESIAN_POINT('',(-1.99999993241678,-2.38779534317377,2.625)); #52885=CARTESIAN_POINT('',(-1.99999993241678,-2.38779534317377,2.5)); #52886=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.38779534317377,2.625)); #52887=CARTESIAN_POINT('Origin',(-1.99999993241678,-2.46220479199267,2.5)); #52888=CARTESIAN_POINT('',(-1.99999993241678,-2.46220479199267,2.5)); #52889=CARTESIAN_POINT('',(-1.99999993241678,-2.46220479199267,2.5)); #52890=CARTESIAN_POINT('',(-1.99999993241678,-2.46220479199267,2.625)); #52891=CARTESIAN_POINT('',(-1.99999993241678,-2.46220479199267,2.5)); #52892=CARTESIAN_POINT('',(-1.99999993241678,-2.46220479199267,2.625)); #52893=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.46220479199267,2.5)); #52894=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.46220479199267,2.5)); #52895=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.46220479199267,2.625)); #52896=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.00000006758322,2.5)); #52897=CARTESIAN_POINT('',(-4.46220465682623,-3.00000006758322,2.5)); #52898=CARTESIAN_POINT('',(-2.03779520800733,-3.00000006758322,2.5)); #52899=CARTESIAN_POINT('',(-4.46220465682623,-3.00000006758322,2.5)); #52900=CARTESIAN_POINT('',(-4.46220465682623,-3.00000006758322,2.625)); #52901=CARTESIAN_POINT('',(-4.46220465682623,-3.00000006758322,2.5)); #52902=CARTESIAN_POINT('',(-2.03779520800733,-3.00000006758322,2.625)); #52903=CARTESIAN_POINT('',(-4.46220465682623,-3.00000006758322,2.625)); #52904=CARTESIAN_POINT('',(-2.03779520800733,-3.00000006758322,2.5)); #52905=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.96220479199267,2.5)); #52906=CARTESIAN_POINT('',(-4.49999993241678,-2.96220479199267,2.5)); #52907=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.96220479199267,2.5)); #52908=CARTESIAN_POINT('',(-4.49999993241678,-2.96220479199267,2.625)); #52909=CARTESIAN_POINT('',(-4.49999993241678,-2.96220479199267,2.5)); #52910=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.96220479199267,2.625)); #52911=CARTESIAN_POINT('Origin',(-4.49999993241678,-2.88779534317377,2.5)); #52912=CARTESIAN_POINT('',(-4.49999993241678,-2.88779534317377,2.5)); #52913=CARTESIAN_POINT('',(-4.49999993241678,-2.88779534317377,2.5)); #52914=CARTESIAN_POINT('',(-4.49999993241678,-2.88779534317377,2.625)); #52915=CARTESIAN_POINT('',(-4.49999993241678,-2.88779534317377,2.5)); #52916=CARTESIAN_POINT('',(-4.49999993241678,-2.88779534317377,2.625)); #52917=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.88779534317377,2.5)); #52918=CARTESIAN_POINT('',(-4.46220465682623,-2.85000006758322,2.5)); #52919=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.88779534317377,2.5)); #52920=CARTESIAN_POINT('',(-4.46220465682623,-2.85000006758322,2.625)); #52921=CARTESIAN_POINT('',(-4.46220465682623,-2.85000006758322,2.5)); #52922=CARTESIAN_POINT('Origin',(-4.46220465682623,-2.88779534317377,2.625)); #52923=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.85000006758322,2.5)); #52924=CARTESIAN_POINT('',(-2.03779520800733,-2.85000006758322,2.5)); #52925=CARTESIAN_POINT('',(-2.03779520800733,-2.85000006758322,2.5)); #52926=CARTESIAN_POINT('',(-2.03779520800733,-2.85000006758322,2.625)); #52927=CARTESIAN_POINT('',(-2.03779520800733,-2.85000006758322,2.5)); #52928=CARTESIAN_POINT('',(-2.03779520800733,-2.85000006758322,2.625)); #52929=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.88779534317377,2.5)); #52930=CARTESIAN_POINT('',(-1.99999993241678,-2.88779534317377,2.5)); #52931=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.88779534317377,2.5)); #52932=CARTESIAN_POINT('',(-1.99999993241678,-2.88779534317377,2.625)); #52933=CARTESIAN_POINT('',(-1.99999993241678,-2.88779534317377,2.5)); #52934=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.88779534317377,2.625)); #52935=CARTESIAN_POINT('Origin',(-1.99999993241678,-2.96220479199267,2.5)); #52936=CARTESIAN_POINT('',(-1.99999993241678,-2.96220479199267,2.5)); #52937=CARTESIAN_POINT('',(-1.99999993241678,-2.96220479199267,2.5)); #52938=CARTESIAN_POINT('',(-1.99999993241678,-2.96220479199267,2.625)); #52939=CARTESIAN_POINT('',(-1.99999993241678,-2.96220479199267,2.5)); #52940=CARTESIAN_POINT('',(-1.99999993241678,-2.96220479199267,2.625)); #52941=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.96220479199267,2.5)); #52942=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.96220479199267,2.5)); #52943=CARTESIAN_POINT('Origin',(-2.03779520800733,-2.96220479199267,2.625)); #52944=CARTESIAN_POINT('Origin',(-4.46220465682623,2.49999993241678,2.5)); #52945=CARTESIAN_POINT('',(-4.46220465682623,2.49999993241678,2.5)); #52946=CARTESIAN_POINT('',(-2.03779520800733,2.49999993241678,2.5)); #52947=CARTESIAN_POINT('',(-4.46220465682623,2.49999993241678,2.5)); #52948=CARTESIAN_POINT('',(-4.46220465682623,2.49999993241678,2.625)); #52949=CARTESIAN_POINT('',(-4.46220465682623,2.49999993241678,2.5)); #52950=CARTESIAN_POINT('',(-2.03779520800733,2.49999993241678,2.625)); #52951=CARTESIAN_POINT('',(-4.46220465682623,2.49999993241678,2.625)); #52952=CARTESIAN_POINT('',(-2.03779520800733,2.49999993241678,2.5)); #52953=CARTESIAN_POINT('Origin',(-4.46220465682623,2.53779520800733,2.5)); #52954=CARTESIAN_POINT('',(-4.49999993241678,2.53779520800733,2.5)); #52955=CARTESIAN_POINT('Origin',(-4.46220465682623,2.53779520800733,2.5)); #52956=CARTESIAN_POINT('',(-4.49999993241678,2.53779520800733,2.625)); #52957=CARTESIAN_POINT('',(-4.49999993241678,2.53779520800733,2.5)); #52958=CARTESIAN_POINT('Origin',(-4.46220465682623,2.53779520800733,2.625)); #52959=CARTESIAN_POINT('Origin',(-4.49999993241678,2.61220465682623,2.5)); #52960=CARTESIAN_POINT('',(-4.49999993241678,2.61220465682623,2.5)); #52961=CARTESIAN_POINT('',(-4.49999993241678,2.61220465682623,2.5)); #52962=CARTESIAN_POINT('',(-4.49999993241678,2.61220465682623,2.625)); #52963=CARTESIAN_POINT('',(-4.49999993241678,2.61220465682623,2.5)); #52964=CARTESIAN_POINT('',(-4.49999993241678,2.61220465682623,2.625)); #52965=CARTESIAN_POINT('Origin',(-4.46220465682623,2.61220465682623,2.5)); #52966=CARTESIAN_POINT('',(-4.46220465682623,2.64999993241678,2.5)); #52967=CARTESIAN_POINT('Origin',(-4.46220465682623,2.61220465682623,2.5)); #52968=CARTESIAN_POINT('',(-4.46220465682623,2.64999993241678,2.625)); #52969=CARTESIAN_POINT('',(-4.46220465682623,2.64999993241678,2.5)); #52970=CARTESIAN_POINT('Origin',(-4.46220465682623,2.61220465682623,2.625)); #52971=CARTESIAN_POINT('Origin',(-2.03779520800733,2.64999993241678,2.5)); #52972=CARTESIAN_POINT('',(-2.03779520800733,2.64999993241678,2.5)); #52973=CARTESIAN_POINT('',(-2.03779520800733,2.64999993241678,2.5)); #52974=CARTESIAN_POINT('',(-2.03779520800733,2.64999993241678,2.625)); #52975=CARTESIAN_POINT('',(-2.03779520800733,2.64999993241678,2.5)); #52976=CARTESIAN_POINT('',(-2.03779520800733,2.64999993241678,2.625)); #52977=CARTESIAN_POINT('Origin',(-2.03779520800733,2.61220465682623,2.5)); #52978=CARTESIAN_POINT('',(-1.99999993241678,2.61220465682623,2.5)); #52979=CARTESIAN_POINT('Origin',(-2.03779520800733,2.61220465682623,2.5)); #52980=CARTESIAN_POINT('',(-1.99999993241678,2.61220465682623,2.625)); #52981=CARTESIAN_POINT('',(-1.99999993241678,2.61220465682623,2.5)); #52982=CARTESIAN_POINT('Origin',(-2.03779520800733,2.61220465682623,2.625)); #52983=CARTESIAN_POINT('Origin',(-1.99999993241678,2.53779520800733,2.5)); #52984=CARTESIAN_POINT('',(-1.99999993241678,2.53779520800733,2.5)); #52985=CARTESIAN_POINT('',(-1.99999993241678,2.53779520800733,2.5)); #52986=CARTESIAN_POINT('',(-1.99999993241678,2.53779520800733,2.625)); #52987=CARTESIAN_POINT('',(-1.99999993241678,2.53779520800733,2.5)); #52988=CARTESIAN_POINT('',(-1.99999993241678,2.53779520800733,2.625)); #52989=CARTESIAN_POINT('Origin',(-2.03779520800733,2.53779520800733,2.5)); #52990=CARTESIAN_POINT('Origin',(-2.03779520800733,2.53779520800733,2.5)); #52991=CARTESIAN_POINT('Origin',(-2.03779520800733,2.53779520800733,2.625)); #52992=CARTESIAN_POINT('Origin',(-4.46220465682623,3.99999993241678,2.5)); #52993=CARTESIAN_POINT('',(-4.46220465682623,3.99999993241678,2.5)); #52994=CARTESIAN_POINT('',(-2.03779520800733,3.99999993241678,2.5)); #52995=CARTESIAN_POINT('',(-4.46220465682623,3.99999993241678,2.5)); #52996=CARTESIAN_POINT('',(-4.46220465682623,3.99999993241678,2.625)); #52997=CARTESIAN_POINT('',(-4.46220465682623,3.99999993241678,2.5)); #52998=CARTESIAN_POINT('',(-2.03779520800733,3.99999993241678,2.625)); #52999=CARTESIAN_POINT('',(-4.46220465682623,3.99999993241678,2.625)); #53000=CARTESIAN_POINT('',(-2.03779520800733,3.99999993241678,2.5)); #53001=CARTESIAN_POINT('Origin',(-4.46220465682623,4.03779520800733,2.5)); #53002=CARTESIAN_POINT('',(-4.49999993241678,4.03779520800733,2.5)); #53003=CARTESIAN_POINT('Origin',(-4.46220465682623,4.03779520800733,2.5)); #53004=CARTESIAN_POINT('',(-4.49999993241678,4.03779520800733,2.625)); #53005=CARTESIAN_POINT('',(-4.49999993241678,4.03779520800733,2.5)); #53006=CARTESIAN_POINT('Origin',(-4.46220465682623,4.03779520800733,2.625)); #53007=CARTESIAN_POINT('Origin',(-4.49999993241678,4.11220465682623,2.5)); #53008=CARTESIAN_POINT('',(-4.49999993241678,4.11220465682623,2.5)); #53009=CARTESIAN_POINT('',(-4.49999993241678,4.11220465682623,2.5)); #53010=CARTESIAN_POINT('',(-4.49999993241678,4.11220465682623,2.625)); #53011=CARTESIAN_POINT('',(-4.49999993241678,4.11220465682623,2.5)); #53012=CARTESIAN_POINT('',(-4.49999993241678,4.11220465682623,2.625)); #53013=CARTESIAN_POINT('Origin',(-4.46220465682623,4.11220465682623,2.5)); #53014=CARTESIAN_POINT('',(-4.46220465682623,4.14999993241678,2.5)); #53015=CARTESIAN_POINT('Origin',(-4.46220465682623,4.11220465682623,2.5)); #53016=CARTESIAN_POINT('',(-4.46220465682623,4.14999993241678,2.625)); #53017=CARTESIAN_POINT('',(-4.46220465682623,4.14999993241678,2.5)); #53018=CARTESIAN_POINT('Origin',(-4.46220465682623,4.11220465682623,2.625)); #53019=CARTESIAN_POINT('Origin',(-2.03779520800733,4.14999993241678,2.5)); #53020=CARTESIAN_POINT('',(-2.03779520800733,4.14999993241678,2.5)); #53021=CARTESIAN_POINT('',(-2.03779520800733,4.14999993241678,2.5)); #53022=CARTESIAN_POINT('',(-2.03779520800733,4.14999993241678,2.625)); #53023=CARTESIAN_POINT('',(-2.03779520800733,4.14999993241678,2.5)); #53024=CARTESIAN_POINT('',(-2.03779520800733,4.14999993241678,2.625)); #53025=CARTESIAN_POINT('Origin',(-2.03779520800733,4.11220465682623,2.5)); #53026=CARTESIAN_POINT('',(-1.99999993241678,4.11220465682623,2.5)); #53027=CARTESIAN_POINT('Origin',(-2.03779520800733,4.11220465682623,2.5)); #53028=CARTESIAN_POINT('',(-1.99999993241678,4.11220465682623,2.625)); #53029=CARTESIAN_POINT('',(-1.99999993241678,4.11220465682623,2.5)); #53030=CARTESIAN_POINT('Origin',(-2.03779520800733,4.11220465682623,2.625)); #53031=CARTESIAN_POINT('Origin',(-1.99999993241678,4.03779520800733,2.5)); #53032=CARTESIAN_POINT('',(-1.99999993241678,4.03779520800733,2.5)); #53033=CARTESIAN_POINT('',(-1.99999993241678,4.03779520800733,2.5)); #53034=CARTESIAN_POINT('',(-1.99999993241678,4.03779520800733,2.625)); #53035=CARTESIAN_POINT('',(-1.99999993241678,4.03779520800733,2.5)); #53036=CARTESIAN_POINT('',(-1.99999993241678,4.03779520800733,2.625)); #53037=CARTESIAN_POINT('Origin',(-2.03779520800733,4.03779520800733,2.5)); #53038=CARTESIAN_POINT('Origin',(-2.03779520800733,4.03779520800733,2.5)); #53039=CARTESIAN_POINT('Origin',(-2.03779520800733,4.03779520800733,2.625)); #53040=CARTESIAN_POINT('Origin',(-4.46220465682623,-4.00000006758322,2.5)); #53041=CARTESIAN_POINT('',(-4.46220465682623,-4.00000006758322,2.5)); #53042=CARTESIAN_POINT('',(-2.03779520800733,-4.00000006758322,2.5)); #53043=CARTESIAN_POINT('',(-4.46220465682623,-4.00000006758322,2.5)); #53044=CARTESIAN_POINT('',(-4.46220465682623,-4.00000006758322,2.625)); #53045=CARTESIAN_POINT('',(-4.46220465682623,-4.00000006758322,2.5)); #53046=CARTESIAN_POINT('',(-2.03779520800733,-4.00000006758322,2.625)); #53047=CARTESIAN_POINT('',(-4.46220465682623,-4.00000006758322,2.625)); #53048=CARTESIAN_POINT('',(-2.03779520800733,-4.00000006758322,2.5)); #53049=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.96220479199267,2.5)); #53050=CARTESIAN_POINT('',(-4.49999993241678,-3.96220479199267,2.5)); #53051=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.96220479199267,2.5)); #53052=CARTESIAN_POINT('',(-4.49999993241678,-3.96220479199267,2.625)); #53053=CARTESIAN_POINT('',(-4.49999993241678,-3.96220479199267,2.5)); #53054=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.96220479199267,2.625)); #53055=CARTESIAN_POINT('Origin',(-4.49999993241678,-3.88779534317377,2.5)); #53056=CARTESIAN_POINT('',(-4.49999993241678,-3.88779534317377,2.5)); #53057=CARTESIAN_POINT('',(-4.49999993241678,-3.88779534317377,2.5)); #53058=CARTESIAN_POINT('',(-4.49999993241678,-3.88779534317377,2.625)); #53059=CARTESIAN_POINT('',(-4.49999993241678,-3.88779534317377,2.5)); #53060=CARTESIAN_POINT('',(-4.49999993241678,-3.88779534317377,2.625)); #53061=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.88779534317377,2.5)); #53062=CARTESIAN_POINT('',(-4.46220465682623,-3.85000006758322,2.5)); #53063=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.88779534317377,2.5)); #53064=CARTESIAN_POINT('',(-4.46220465682623,-3.85000006758322,2.625)); #53065=CARTESIAN_POINT('',(-4.46220465682623,-3.85000006758322,2.5)); #53066=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.88779534317377,2.625)); #53067=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.85000006758322,2.5)); #53068=CARTESIAN_POINT('',(-2.03779520800733,-3.85000006758322,2.5)); #53069=CARTESIAN_POINT('',(-2.03779520800733,-3.85000006758322,2.5)); #53070=CARTESIAN_POINT('',(-2.03779520800733,-3.85000006758322,2.625)); #53071=CARTESIAN_POINT('',(-2.03779520800733,-3.85000006758322,2.5)); #53072=CARTESIAN_POINT('',(-2.03779520800733,-3.85000006758322,2.625)); #53073=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.88779534317377,2.5)); #53074=CARTESIAN_POINT('',(-1.99999993241678,-3.88779534317377,2.5)); #53075=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.88779534317377,2.5)); #53076=CARTESIAN_POINT('',(-1.99999993241678,-3.88779534317377,2.625)); #53077=CARTESIAN_POINT('',(-1.99999993241678,-3.88779534317377,2.5)); #53078=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.88779534317377,2.625)); #53079=CARTESIAN_POINT('Origin',(-1.99999993241678,-3.96220479199267,2.5)); #53080=CARTESIAN_POINT('',(-1.99999993241678,-3.96220479199267,2.5)); #53081=CARTESIAN_POINT('',(-1.99999993241678,-3.96220479199267,2.5)); #53082=CARTESIAN_POINT('',(-1.99999993241678,-3.96220479199267,2.625)); #53083=CARTESIAN_POINT('',(-1.99999993241678,-3.96220479199267,2.5)); #53084=CARTESIAN_POINT('',(-1.99999993241678,-3.96220479199267,2.625)); #53085=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.96220479199267,2.5)); #53086=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.96220479199267,2.5)); #53087=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.96220479199267,2.625)); #53088=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.50000006758322,2.5)); #53089=CARTESIAN_POINT('',(-4.46220465682623,-3.50000006758322,2.5)); #53090=CARTESIAN_POINT('',(-2.03779520800733,-3.50000006758322,2.5)); #53091=CARTESIAN_POINT('',(-4.46220465682623,-3.50000006758322,2.5)); #53092=CARTESIAN_POINT('',(-4.46220465682623,-3.50000006758322,2.625)); #53093=CARTESIAN_POINT('',(-4.46220465682623,-3.50000006758322,2.5)); #53094=CARTESIAN_POINT('',(-2.03779520800733,-3.50000006758322,2.625)); #53095=CARTESIAN_POINT('',(-4.46220465682623,-3.50000006758322,2.625)); #53096=CARTESIAN_POINT('',(-2.03779520800733,-3.50000006758322,2.5)); #53097=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.46220479199267,2.5)); #53098=CARTESIAN_POINT('',(-4.49999993241678,-3.46220479199267,2.5)); #53099=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.46220479199267,2.5)); #53100=CARTESIAN_POINT('',(-4.49999993241678,-3.46220479199267,2.625)); #53101=CARTESIAN_POINT('',(-4.49999993241678,-3.46220479199267,2.5)); #53102=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.46220479199267,2.625)); #53103=CARTESIAN_POINT('Origin',(-4.49999993241678,-3.38779534317377,2.5)); #53104=CARTESIAN_POINT('',(-4.49999993241678,-3.38779534317377,2.5)); #53105=CARTESIAN_POINT('',(-4.49999993241678,-3.38779534317377,2.5)); #53106=CARTESIAN_POINT('',(-4.49999993241678,-3.38779534317377,2.625)); #53107=CARTESIAN_POINT('',(-4.49999993241678,-3.38779534317377,2.5)); #53108=CARTESIAN_POINT('',(-4.49999993241678,-3.38779534317377,2.625)); #53109=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.38779534317377,2.5)); #53110=CARTESIAN_POINT('',(-4.46220465682623,-3.35000006758322,2.5)); #53111=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.38779534317377,2.5)); #53112=CARTESIAN_POINT('',(-4.46220465682623,-3.35000006758322,2.625)); #53113=CARTESIAN_POINT('',(-4.46220465682623,-3.35000006758322,2.5)); #53114=CARTESIAN_POINT('Origin',(-4.46220465682623,-3.38779534317377,2.625)); #53115=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.35000006758322,2.5)); #53116=CARTESIAN_POINT('',(-2.03779520800733,-3.35000006758322,2.5)); #53117=CARTESIAN_POINT('',(-2.03779520800733,-3.35000006758322,2.5)); #53118=CARTESIAN_POINT('',(-2.03779520800733,-3.35000006758322,2.625)); #53119=CARTESIAN_POINT('',(-2.03779520800733,-3.35000006758322,2.5)); #53120=CARTESIAN_POINT('',(-2.03779520800733,-3.35000006758322,2.625)); #53121=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.38779534317377,2.5)); #53122=CARTESIAN_POINT('',(-1.99999993241678,-3.38779534317377,2.5)); #53123=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.38779534317377,2.5)); #53124=CARTESIAN_POINT('',(-1.99999993241678,-3.38779534317377,2.625)); #53125=CARTESIAN_POINT('',(-1.99999993241678,-3.38779534317377,2.5)); #53126=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.38779534317377,2.625)); #53127=CARTESIAN_POINT('Origin',(-1.99999993241678,-3.46220479199267,2.5)); #53128=CARTESIAN_POINT('',(-1.99999993241678,-3.46220479199267,2.5)); #53129=CARTESIAN_POINT('',(-1.99999993241678,-3.46220479199267,2.5)); #53130=CARTESIAN_POINT('',(-1.99999993241678,-3.46220479199267,2.625)); #53131=CARTESIAN_POINT('',(-1.99999993241678,-3.46220479199267,2.5)); #53132=CARTESIAN_POINT('',(-1.99999993241678,-3.46220479199267,2.625)); #53133=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.46220479199267,2.5)); #53134=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.46220479199267,2.5)); #53135=CARTESIAN_POINT('Origin',(-2.03779520800733,-3.46220479199267,2.625)); #53136=CARTESIAN_POINT('Origin',(-4.46220465682623,2.99999993241678,2.5)); #53137=CARTESIAN_POINT('',(-4.46220465682623,2.99999993241678,2.5)); #53138=CARTESIAN_POINT('',(-2.03779520800733,2.99999993241678,2.5)); #53139=CARTESIAN_POINT('',(-4.46220465682623,2.99999993241678,2.5)); #53140=CARTESIAN_POINT('',(-4.46220465682623,2.99999993241678,2.625)); #53141=CARTESIAN_POINT('',(-4.46220465682623,2.99999993241678,2.5)); #53142=CARTESIAN_POINT('',(-2.03779520800733,2.99999993241678,2.625)); #53143=CARTESIAN_POINT('',(-4.46220465682623,2.99999993241678,2.625)); #53144=CARTESIAN_POINT('',(-2.03779520800733,2.99999993241678,2.5)); #53145=CARTESIAN_POINT('Origin',(-4.46220465682623,3.03779520800733,2.5)); #53146=CARTESIAN_POINT('',(-4.49999993241678,3.03779520800733,2.5)); #53147=CARTESIAN_POINT('Origin',(-4.46220465682623,3.03779520800733,2.5)); #53148=CARTESIAN_POINT('',(-4.49999993241678,3.03779520800733,2.625)); #53149=CARTESIAN_POINT('',(-4.49999993241678,3.03779520800733,2.5)); #53150=CARTESIAN_POINT('Origin',(-4.46220465682623,3.03779520800733,2.625)); #53151=CARTESIAN_POINT('Origin',(-4.49999993241678,3.11220465682623,2.5)); #53152=CARTESIAN_POINT('',(-4.49999993241678,3.11220465682623,2.5)); #53153=CARTESIAN_POINT('',(-4.49999993241678,3.11220465682623,2.5)); #53154=CARTESIAN_POINT('',(-4.49999993241678,3.11220465682623,2.625)); #53155=CARTESIAN_POINT('',(-4.49999993241678,3.11220465682623,2.5)); #53156=CARTESIAN_POINT('',(-4.49999993241678,3.11220465682623,2.625)); #53157=CARTESIAN_POINT('Origin',(-4.46220465682623,3.11220465682623,2.5)); #53158=CARTESIAN_POINT('',(-4.46220465682623,3.14999993241678,2.5)); #53159=CARTESIAN_POINT('Origin',(-4.46220465682623,3.11220465682623,2.5)); #53160=CARTESIAN_POINT('',(-4.46220465682623,3.14999993241678,2.625)); #53161=CARTESIAN_POINT('',(-4.46220465682623,3.14999993241678,2.5)); #53162=CARTESIAN_POINT('Origin',(-4.46220465682623,3.11220465682623,2.625)); #53163=CARTESIAN_POINT('Origin',(-2.03779520800733,3.14999993241678,2.5)); #53164=CARTESIAN_POINT('',(-2.03779520800733,3.14999993241678,2.5)); #53165=CARTESIAN_POINT('',(-2.03779520800733,3.14999993241678,2.5)); #53166=CARTESIAN_POINT('',(-2.03779520800733,3.14999993241678,2.625)); #53167=CARTESIAN_POINT('',(-2.03779520800733,3.14999993241678,2.5)); #53168=CARTESIAN_POINT('',(-2.03779520800733,3.14999993241678,2.625)); #53169=CARTESIAN_POINT('Origin',(-2.03779520800733,3.11220465682623,2.5)); #53170=CARTESIAN_POINT('',(-1.99999993241678,3.11220465682623,2.5)); #53171=CARTESIAN_POINT('Origin',(-2.03779520800733,3.11220465682623,2.5)); #53172=CARTESIAN_POINT('',(-1.99999993241678,3.11220465682623,2.625)); #53173=CARTESIAN_POINT('',(-1.99999993241678,3.11220465682623,2.5)); #53174=CARTESIAN_POINT('Origin',(-2.03779520800733,3.11220465682623,2.625)); #53175=CARTESIAN_POINT('Origin',(-1.99999993241678,3.03779520800733,2.5)); #53176=CARTESIAN_POINT('',(-1.99999993241678,3.03779520800733,2.5)); #53177=CARTESIAN_POINT('',(-1.99999993241678,3.03779520800733,2.5)); #53178=CARTESIAN_POINT('',(-1.99999993241678,3.03779520800733,2.625)); #53179=CARTESIAN_POINT('',(-1.99999993241678,3.03779520800733,2.5)); #53180=CARTESIAN_POINT('',(-1.99999993241678,3.03779520800733,2.625)); #53181=CARTESIAN_POINT('Origin',(-2.03779520800733,3.03779520800733,2.5)); #53182=CARTESIAN_POINT('Origin',(-2.03779520800733,3.03779520800733,2.5)); #53183=CARTESIAN_POINT('Origin',(-2.03779520800733,3.03779520800733,2.625)); #53184=CARTESIAN_POINT('Origin',(-4.46220465682623,3.49999993241678,2.5)); #53185=CARTESIAN_POINT('',(-4.46220465682623,3.49999993241678,2.5)); #53186=CARTESIAN_POINT('',(-2.03779520800733,3.49999993241678,2.5)); #53187=CARTESIAN_POINT('',(-4.46220465682623,3.49999993241678,2.5)); #53188=CARTESIAN_POINT('',(-4.46220465682623,3.49999993241678,2.625)); #53189=CARTESIAN_POINT('',(-4.46220465682623,3.49999993241678,2.5)); #53190=CARTESIAN_POINT('',(-2.03779520800733,3.49999993241678,2.625)); #53191=CARTESIAN_POINT('',(-4.46220465682623,3.49999993241678,2.625)); #53192=CARTESIAN_POINT('',(-2.03779520800733,3.49999993241678,2.5)); #53193=CARTESIAN_POINT('Origin',(-4.46220465682623,3.53779520800733,2.5)); #53194=CARTESIAN_POINT('',(-4.49999993241678,3.53779520800733,2.5)); #53195=CARTESIAN_POINT('Origin',(-4.46220465682623,3.53779520800733,2.5)); #53196=CARTESIAN_POINT('',(-4.49999993241678,3.53779520800733,2.625)); #53197=CARTESIAN_POINT('',(-4.49999993241678,3.53779520800733,2.5)); #53198=CARTESIAN_POINT('Origin',(-4.46220465682623,3.53779520800733,2.625)); #53199=CARTESIAN_POINT('Origin',(-4.49999993241678,3.61220465682623,2.5)); #53200=CARTESIAN_POINT('',(-4.49999993241678,3.61220465682623,2.5)); #53201=CARTESIAN_POINT('',(-4.49999993241678,3.61220465682623,2.5)); #53202=CARTESIAN_POINT('',(-4.49999993241678,3.61220465682623,2.625)); #53203=CARTESIAN_POINT('',(-4.49999993241678,3.61220465682623,2.5)); #53204=CARTESIAN_POINT('',(-4.49999993241678,3.61220465682623,2.625)); #53205=CARTESIAN_POINT('Origin',(-4.46220465682623,3.61220465682623,2.5)); #53206=CARTESIAN_POINT('',(-4.46220465682623,3.64999993241678,2.5)); #53207=CARTESIAN_POINT('Origin',(-4.46220465682623,3.61220465682623,2.5)); #53208=CARTESIAN_POINT('',(-4.46220465682623,3.64999993241678,2.625)); #53209=CARTESIAN_POINT('',(-4.46220465682623,3.64999993241678,2.5)); #53210=CARTESIAN_POINT('Origin',(-4.46220465682623,3.61220465682623,2.625)); #53211=CARTESIAN_POINT('Origin',(-2.03779520800733,3.64999993241678,2.5)); #53212=CARTESIAN_POINT('',(-2.03779520800733,3.64999993241678,2.5)); #53213=CARTESIAN_POINT('',(-2.03779520800733,3.64999993241678,2.5)); #53214=CARTESIAN_POINT('',(-2.03779520800733,3.64999993241678,2.625)); #53215=CARTESIAN_POINT('',(-2.03779520800733,3.64999993241678,2.5)); #53216=CARTESIAN_POINT('',(-2.03779520800733,3.64999993241678,2.625)); #53217=CARTESIAN_POINT('Origin',(-2.03779520800733,3.61220465682623,2.5)); #53218=CARTESIAN_POINT('',(-1.99999993241678,3.61220465682623,2.5)); #53219=CARTESIAN_POINT('Origin',(-2.03779520800733,3.61220465682623,2.5)); #53220=CARTESIAN_POINT('',(-1.99999993241678,3.61220465682623,2.625)); #53221=CARTESIAN_POINT('',(-1.99999993241678,3.61220465682623,2.5)); #53222=CARTESIAN_POINT('Origin',(-2.03779520800733,3.61220465682623,2.625)); #53223=CARTESIAN_POINT('Origin',(-1.99999993241678,3.53779520800733,2.5)); #53224=CARTESIAN_POINT('',(-1.99999993241678,3.53779520800733,2.5)); #53225=CARTESIAN_POINT('',(-1.99999993241678,3.53779520800733,2.5)); #53226=CARTESIAN_POINT('',(-1.99999993241678,3.53779520800733,2.625)); #53227=CARTESIAN_POINT('',(-1.99999993241678,3.53779520800733,2.5)); #53228=CARTESIAN_POINT('',(-1.99999993241678,3.53779520800733,2.625)); #53229=CARTESIAN_POINT('Origin',(-2.03779520800733,3.53779520800733,2.5)); #53230=CARTESIAN_POINT('Origin',(-2.03779520800733,3.53779520800733,2.5)); #53231=CARTESIAN_POINT('Origin',(-2.03779520800733,3.53779520800733,2.625)); #53232=CARTESIAN_POINT('Origin',(-4.46220465682623,1.99999993241678,2.5)); #53233=CARTESIAN_POINT('',(-4.46220465682623,1.99999993241678,2.5)); #53234=CARTESIAN_POINT('',(-2.03779520800733,1.99999993241678,2.5)); #53235=CARTESIAN_POINT('',(-4.46220465682623,1.99999993241678,2.5)); #53236=CARTESIAN_POINT('',(-4.46220465682623,1.99999993241678,2.625)); #53237=CARTESIAN_POINT('',(-4.46220465682623,1.99999993241678,2.5)); #53238=CARTESIAN_POINT('',(-2.03779520800733,1.99999993241678,2.625)); #53239=CARTESIAN_POINT('',(-4.46220465682623,1.99999993241678,2.625)); #53240=CARTESIAN_POINT('',(-2.03779520800733,1.99999993241678,2.5)); #53241=CARTESIAN_POINT('Origin',(-4.46220465682623,2.03779520800733,2.5)); #53242=CARTESIAN_POINT('',(-4.49999993241678,2.03779520800733,2.5)); #53243=CARTESIAN_POINT('Origin',(-4.46220465682623,2.03779520800733,2.5)); #53244=CARTESIAN_POINT('',(-4.49999993241678,2.03779520800733,2.625)); #53245=CARTESIAN_POINT('',(-4.49999993241678,2.03779520800733,2.5)); #53246=CARTESIAN_POINT('Origin',(-4.46220465682623,2.03779520800733,2.625)); #53247=CARTESIAN_POINT('Origin',(-4.49999993241678,2.11220465682623,2.5)); #53248=CARTESIAN_POINT('',(-4.49999993241678,2.11220465682623,2.5)); #53249=CARTESIAN_POINT('',(-4.49999993241678,2.11220465682623,2.5)); #53250=CARTESIAN_POINT('',(-4.49999993241678,2.11220465682623,2.625)); #53251=CARTESIAN_POINT('',(-4.49999993241678,2.11220465682623,2.5)); #53252=CARTESIAN_POINT('',(-4.49999993241678,2.11220465682623,2.625)); #53253=CARTESIAN_POINT('Origin',(-4.46220465682623,2.11220465682623,2.5)); #53254=CARTESIAN_POINT('',(-4.46220465682623,2.14999993241678,2.5)); #53255=CARTESIAN_POINT('Origin',(-4.46220465682623,2.11220465682623,2.5)); #53256=CARTESIAN_POINT('',(-4.46220465682623,2.14999993241678,2.625)); #53257=CARTESIAN_POINT('',(-4.46220465682623,2.14999993241678,2.5)); #53258=CARTESIAN_POINT('Origin',(-4.46220465682623,2.11220465682623,2.625)); #53259=CARTESIAN_POINT('Origin',(-2.03779520800733,2.14999993241678,2.5)); #53260=CARTESIAN_POINT('',(-2.03779520800733,2.14999993241678,2.5)); #53261=CARTESIAN_POINT('',(-2.03779520800733,2.14999993241678,2.5)); #53262=CARTESIAN_POINT('',(-2.03779520800733,2.14999993241678,2.625)); #53263=CARTESIAN_POINT('',(-2.03779520800733,2.14999993241678,2.5)); #53264=CARTESIAN_POINT('',(-2.03779520800733,2.14999993241678,2.625)); #53265=CARTESIAN_POINT('Origin',(-2.03779520800733,2.11220465682623,2.5)); #53266=CARTESIAN_POINT('',(-1.99999993241678,2.11220465682623,2.5)); #53267=CARTESIAN_POINT('Origin',(-2.03779520800733,2.11220465682623,2.5)); #53268=CARTESIAN_POINT('',(-1.99999993241678,2.11220465682623,2.625)); #53269=CARTESIAN_POINT('',(-1.99999993241678,2.11220465682623,2.5)); #53270=CARTESIAN_POINT('Origin',(-2.03779520800733,2.11220465682623,2.625)); #53271=CARTESIAN_POINT('Origin',(-1.99999993241678,2.03779520800733,2.5)); #53272=CARTESIAN_POINT('',(-1.99999993241678,2.03779520800733,2.5)); #53273=CARTESIAN_POINT('',(-1.99999993241678,2.03779520800733,2.5)); #53274=CARTESIAN_POINT('',(-1.99999993241678,2.03779520800733,2.625)); #53275=CARTESIAN_POINT('',(-1.99999993241678,2.03779520800733,2.5)); #53276=CARTESIAN_POINT('',(-1.99999993241678,2.03779520800733,2.625)); #53277=CARTESIAN_POINT('Origin',(-2.03779520800733,2.03779520800733,2.5)); #53278=CARTESIAN_POINT('Origin',(-2.03779520800733,2.03779520800733,2.5)); #53279=CARTESIAN_POINT('Origin',(-2.03779520800733,2.03779520800733,2.625)); #53280=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.500000067583218,2.5)); #53281=CARTESIAN_POINT('',(-4.46220465682623,-0.500000067583218,2.5)); #53282=CARTESIAN_POINT('',(-2.03779520800733,-0.500000067583218,2.5)); #53283=CARTESIAN_POINT('',(-4.46220465682623,-0.500000067583218,2.5)); #53284=CARTESIAN_POINT('',(-4.46220465682623,-0.500000067583218,2.625)); #53285=CARTESIAN_POINT('',(-4.46220465682623,-0.500000067583218,2.5)); #53286=CARTESIAN_POINT('',(-2.03779520800733,-0.500000067583218,2.625)); #53287=CARTESIAN_POINT('',(-4.46220465682623,-0.500000067583218,2.625)); #53288=CARTESIAN_POINT('',(-2.03779520800733,-0.500000067583218,2.5)); #53289=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.462204791992668,2.5)); #53290=CARTESIAN_POINT('',(-4.49999993241678,-0.462204791992668,2.5)); #53291=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.462204791992668,2.5)); #53292=CARTESIAN_POINT('',(-4.49999993241678,-0.462204791992668,2.625)); #53293=CARTESIAN_POINT('',(-4.49999993241678,-0.462204791992668,2.5)); #53294=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.462204791992668,2.625)); #53295=CARTESIAN_POINT('Origin',(-4.49999993241678,-0.387795343173767,2.5)); #53296=CARTESIAN_POINT('',(-4.49999993241678,-0.387795343173767,2.5)); #53297=CARTESIAN_POINT('',(-4.49999993241678,-0.387795343173767,2.5)); #53298=CARTESIAN_POINT('',(-4.49999993241678,-0.387795343173767,2.625)); #53299=CARTESIAN_POINT('',(-4.49999993241678,-0.387795343173767,2.5)); #53300=CARTESIAN_POINT('',(-4.49999993241678,-0.387795343173767,2.625)); #53301=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.387795343173767,2.5)); #53302=CARTESIAN_POINT('',(-4.46220465682623,-0.350000067583218,2.5)); #53303=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.387795343173767,2.5)); #53304=CARTESIAN_POINT('',(-4.46220465682623,-0.350000067583218,2.625)); #53305=CARTESIAN_POINT('',(-4.46220465682623,-0.350000067583218,2.5)); #53306=CARTESIAN_POINT('Origin',(-4.46220465682623,-0.387795343173767,2.625)); #53307=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.350000067583218,2.5)); #53308=CARTESIAN_POINT('',(-2.03779520800733,-0.350000067583218,2.5)); #53309=CARTESIAN_POINT('',(-2.03779520800733,-0.350000067583218,2.5)); #53310=CARTESIAN_POINT('',(-2.03779520800733,-0.350000067583218,2.625)); #53311=CARTESIAN_POINT('',(-2.03779520800733,-0.350000067583218,2.5)); #53312=CARTESIAN_POINT('',(-2.03779520800733,-0.350000067583218,2.625)); #53313=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.387795343173768,2.5)); #53314=CARTESIAN_POINT('',(-1.99999993241678,-0.387795343173768,2.5)); #53315=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.387795343173768,2.5)); #53316=CARTESIAN_POINT('',(-1.99999993241678,-0.387795343173768,2.625)); #53317=CARTESIAN_POINT('',(-1.99999993241678,-0.387795343173768,2.5)); #53318=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.387795343173768,2.625)); #53319=CARTESIAN_POINT('Origin',(-1.99999993241678,-0.462204791992667,2.5)); #53320=CARTESIAN_POINT('',(-1.99999993241678,-0.462204791992667,2.5)); #53321=CARTESIAN_POINT('',(-1.99999993241678,-0.462204791992667,2.5)); #53322=CARTESIAN_POINT('',(-1.99999993241678,-0.462204791992667,2.625)); #53323=CARTESIAN_POINT('',(-1.99999993241678,-0.462204791992667,2.5)); #53324=CARTESIAN_POINT('',(-1.99999993241678,-0.462204791992667,2.625)); #53325=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.462204791992667,2.5)); #53326=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.462204791992667,2.5)); #53327=CARTESIAN_POINT('Origin',(-2.03779520800733,-0.462204791992667,2.625)); #53328=CARTESIAN_POINT('Origin',(-4.46220465682623,1.49999993241678,2.5)); #53329=CARTESIAN_POINT('',(-4.46220465682623,1.49999993241678,2.5)); #53330=CARTESIAN_POINT('',(-2.03779520800733,1.49999993241678,2.5)); #53331=CARTESIAN_POINT('',(-4.46220465682623,1.49999993241678,2.5)); #53332=CARTESIAN_POINT('',(-4.46220465682623,1.49999993241678,2.625)); #53333=CARTESIAN_POINT('',(-4.46220465682623,1.49999993241678,2.5)); #53334=CARTESIAN_POINT('',(-2.03779520800733,1.49999993241678,2.625)); #53335=CARTESIAN_POINT('',(-4.46220465682623,1.49999993241678,2.625)); #53336=CARTESIAN_POINT('',(-2.03779520800733,1.49999993241678,2.5)); #53337=CARTESIAN_POINT('Origin',(-4.46220465682623,1.53779520800733,2.5)); #53338=CARTESIAN_POINT('',(-4.49999993241678,1.53779520800733,2.5)); #53339=CARTESIAN_POINT('Origin',(-4.46220465682623,1.53779520800733,2.5)); #53340=CARTESIAN_POINT('',(-4.49999993241678,1.53779520800733,2.625)); #53341=CARTESIAN_POINT('',(-4.49999993241678,1.53779520800733,2.5)); #53342=CARTESIAN_POINT('Origin',(-4.46220465682623,1.53779520800733,2.625)); #53343=CARTESIAN_POINT('Origin',(-4.49999993241678,1.61220465682623,2.5)); #53344=CARTESIAN_POINT('',(-4.49999993241678,1.61220465682623,2.5)); #53345=CARTESIAN_POINT('',(-4.49999993241678,1.61220465682623,2.5)); #53346=CARTESIAN_POINT('',(-4.49999993241678,1.61220465682623,2.625)); #53347=CARTESIAN_POINT('',(-4.49999993241678,1.61220465682623,2.5)); #53348=CARTESIAN_POINT('',(-4.49999993241678,1.61220465682623,2.625)); #53349=CARTESIAN_POINT('Origin',(-4.46220465682623,1.61220465682623,2.5)); #53350=CARTESIAN_POINT('',(-4.46220465682623,1.64999993241678,2.5)); #53351=CARTESIAN_POINT('Origin',(-4.46220465682623,1.61220465682623,2.5)); #53352=CARTESIAN_POINT('',(-4.46220465682623,1.64999993241678,2.625)); #53353=CARTESIAN_POINT('',(-4.46220465682623,1.64999993241678,2.5)); #53354=CARTESIAN_POINT('Origin',(-4.46220465682623,1.61220465682623,2.625)); #53355=CARTESIAN_POINT('Origin',(-2.03779520800733,1.64999993241678,2.5)); #53356=CARTESIAN_POINT('',(-2.03779520800733,1.64999993241678,2.5)); #53357=CARTESIAN_POINT('',(-2.03779520800733,1.64999993241678,2.5)); #53358=CARTESIAN_POINT('',(-2.03779520800733,1.64999993241678,2.625)); #53359=CARTESIAN_POINT('',(-2.03779520800733,1.64999993241678,2.5)); #53360=CARTESIAN_POINT('',(-2.03779520800733,1.64999993241678,2.625)); #53361=CARTESIAN_POINT('Origin',(-2.03779520800733,1.61220465682623,2.5)); #53362=CARTESIAN_POINT('',(-1.99999993241678,1.61220465682623,2.5)); #53363=CARTESIAN_POINT('Origin',(-2.03779520800733,1.61220465682623,2.5)); #53364=CARTESIAN_POINT('',(-1.99999993241678,1.61220465682623,2.625)); #53365=CARTESIAN_POINT('',(-1.99999993241678,1.61220465682623,2.5)); #53366=CARTESIAN_POINT('Origin',(-2.03779520800733,1.61220465682623,2.625)); #53367=CARTESIAN_POINT('Origin',(-1.99999993241678,1.53779520800733,2.5)); #53368=CARTESIAN_POINT('',(-1.99999993241678,1.53779520800733,2.5)); #53369=CARTESIAN_POINT('',(-1.99999993241678,1.53779520800733,2.5)); #53370=CARTESIAN_POINT('',(-1.99999993241678,1.53779520800733,2.625)); #53371=CARTESIAN_POINT('',(-1.99999993241678,1.53779520800733,2.5)); #53372=CARTESIAN_POINT('',(-1.99999993241678,1.53779520800733,2.625)); #53373=CARTESIAN_POINT('Origin',(-2.03779520800733,1.53779520800733,2.5)); #53374=CARTESIAN_POINT('Origin',(-2.03779520800733,1.53779520800733,2.5)); #53375=CARTESIAN_POINT('Origin',(-2.03779520800733,1.53779520800733,2.625)); #53376=CARTESIAN_POINT('Origin',(-4.46220465682623,0.999999932416782,2.5)); #53377=CARTESIAN_POINT('',(-4.46220465682623,0.999999932416782,2.5)); #53378=CARTESIAN_POINT('',(-2.03779520800733,0.999999932416782,2.5)); #53379=CARTESIAN_POINT('',(-4.46220465682623,0.999999932416782,2.5)); #53380=CARTESIAN_POINT('',(-4.46220465682623,0.999999932416782,2.625)); #53381=CARTESIAN_POINT('',(-4.46220465682623,0.999999932416782,2.5)); #53382=CARTESIAN_POINT('',(-2.03779520800733,0.999999932416782,2.625)); #53383=CARTESIAN_POINT('',(-4.46220465682623,0.999999932416782,2.625)); #53384=CARTESIAN_POINT('',(-2.03779520800733,0.999999932416782,2.5)); #53385=CARTESIAN_POINT('Origin',(-4.46220465682623,1.03779520800733,2.5)); #53386=CARTESIAN_POINT('',(-4.49999993241678,1.03779520800733,2.5)); #53387=CARTESIAN_POINT('Origin',(-4.46220465682623,1.03779520800733,2.5)); #53388=CARTESIAN_POINT('',(-4.49999993241678,1.03779520800733,2.625)); #53389=CARTESIAN_POINT('',(-4.49999993241678,1.03779520800733,2.5)); #53390=CARTESIAN_POINT('Origin',(-4.46220465682623,1.03779520800733,2.625)); #53391=CARTESIAN_POINT('Origin',(-4.49999993241678,1.11220465682623,2.5)); #53392=CARTESIAN_POINT('',(-4.49999993241678,1.11220465682623,2.5)); #53393=CARTESIAN_POINT('',(-4.49999993241678,1.11220465682623,2.5)); #53394=CARTESIAN_POINT('',(-4.49999993241678,1.11220465682623,2.625)); #53395=CARTESIAN_POINT('',(-4.49999993241678,1.11220465682623,2.5)); #53396=CARTESIAN_POINT('',(-4.49999993241678,1.11220465682623,2.625)); #53397=CARTESIAN_POINT('Origin',(-4.46220465682623,1.11220465682623,2.5)); #53398=CARTESIAN_POINT('',(-4.46220465682623,1.14999993241678,2.5)); #53399=CARTESIAN_POINT('Origin',(-4.46220465682623,1.11220465682623,2.5)); #53400=CARTESIAN_POINT('',(-4.46220465682623,1.14999993241678,2.625)); #53401=CARTESIAN_POINT('',(-4.46220465682623,1.14999993241678,2.5)); #53402=CARTESIAN_POINT('Origin',(-4.46220465682623,1.11220465682623,2.625)); #53403=CARTESIAN_POINT('Origin',(-2.03779520800733,1.14999993241678,2.5)); #53404=CARTESIAN_POINT('',(-2.03779520800733,1.14999993241678,2.5)); #53405=CARTESIAN_POINT('',(-2.03779520800733,1.14999993241678,2.5)); #53406=CARTESIAN_POINT('',(-2.03779520800733,1.14999993241678,2.625)); #53407=CARTESIAN_POINT('',(-2.03779520800733,1.14999993241678,2.5)); #53408=CARTESIAN_POINT('',(-2.03779520800733,1.14999993241678,2.625)); #53409=CARTESIAN_POINT('Origin',(-2.03779520800733,1.11220465682623,2.5)); #53410=CARTESIAN_POINT('',(-1.99999993241678,1.11220465682623,2.5)); #53411=CARTESIAN_POINT('Origin',(-2.03779520800733,1.11220465682623,2.5)); #53412=CARTESIAN_POINT('',(-1.99999993241678,1.11220465682623,2.625)); #53413=CARTESIAN_POINT('',(-1.99999993241678,1.11220465682623,2.5)); #53414=CARTESIAN_POINT('Origin',(-2.03779520800733,1.11220465682623,2.625)); #53415=CARTESIAN_POINT('Origin',(-1.99999993241678,1.03779520800733,2.5)); #53416=CARTESIAN_POINT('',(-1.99999993241678,1.03779520800733,2.5)); #53417=CARTESIAN_POINT('',(-1.99999993241678,1.03779520800733,2.5)); #53418=CARTESIAN_POINT('',(-1.99999993241678,1.03779520800733,2.625)); #53419=CARTESIAN_POINT('',(-1.99999993241678,1.03779520800733,2.5)); #53420=CARTESIAN_POINT('',(-1.99999993241678,1.03779520800733,2.625)); #53421=CARTESIAN_POINT('Origin',(-2.03779520800733,1.03779520800733,2.5)); #53422=CARTESIAN_POINT('Origin',(-2.03779520800733,1.03779520800733,2.5)); #53423=CARTESIAN_POINT('Origin',(-2.03779520800733,1.03779520800733,2.625)); #53424=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.50000006758322,2.5)); #53425=CARTESIAN_POINT('',(-4.46220465682623,-1.50000006758322,2.5)); #53426=CARTESIAN_POINT('',(-2.03779520800733,-1.50000006758322,2.5)); #53427=CARTESIAN_POINT('',(-4.46220465682623,-1.50000006758322,2.5)); #53428=CARTESIAN_POINT('',(-4.46220465682623,-1.50000006758322,2.625)); #53429=CARTESIAN_POINT('',(-4.46220465682623,-1.50000006758322,2.5)); #53430=CARTESIAN_POINT('',(-2.03779520800733,-1.50000006758322,2.625)); #53431=CARTESIAN_POINT('',(-4.46220465682623,-1.50000006758322,2.625)); #53432=CARTESIAN_POINT('',(-2.03779520800733,-1.50000006758322,2.5)); #53433=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.46220479199267,2.5)); #53434=CARTESIAN_POINT('',(-4.49999993241678,-1.46220479199267,2.5)); #53435=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.46220479199267,2.5)); #53436=CARTESIAN_POINT('',(-4.49999993241678,-1.46220479199267,2.625)); #53437=CARTESIAN_POINT('',(-4.49999993241678,-1.46220479199267,2.5)); #53438=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.46220479199267,2.625)); #53439=CARTESIAN_POINT('Origin',(-4.49999993241678,-1.38779534317377,2.5)); #53440=CARTESIAN_POINT('',(-4.49999993241678,-1.38779534317377,2.5)); #53441=CARTESIAN_POINT('',(-4.49999993241678,-1.38779534317377,2.5)); #53442=CARTESIAN_POINT('',(-4.49999993241678,-1.38779534317377,2.625)); #53443=CARTESIAN_POINT('',(-4.49999993241678,-1.38779534317377,2.5)); #53444=CARTESIAN_POINT('',(-4.49999993241678,-1.38779534317377,2.625)); #53445=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.38779534317377,2.5)); #53446=CARTESIAN_POINT('',(-4.46220465682623,-1.35000006758322,2.5)); #53447=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.38779534317377,2.5)); #53448=CARTESIAN_POINT('',(-4.46220465682623,-1.35000006758322,2.625)); #53449=CARTESIAN_POINT('',(-4.46220465682623,-1.35000006758322,2.5)); #53450=CARTESIAN_POINT('Origin',(-4.46220465682623,-1.38779534317377,2.625)); #53451=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.35000006758322,2.5)); #53452=CARTESIAN_POINT('',(-2.03779520800733,-1.35000006758322,2.5)); #53453=CARTESIAN_POINT('',(-2.03779520800733,-1.35000006758322,2.5)); #53454=CARTESIAN_POINT('',(-2.03779520800733,-1.35000006758322,2.625)); #53455=CARTESIAN_POINT('',(-2.03779520800733,-1.35000006758322,2.5)); #53456=CARTESIAN_POINT('',(-2.03779520800733,-1.35000006758322,2.625)); #53457=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.38779534317377,2.5)); #53458=CARTESIAN_POINT('',(-1.99999993241678,-1.38779534317377,2.5)); #53459=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.38779534317377,2.5)); #53460=CARTESIAN_POINT('',(-1.99999993241678,-1.38779534317377,2.625)); #53461=CARTESIAN_POINT('',(-1.99999993241678,-1.38779534317377,2.5)); #53462=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.38779534317377,2.625)); #53463=CARTESIAN_POINT('Origin',(-1.99999993241678,-1.46220479199267,2.5)); #53464=CARTESIAN_POINT('',(-1.99999993241678,-1.46220479199267,2.5)); #53465=CARTESIAN_POINT('',(-1.99999993241678,-1.46220479199267,2.5)); #53466=CARTESIAN_POINT('',(-1.99999993241678,-1.46220479199267,2.625)); #53467=CARTESIAN_POINT('',(-1.99999993241678,-1.46220479199267,2.5)); #53468=CARTESIAN_POINT('',(-1.99999993241678,-1.46220479199267,2.625)); #53469=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.46220479199267,2.5)); #53470=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.46220479199267,2.5)); #53471=CARTESIAN_POINT('Origin',(-2.03779520800733,-1.46220479199267,2.625)); #53472=CARTESIAN_POINT('Origin',(-2.50000007978574,4.99999992490753,2.5)); #53473=CARTESIAN_POINT('',(-2.50000007978574,5.24999992490753,2.5)); #53474=CARTESIAN_POINT('',(-2.50000007978574,4.99999992490753,2.5)); #53475=CARTESIAN_POINT('',(-2.50000007978574,5.24999992490753,2.5)); #53476=CARTESIAN_POINT('',(-2.50000007978574,4.99999992490753,2.625)); #53477=CARTESIAN_POINT('',(-2.50000007978574,4.99999992490753,2.5)); #53478=CARTESIAN_POINT('',(-2.50000007978574,5.24999992490753,2.625)); #53479=CARTESIAN_POINT('',(-2.50000007978574,5.24999992490753,2.625)); #53480=CARTESIAN_POINT('',(-2.50000007978574,5.24999992490753,2.5)); #53481=CARTESIAN_POINT('Origin',(-4.50000014361434,4.99999992490753,2.5)); #53482=CARTESIAN_POINT('',(-4.50000014361434,4.99999992490753,2.5)); #53483=CARTESIAN_POINT('',(-4.50000014361434,4.99999992490753,2.5)); #53484=CARTESIAN_POINT('',(-4.50000014361434,4.99999992490753,2.625)); #53485=CARTESIAN_POINT('',(-4.50000014361434,4.99999992490753,2.5)); #53486=CARTESIAN_POINT('',(-4.50000014361434,4.99999992490753,2.625)); #53487=CARTESIAN_POINT('Origin',(-4.50000014361434,5.24999992490753,2.5)); #53488=CARTESIAN_POINT('',(-4.49999996996301,5.24999992490753,2.5)); #53489=CARTESIAN_POINT('',(-4.50000014361434,5.24999992490753,2.5)); #53490=CARTESIAN_POINT('',(-4.49999996996301,5.24999992490753,2.625)); #53491=CARTESIAN_POINT('',(-4.49999996996301,5.24999992490753,2.5)); #53492=CARTESIAN_POINT('',(-4.50000014361434,5.24999992490753,2.625)); #53493=CARTESIAN_POINT('Origin',(-4.49999996996301,4.74999992490753,2.5)); #53494=CARTESIAN_POINT('',(-4.99999996996301,4.74999992490753,2.5)); #53495=CARTESIAN_POINT('Origin',(-4.49999996996301,4.74999992490753,2.5)); #53496=CARTESIAN_POINT('',(-4.99999996996301,4.74999992490753,2.625)); #53497=CARTESIAN_POINT('',(-4.99999996996301,4.74999992490753,2.5)); #53498=CARTESIAN_POINT('Origin',(-4.49999996996301,4.74999992490753,2.625)); #53499=CARTESIAN_POINT('Origin',(-4.99999996996301,-4.74999992490753,2.5)); #53500=CARTESIAN_POINT('',(-4.99999996996301,-4.74999992490753,2.5)); #53501=CARTESIAN_POINT('',(-4.99999996996301,4.74999992490753,2.5)); #53502=CARTESIAN_POINT('',(-4.99999996996301,-4.74999992490753,2.625)); #53503=CARTESIAN_POINT('',(-4.99999996996301,-4.74999992490753,2.5)); #53504=CARTESIAN_POINT('',(-4.99999996996301,4.74999992490753,2.625)); #53505=CARTESIAN_POINT('Origin',(-4.49999996996301,-4.74999992490753,2.5)); #53506=CARTESIAN_POINT('',(-4.49999996996301,-5.24999992490753,2.5)); #53507=CARTESIAN_POINT('Origin',(-4.49999996996301,-4.74999992490753,2.5)); #53508=CARTESIAN_POINT('',(-4.49999996996301,-5.24999992490753,2.625)); #53509=CARTESIAN_POINT('',(-4.49999996996301,-5.24999992490753,2.5)); #53510=CARTESIAN_POINT('Origin',(-4.49999996996301,-4.74999992490753,2.625)); #53511=CARTESIAN_POINT('Origin',(-4.50000014361434,-4.99999992490753,2.5)); #53512=CARTESIAN_POINT('',(-4.50000014361434,-4.99999992490753,2.5)); #53513=CARTESIAN_POINT('',(-4.50000014361434,-5.24999992490753,2.5)); #53514=CARTESIAN_POINT('',(-4.50000014361434,-4.99999992490753,2.625)); #53515=CARTESIAN_POINT('',(-4.50000014361434,-4.99999992490753,2.5)); #53516=CARTESIAN_POINT('',(-4.50000014361434,-5.24999992490753,2.625)); #53517=CARTESIAN_POINT('Origin',(-2.50000007978574,-4.99999992490753,2.5)); #53518=CARTESIAN_POINT('',(-2.50000007978574,-4.99999992490753,2.5)); #53519=CARTESIAN_POINT('',(-2.50000007978574,-4.99999992490753,2.5)); #53520=CARTESIAN_POINT('',(-2.50000007978574,-4.99999992490753,2.625)); #53521=CARTESIAN_POINT('',(-2.50000007978574,-4.99999992490753,2.5)); #53522=CARTESIAN_POINT('',(-2.50000007978574,-4.99999992490753,2.625)); #53523=CARTESIAN_POINT('Origin',(-2.50000007978574,-5.24999992490753,2.5)); #53524=CARTESIAN_POINT('',(-2.50000007978574,-5.24999992490753,2.5)); #53525=CARTESIAN_POINT('',(-2.50000007978574,-5.24999992490753,2.5)); #53526=CARTESIAN_POINT('',(-2.50000007978574,-5.24999992490753,2.625)); #53527=CARTESIAN_POINT('',(-2.50000007978574,-5.24999992490753,2.5)); #53528=CARTESIAN_POINT('',(-2.50000007978574,-5.24999992490753,2.625)); #53529=CARTESIAN_POINT('Origin',(-1.99999997747226,-5.24999992490753,2.5)); #53530=CARTESIAN_POINT('',(-1.99999997747226,-5.24999992490753,2.5)); #53531=CARTESIAN_POINT('',(-1.99999997747226,-5.24999992490753,2.5)); #53532=CARTESIAN_POINT('',(-1.99999997747226,-5.24999992490753,2.625)); #53533=CARTESIAN_POINT('',(-1.99999997747226,-5.24999992490753,2.5)); #53534=CARTESIAN_POINT('',(-1.99999997747226,-5.24999992490753,2.625)); #53535=CARTESIAN_POINT('Origin',(-1.99999997747226,-4.74999992490753,2.5)); #53536=CARTESIAN_POINT('',(-1.49999997747226,-4.74999992490753,2.5)); #53537=CARTESIAN_POINT('Origin',(-1.99999997747226,-4.74999992490753,2.5)); #53538=CARTESIAN_POINT('',(-1.49999997747226,-4.74999992490753,2.625)); #53539=CARTESIAN_POINT('',(-1.49999997747226,-4.74999992490753,2.5)); #53540=CARTESIAN_POINT('Origin',(-1.99999997747226,-4.74999992490753,2.625)); #53541=CARTESIAN_POINT('Origin',(-1.49999997747226,-0.500000015957149,2.5)); #53542=CARTESIAN_POINT('',(-1.49999997747226,-0.500000015957149,2.5)); #53543=CARTESIAN_POINT('',(-1.49999997747226,-4.99999992490753,2.5)); #53544=CARTESIAN_POINT('',(-1.49999997747226,-0.500000015957149,2.625)); #53545=CARTESIAN_POINT('',(-1.49999997747226,-0.500000015957149,2.5)); #53546=CARTESIAN_POINT('',(-1.49999997747226,-4.99999992490753,2.625)); #53547=CARTESIAN_POINT('Origin',(-1.74999996996301,-0.500000015957149,2.5)); #53548=CARTESIAN_POINT('',(-1.74999996996301,-0.500000015957149,2.5)); #53549=CARTESIAN_POINT('',(-1.49999997747226,-0.500000015957149,2.5)); #53550=CARTESIAN_POINT('',(-1.74999996996301,-0.500000015957149,2.625)); #53551=CARTESIAN_POINT('',(-1.74999996996301,-0.500000015957149,2.5)); #53552=CARTESIAN_POINT('',(-1.49999997747226,-0.500000015957149,2.625)); #53553=CARTESIAN_POINT('Origin',(-1.74999996996301,1.50000004787145,2.5)); #53554=CARTESIAN_POINT('',(-1.74999996996301,1.50000004787145,2.5)); #53555=CARTESIAN_POINT('',(-1.74999996996301,1.50000004787145,2.5)); #53556=CARTESIAN_POINT('',(-1.74999996996301,1.50000004787145,2.625)); #53557=CARTESIAN_POINT('',(-1.74999996996301,1.50000004787145,2.5)); #53558=CARTESIAN_POINT('',(-1.74999996996301,1.50000004787145,2.625)); #53559=CARTESIAN_POINT('Origin',(-1.49999997747226,1.50000004787145,2.5)); #53560=CARTESIAN_POINT('',(-1.49999997747226,1.50000004787145,2.5)); #53561=CARTESIAN_POINT('',(-1.49999997747226,1.50000004787145,2.5)); #53562=CARTESIAN_POINT('',(-1.49999997747226,1.50000004787145,2.625)); #53563=CARTESIAN_POINT('',(-1.49999997747226,1.50000004787145,2.5)); #53564=CARTESIAN_POINT('',(-1.49999997747226,1.50000004787145,2.625)); #53565=CARTESIAN_POINT('Origin',(-1.49999997747226,4.74999992490753,2.5)); #53566=CARTESIAN_POINT('',(-1.49999997747226,4.74999992490753,2.5)); #53567=CARTESIAN_POINT('',(-1.49999997747226,4.99999992490753,2.5)); #53568=CARTESIAN_POINT('',(-1.49999997747226,4.74999992490753,2.625)); #53569=CARTESIAN_POINT('',(-1.49999997747226,4.74999992490753,2.5)); #53570=CARTESIAN_POINT('',(-1.49999997747226,4.99999992490753,2.625)); #53571=CARTESIAN_POINT('Origin',(-1.99999997747226,4.74999992490753,2.5)); #53572=CARTESIAN_POINT('',(-1.99999997747226,5.24999992490753,2.5)); #53573=CARTESIAN_POINT('Origin',(-1.99999997747226,4.74999992490753,2.5)); #53574=CARTESIAN_POINT('',(-1.99999997747226,5.24999992490753,2.625)); #53575=CARTESIAN_POINT('',(-1.99999997747226,5.24999992490753,2.5)); #53576=CARTESIAN_POINT('Origin',(-1.99999997747226,4.74999992490753,2.625)); #53577=CARTESIAN_POINT('Origin',(-2.50000007978574,5.24999992490753,2.5)); #53578=CARTESIAN_POINT('',(-1.99999997747226,5.24999992490753,2.5)); #53579=CARTESIAN_POINT('',(-1.99999997747226,5.24999992490753,2.625)); #53580=CARTESIAN_POINT('Origin',(-3.24999997371764,0.,2.625)); #53581=CARTESIAN_POINT('Origin',(-3.24999997371764,0.,2.5)); #53582=CARTESIAN_POINT('',(0.,0.,0.)); #53583=CARTESIAN_POINT('',(0.,3.8,0.)); #53584=CARTESIAN_POINT('',(0.,0.,0.)); #53585=CARTESIAN_POINT('',(0.,0.,0.)); #53586=CARTESIAN_POINT('',(0.,0.,0.)); #53587=CARTESIAN_POINT('',(0.,0.,0.)); #53588=CARTESIAN_POINT('',(0.,0.,0.)); #53589=CARTESIAN_POINT('Origin',(2.55493502053129,-2.21279527559055,5.99999990988904)); #53590=CARTESIAN_POINT('',(2.51713974494074,-2.21279527559055,5.99999990988904)); #53591=CARTESIAN_POINT('',(2.55493502053129,-2.175,5.99999990988904)); #53592=CARTESIAN_POINT('Origin',(2.55493502053129,-2.21279527559055,5.99999990988904)); #53593=CARTESIAN_POINT('',(2.55493502053129,-2.175,6.24999990988904)); #53594=CARTESIAN_POINT('',(2.55493502053129,-2.175,5.99999990988904)); #53595=CARTESIAN_POINT('',(2.51713974494074,-2.21279527559055,6.24999990988904)); #53596=CARTESIAN_POINT('Origin',(2.55493502053129,-2.21279527559055,6.24999990988904)); #53597=CARTESIAN_POINT('',(2.51713974494074,-2.21279527559055,5.99999990988904)); #53598=CARTESIAN_POINT('Origin',(2.51713974494074,-2.28720472440945,5.99999990988904)); #53599=CARTESIAN_POINT('',(2.51713974494074,-2.28720472440945,5.99999990988904)); #53600=CARTESIAN_POINT('',(2.51713974494074,-2.21279527559055,5.99999990988904)); #53601=CARTESIAN_POINT('',(2.51713974494074,-2.28720472440945,6.24999990988904)); #53602=CARTESIAN_POINT('',(2.51713974494074,-2.21279527559055,6.24999990988904)); #53603=CARTESIAN_POINT('',(2.51713974494074,-2.28720472440945,5.99999990988904)); #53604=CARTESIAN_POINT('Origin',(2.55493502053129,-2.28720472440945,5.99999990988904)); #53605=CARTESIAN_POINT('',(2.55493502053129,-2.325,5.99999990988904)); #53606=CARTESIAN_POINT('Origin',(2.55493502053129,-2.28720472440945,5.99999990988904)); #53607=CARTESIAN_POINT('',(2.55493502053129,-2.325,6.24999990988904)); #53608=CARTESIAN_POINT('Origin',(2.55493502053129,-2.28720472440945,6.24999990988904)); #53609=CARTESIAN_POINT('',(2.55493502053129,-2.325,5.99999990988904)); #53610=CARTESIAN_POINT('Origin',(4.97934446935019,-2.325,5.99999990988904)); #53611=CARTESIAN_POINT('',(4.97934446935019,-2.325,5.99999990988904)); #53612=CARTESIAN_POINT('',(2.55493502053129,-2.325,5.99999990988904)); #53613=CARTESIAN_POINT('',(4.97934446935019,-2.325,6.24999990988904)); #53614=CARTESIAN_POINT('',(2.55493502053129,-2.325,6.24999990988904)); #53615=CARTESIAN_POINT('',(4.97934446935019,-2.325,5.99999990988904)); #53616=CARTESIAN_POINT('Origin',(4.97934446935019,-2.28720472440945,5.99999990988904)); #53617=CARTESIAN_POINT('',(5.01713974494074,-2.28720472440945,5.99999990988904)); #53618=CARTESIAN_POINT('Origin',(4.97934446935019,-2.28720472440945,5.99999990988904)); #53619=CARTESIAN_POINT('',(5.01713974494074,-2.28720472440945,6.24999990988904)); #53620=CARTESIAN_POINT('Origin',(4.97934446935019,-2.28720472440945,6.24999990988904)); #53621=CARTESIAN_POINT('',(5.01713974494074,-2.28720472440945,5.99999990988904)); #53622=CARTESIAN_POINT('Origin',(5.01713974494074,-2.21279527559055,5.99999990988904)); #53623=CARTESIAN_POINT('',(5.01713974494074,-2.21279527559055,5.99999990988904)); #53624=CARTESIAN_POINT('',(5.01713974494074,-2.28720472440945,5.99999990988904)); #53625=CARTESIAN_POINT('',(5.01713974494074,-2.21279527559055,6.24999990988904)); #53626=CARTESIAN_POINT('',(5.01713974494074,-2.28720472440945,6.24999990988904)); #53627=CARTESIAN_POINT('',(5.01713974494074,-2.21279527559055,5.99999990988904)); #53628=CARTESIAN_POINT('Origin',(4.97934446935019,-2.21279527559055,5.99999990988904)); #53629=CARTESIAN_POINT('',(4.97934446935019,-2.175,5.99999990988904)); #53630=CARTESIAN_POINT('Origin',(4.97934446935019,-2.21279527559055,5.99999990988904)); #53631=CARTESIAN_POINT('',(4.97934446935019,-2.175,6.24999990988904)); #53632=CARTESIAN_POINT('Origin',(4.97934446935019,-2.21279527559055,6.24999990988904)); #53633=CARTESIAN_POINT('',(4.97934446935019,-2.175,5.99999990988904)); #53634=CARTESIAN_POINT('Origin',(2.55493502053129,-2.175,5.99999990988904)); #53635=CARTESIAN_POINT('',(4.97934446935019,-2.175,5.99999990988904)); #53636=CARTESIAN_POINT('',(4.97934446935019,-2.175,6.24999990988904)); #53637=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #53638=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #53639=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,5.99999990988904)); #53640=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #53641=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,6.24999990988904)); #53642=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,5.99999990988904)); #53643=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,6.24999990988904)); #53644=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,6.24999990988904)); #53645=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #53646=CARTESIAN_POINT('Origin',(-2.00000004787145,-4.5,5.99999990988904)); #53647=CARTESIAN_POINT('',(-2.00000004787145,-4.5,5.99999990988904)); #53648=CARTESIAN_POINT('',(-2.00000004787145,-4.5,5.99999990988904)); #53649=CARTESIAN_POINT('',(-2.00000004787145,-4.5,6.24999990988904)); #53650=CARTESIAN_POINT('',(-2.00000004787145,-4.5,6.24999990988904)); #53651=CARTESIAN_POINT('',(-2.00000004787145,-4.5,5.99999990988904)); #53652=CARTESIAN_POINT('Origin',(-1.75000004787145,-4.5,5.99999990988904)); #53653=CARTESIAN_POINT('',(-1.75000004787145,-4.5,5.99999990988904)); #53654=CARTESIAN_POINT('',(-1.75000004787145,-4.5,5.99999990988904)); #53655=CARTESIAN_POINT('',(-1.75000004787145,-4.5,6.24999990988904)); #53656=CARTESIAN_POINT('',(-1.75000004787145,-4.5,6.24999990988904)); #53657=CARTESIAN_POINT('',(-1.75000004787145,-4.5,5.99999990988904)); #53658=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.4999999680857,5.99999990988904)); #53659=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,5.99999990988904)); #53660=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,6.24999990988904)); #53661=CARTESIAN_POINT('Origin',(-4.97934446935019,-2.175,5.99999990988904)); #53662=CARTESIAN_POINT('',(-4.97934446935019,-2.175,5.99999990988904)); #53663=CARTESIAN_POINT('',(-2.55493502053129,-2.175,5.99999990988904)); #53664=CARTESIAN_POINT('',(-4.97934446935019,-2.175,5.99999990988904)); #53665=CARTESIAN_POINT('',(-2.55493502053129,-2.175,6.24999990988904)); #53666=CARTESIAN_POINT('',(-2.55493502053129,-2.175,5.99999990988904)); #53667=CARTESIAN_POINT('',(-4.97934446935019,-2.175,6.24999990988904)); #53668=CARTESIAN_POINT('',(-4.97934446935019,-2.175,6.24999990988904)); #53669=CARTESIAN_POINT('',(-4.97934446935019,-2.175,5.99999990988904)); #53670=CARTESIAN_POINT('Origin',(-4.97934446935019,-2.21279527559055,5.99999990988904)); #53671=CARTESIAN_POINT('',(-5.01713974494074,-2.21279527559055,5.99999990988904)); #53672=CARTESIAN_POINT('Origin',(-4.97934446935019,-2.21279527559055,5.99999990988904)); #53673=CARTESIAN_POINT('',(-5.01713974494074,-2.21279527559055,6.24999990988904)); #53674=CARTESIAN_POINT('Origin',(-4.97934446935019,-2.21279527559055,6.24999990988904)); #53675=CARTESIAN_POINT('',(-5.01713974494074,-2.21279527559055,5.99999990988904)); #53676=CARTESIAN_POINT('Origin',(-5.01713974494074,-2.28720472440945,5.99999990988904)); #53677=CARTESIAN_POINT('',(-5.01713974494074,-2.28720472440945,5.99999990988904)); #53678=CARTESIAN_POINT('',(-5.01713974494074,-2.28720472440945,5.99999990988904)); #53679=CARTESIAN_POINT('',(-5.01713974494074,-2.28720472440945,6.24999990988904)); #53680=CARTESIAN_POINT('',(-5.01713974494074,-2.28720472440945,6.24999990988904)); #53681=CARTESIAN_POINT('',(-5.01713974494074,-2.28720472440945,5.99999990988904)); #53682=CARTESIAN_POINT('Origin',(-4.97934446935019,-2.28720472440945,5.99999990988904)); #53683=CARTESIAN_POINT('',(-4.97934446935019,-2.325,5.99999990988904)); #53684=CARTESIAN_POINT('Origin',(-4.97934446935019,-2.28720472440945,5.99999990988904)); #53685=CARTESIAN_POINT('',(-4.97934446935019,-2.325,6.24999990988904)); #53686=CARTESIAN_POINT('Origin',(-4.97934446935019,-2.28720472440945,6.24999990988904)); #53687=CARTESIAN_POINT('',(-4.97934446935019,-2.325,5.99999990988904)); #53688=CARTESIAN_POINT('Origin',(-2.55493502053129,-2.325,5.99999990988904)); #53689=CARTESIAN_POINT('',(-2.55493502053129,-2.325,5.99999990988904)); #53690=CARTESIAN_POINT('',(-2.55493502053129,-2.325,5.99999990988904)); #53691=CARTESIAN_POINT('',(-2.55493502053129,-2.325,6.24999990988904)); #53692=CARTESIAN_POINT('',(-2.55493502053129,-2.325,6.24999990988904)); #53693=CARTESIAN_POINT('',(-2.55493502053129,-2.325,5.99999990988904)); #53694=CARTESIAN_POINT('Origin',(-2.55493502053129,-2.28720472440945,5.99999990988904)); #53695=CARTESIAN_POINT('',(-2.51713974494074,-2.28720472440945,5.99999990988904)); #53696=CARTESIAN_POINT('Origin',(-2.55493502053129,-2.28720472440945,5.99999990988904)); #53697=CARTESIAN_POINT('',(-2.51713974494074,-2.28720472440945,6.24999990988904)); #53698=CARTESIAN_POINT('Origin',(-2.55493502053129,-2.28720472440945,6.24999990988904)); #53699=CARTESIAN_POINT('',(-2.51713974494074,-2.28720472440945,5.99999990988904)); #53700=CARTESIAN_POINT('Origin',(-2.51713974494074,-2.21279527559055,5.99999990988904)); #53701=CARTESIAN_POINT('',(-2.51713974494074,-2.21279527559055,5.99999990988904)); #53702=CARTESIAN_POINT('',(-2.51713974494074,-2.21279527559055,5.99999990988904)); #53703=CARTESIAN_POINT('',(-2.51713974494074,-2.21279527559055,6.24999990988904)); #53704=CARTESIAN_POINT('',(-2.51713974494074,-2.21279527559055,6.24999990988904)); #53705=CARTESIAN_POINT('',(-2.51713974494074,-2.21279527559055,5.99999990988904)); #53706=CARTESIAN_POINT('Origin',(-2.55493502053129,-2.21279527559055,5.99999990988904)); #53707=CARTESIAN_POINT('Origin',(-2.55493502053129,-2.21279527559055,5.99999990988904)); #53708=CARTESIAN_POINT('Origin',(-2.55493502053129,-2.21279527559055,6.24999990988904)); #53709=CARTESIAN_POINT('Origin',(-2.74999992490753,2.99999993992603,5.99999990988904)); #53710=CARTESIAN_POINT('',(-2.74999992490753,2.99999993992603,5.99999990988904)); #53711=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,5.99999990988904)); #53712=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,5.99999990988904)); #53713=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,6.24999990988904)); #53714=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,5.99999990988904)); #53715=CARTESIAN_POINT('',(-2.74999992490753,2.99999993992603,6.24999990988904)); #53716=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,6.24999990988904)); #53717=CARTESIAN_POINT('',(-2.74999992490753,2.99999993992603,5.99999990988904)); #53718=CARTESIAN_POINT('Origin',(-2.49999992490753,2.99999993992603,5.99999990988904)); #53719=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992603,5.99999990988904)); #53720=CARTESIAN_POINT('',(-2.74999992490753,2.99999993992603,5.99999990988904)); #53721=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992603,6.24999990988904)); #53722=CARTESIAN_POINT('',(-2.74999992490753,2.99999993992603,6.24999990988904)); #53723=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992603,5.99999990988904)); #53724=CARTESIAN_POINT('Origin',(-2.49999992490753,3.99999993992603,5.99999990988904)); #53725=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,5.99999990988904)); #53726=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992603,5.99999990988904)); #53727=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,6.24999990988904)); #53728=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992603,6.24999990988904)); #53729=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,5.99999990988904)); #53730=CARTESIAN_POINT('Origin',(-2.74999992490753,3.99999993992603,5.99999990988904)); #53731=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,5.99999990988904)); #53732=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,6.24999990988904)); #53733=CARTESIAN_POINT('Origin',(-3.24999992490753,3.50000011170004,5.99999990988904)); #53734=CARTESIAN_POINT('',(-3.12999992490753,3.50000011170004,6.24999990988904)); #53735=CARTESIAN_POINT('Origin',(-3.24999992490753,3.50000011170004,6.24999990988904)); #53736=CARTESIAN_POINT('',(-3.12999992490753,3.50000011170004,5.99999990988904)); #53737=CARTESIAN_POINT('',(-3.12999992490753,3.50000011170004,5.99999990988904)); #53738=CARTESIAN_POINT('Origin',(-3.24999992490753,3.50000011170004,5.99999990988904)); #53739=CARTESIAN_POINT('Origin',(-3.49999994743527,-4.49999993241678,5.99999990988904)); #53740=CARTESIAN_POINT('',(-3.37999994743527,-4.49999993241678,6.24999990988904)); #53741=CARTESIAN_POINT('Origin',(-3.49999994743527,-4.49999993241678,6.24999990988904)); #53742=CARTESIAN_POINT('',(-3.37999994743527,-4.49999993241678,5.99999990988904)); #53743=CARTESIAN_POINT('',(-3.37999994743527,-4.49999993241678,5.99999990988904)); #53744=CARTESIAN_POINT('Origin',(-3.49999994743527,-4.49999993241678,5.99999990988904)); #53745=CARTESIAN_POINT('Origin',(-3.00000000750925,-5.25,5.99999990988904)); #53746=CARTESIAN_POINT('',(-3.00000000750925,-5.25,5.99999990988904)); #53747=CARTESIAN_POINT('',(-3.99999993992603,-5.25,5.99999990988904)); #53748=CARTESIAN_POINT('',(-3.00000000750925,-5.25,5.99999990988904)); #53749=CARTESIAN_POINT('',(-3.99999993992603,-5.25,6.24999990988904)); #53750=CARTESIAN_POINT('',(-3.99999993992603,-5.25,5.99999990988904)); #53751=CARTESIAN_POINT('',(-3.00000000750925,-5.25,6.24999990988904)); #53752=CARTESIAN_POINT('',(-3.00000000750925,-5.25,6.24999990988904)); #53753=CARTESIAN_POINT('',(-3.00000000750925,-5.25,5.99999990988904)); #53754=CARTESIAN_POINT('Origin',(-3.00000000750925,-4.99999992490753,5.99999990988904)); #53755=CARTESIAN_POINT('',(-3.00000000750925,-4.99999992490753,5.99999990988904)); #53756=CARTESIAN_POINT('',(-3.00000000750925,-4.99999992490753,5.99999990988904)); #53757=CARTESIAN_POINT('',(-3.00000000750925,-4.99999992490753,6.24999990988904)); #53758=CARTESIAN_POINT('',(-3.00000000750925,-4.99999992490753,6.24999990988904)); #53759=CARTESIAN_POINT('',(-3.00000000750925,-4.99999992490753,5.99999990988904)); #53760=CARTESIAN_POINT('Origin',(-3.99999993992603,-4.99999992490753,5.99999990988904)); #53761=CARTESIAN_POINT('',(-3.99999993992603,-4.99999992490753,5.99999990988904)); #53762=CARTESIAN_POINT('',(-3.99999993992603,-4.99999992490753,5.99999990988904)); #53763=CARTESIAN_POINT('',(-3.99999993992603,-4.99999992490753,6.24999990988904)); #53764=CARTESIAN_POINT('',(-3.99999993992603,-4.99999992490753,6.24999990988904)); #53765=CARTESIAN_POINT('',(-3.99999993992603,-4.99999992490753,5.99999990988904)); #53766=CARTESIAN_POINT('Origin',(-3.99999993992603,-5.25,5.99999990988904)); #53767=CARTESIAN_POINT('',(-3.99999993992603,-5.25,5.99999990988904)); #53768=CARTESIAN_POINT('',(-3.99999993992603,-5.25,6.24999990988904)); #53769=CARTESIAN_POINT('Origin',(1.75000004787145,-4.5,5.99999990988904)); #53770=CARTESIAN_POINT('',(1.75000004787145,-4.5,5.99999990988904)); #53771=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,5.99999990988904)); #53772=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,5.99999990988904)); #53773=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,6.24999990988904)); #53774=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,5.99999990988904)); #53775=CARTESIAN_POINT('',(1.75000004787145,-4.5,6.24999990988904)); #53776=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,6.24999990988904)); #53777=CARTESIAN_POINT('',(1.75000004787145,-4.5,5.99999990988904)); #53778=CARTESIAN_POINT('Origin',(2.00000004787145,-4.5,5.99999990988904)); #53779=CARTESIAN_POINT('',(2.00000004787145,-4.5,5.99999990988904)); #53780=CARTESIAN_POINT('',(1.75000004787145,-4.5,5.99999990988904)); #53781=CARTESIAN_POINT('',(2.00000004787145,-4.5,6.24999990988904)); #53782=CARTESIAN_POINT('',(1.75000004787145,-4.5,6.24999990988904)); #53783=CARTESIAN_POINT('',(2.00000004787145,-4.5,5.99999990988904)); #53784=CARTESIAN_POINT('Origin',(2.00000004787145,-3.4999999680857,5.99999990988904)); #53785=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,5.99999990988904)); #53786=CARTESIAN_POINT('',(2.00000004787145,-4.5,5.99999990988904)); #53787=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,6.24999990988904)); #53788=CARTESIAN_POINT('',(2.00000004787145,-4.5,6.24999990988904)); #53789=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,5.99999990988904)); #53790=CARTESIAN_POINT('Origin',(1.75000004787145,-3.4999999680857,5.99999990988904)); #53791=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,5.99999990988904)); #53792=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,6.24999990988904)); #53793=CARTESIAN_POINT('Origin',(1.0000000319143,0.500000015957149,5.99999990988904)); #53794=CARTESIAN_POINT('',(1.1200000319143,0.500000015957149,6.24999990988904)); #53795=CARTESIAN_POINT('Origin',(1.0000000319143,0.500000015957149,6.24999990988904)); #53796=CARTESIAN_POINT('',(1.1200000319143,0.500000015957149,5.99999990988904)); #53797=CARTESIAN_POINT('',(1.1200000319143,0.500000015957149,5.99999990988904)); #53798=CARTESIAN_POINT('Origin',(1.0000000319143,0.500000015957149,5.99999990988904)); #53799=CARTESIAN_POINT('Origin',(2.55493502053129,-1.78720472440945,5.99999990988904)); #53800=CARTESIAN_POINT('',(2.55493502053129,-1.825,5.99999990988904)); #53801=CARTESIAN_POINT('',(2.51713974494074,-1.78720472440945,5.99999990988904)); #53802=CARTESIAN_POINT('Origin',(2.55493502053129,-1.78720472440945,5.99999990988904)); #53803=CARTESIAN_POINT('',(2.51713974494074,-1.78720472440945,6.24999990988904)); #53804=CARTESIAN_POINT('',(2.51713974494074,-1.78720472440945,5.99999990988904)); #53805=CARTESIAN_POINT('',(2.55493502053129,-1.825,6.24999990988904)); #53806=CARTESIAN_POINT('Origin',(2.55493502053129,-1.78720472440945,6.24999990988904)); #53807=CARTESIAN_POINT('',(2.55493502053129,-1.825,5.99999990988904)); #53808=CARTESIAN_POINT('Origin',(4.97934446935019,-1.825,5.99999990988904)); #53809=CARTESIAN_POINT('',(4.97934446935019,-1.825,5.99999990988904)); #53810=CARTESIAN_POINT('',(2.55493502053129,-1.825,5.99999990988904)); #53811=CARTESIAN_POINT('',(4.97934446935019,-1.825,6.24999990988904)); #53812=CARTESIAN_POINT('',(2.55493502053129,-1.825,6.24999990988904)); #53813=CARTESIAN_POINT('',(4.97934446935019,-1.825,5.99999990988904)); #53814=CARTESIAN_POINT('Origin',(4.97934446935019,-1.78720472440945,5.99999990988904)); #53815=CARTESIAN_POINT('',(5.01713974494074,-1.78720472440945,5.99999990988904)); #53816=CARTESIAN_POINT('Origin',(4.97934446935019,-1.78720472440945,5.99999990988904)); #53817=CARTESIAN_POINT('',(5.01713974494074,-1.78720472440945,6.24999990988904)); #53818=CARTESIAN_POINT('Origin',(4.97934446935019,-1.78720472440945,6.24999990988904)); #53819=CARTESIAN_POINT('',(5.01713974494074,-1.78720472440945,5.99999990988904)); #53820=CARTESIAN_POINT('Origin',(5.01713974494074,-1.71279527559055,5.99999990988904)); #53821=CARTESIAN_POINT('',(5.01713974494074,-1.71279527559055,5.99999990988904)); #53822=CARTESIAN_POINT('',(5.01713974494074,-1.78720472440945,5.99999990988904)); #53823=CARTESIAN_POINT('',(5.01713974494074,-1.71279527559055,6.24999990988904)); #53824=CARTESIAN_POINT('',(5.01713974494074,-1.78720472440945,6.24999990988904)); #53825=CARTESIAN_POINT('',(5.01713974494074,-1.71279527559055,5.99999990988904)); #53826=CARTESIAN_POINT('Origin',(4.97934446935019,-1.71279527559055,5.99999990988904)); #53827=CARTESIAN_POINT('',(4.97934446935019,-1.675,5.99999990988904)); #53828=CARTESIAN_POINT('Origin',(4.97934446935019,-1.71279527559055,5.99999990988904)); #53829=CARTESIAN_POINT('',(4.97934446935019,-1.675,6.24999990988904)); #53830=CARTESIAN_POINT('Origin',(4.97934446935019,-1.71279527559055,6.24999990988904)); #53831=CARTESIAN_POINT('',(4.97934446935019,-1.675,5.99999990988904)); #53832=CARTESIAN_POINT('Origin',(2.55493502053129,-1.675,5.99999990988904)); #53833=CARTESIAN_POINT('',(2.55493502053129,-1.675,5.99999990988904)); #53834=CARTESIAN_POINT('',(4.97934446935019,-1.675,5.99999990988904)); #53835=CARTESIAN_POINT('',(2.55493502053129,-1.675,6.24999990988904)); #53836=CARTESIAN_POINT('',(4.97934446935019,-1.675,6.24999990988904)); #53837=CARTESIAN_POINT('',(2.55493502053129,-1.675,5.99999990988904)); #53838=CARTESIAN_POINT('Origin',(2.55493502053129,-1.71279527559055,5.99999990988904)); #53839=CARTESIAN_POINT('',(2.51713974494074,-1.71279527559055,5.99999990988904)); #53840=CARTESIAN_POINT('Origin',(2.55493502053129,-1.71279527559055,5.99999990988904)); #53841=CARTESIAN_POINT('',(2.51713974494074,-1.71279527559055,6.24999990988904)); #53842=CARTESIAN_POINT('Origin',(2.55493502053129,-1.71279527559055,6.24999990988904)); #53843=CARTESIAN_POINT('',(2.51713974494074,-1.71279527559055,5.99999990988904)); #53844=CARTESIAN_POINT('Origin',(2.51713974494074,-1.78720472440945,5.99999990988904)); #53845=CARTESIAN_POINT('',(2.51713974494074,-1.71279527559055,5.99999990988904)); #53846=CARTESIAN_POINT('',(2.51713974494074,-1.71279527559055,6.24999990988904)); #53847=CARTESIAN_POINT('Origin',(3.50000011170004,4.50000014361434,5.99999990988904)); #53848=CARTESIAN_POINT('',(3.62000011170004,4.50000014361434,6.24999990988904)); #53849=CARTESIAN_POINT('Origin',(3.50000011170004,4.50000014361434,6.24999990988904)); #53850=CARTESIAN_POINT('',(3.62000011170004,4.50000014361434,5.99999990988904)); #53851=CARTESIAN_POINT('',(3.62000011170004,4.50000014361434,5.99999990988904)); #53852=CARTESIAN_POINT('Origin',(3.50000011170004,4.50000014361434,5.99999990988904)); #53853=CARTESIAN_POINT('Origin',(-1.25000004787145,1.99513897384356,5.99999990988904)); #53854=CARTESIAN_POINT('',(-1.13000004787145,1.99513897384356,6.24999990988904)); #53855=CARTESIAN_POINT('Origin',(-1.25000004787145,1.99513897384356,6.24999990988904)); #53856=CARTESIAN_POINT('',(-1.13000004787145,1.99513897384356,5.99999990988904)); #53857=CARTESIAN_POINT('',(-1.13000004787145,1.99513897384356,5.99999990988904)); #53858=CARTESIAN_POINT('Origin',(-1.25000004787145,1.99513897384356,5.99999990988904)); #53859=CARTESIAN_POINT('Origin',(3.50000011170004,-4.50000014361434,5.99999990988904)); #53860=CARTESIAN_POINT('',(3.62000011170004,-4.50000014361434,6.24999990988904)); #53861=CARTESIAN_POINT('Origin',(3.50000011170004,-4.50000014361434,6.24999990988904)); #53862=CARTESIAN_POINT('',(3.62000011170004,-4.50000014361434,5.99999990988904)); #53863=CARTESIAN_POINT('',(3.62000011170004,-4.50000014361434,5.99999990988904)); #53864=CARTESIAN_POINT('Origin',(3.50000011170004,-4.50000014361434,5.99999990988904)); #53865=CARTESIAN_POINT('Origin',(-1.20000004787145,-2.49999998404285,5.99999990988904)); #53866=CARTESIAN_POINT('',(-1.08000004787145,-2.49999998404285,6.24999990988904)); #53867=CARTESIAN_POINT('Origin',(-1.20000004787145,-2.49999998404285,6.24999990988904)); #53868=CARTESIAN_POINT('',(-1.08000004787145,-2.49999998404285,5.99999990988904)); #53869=CARTESIAN_POINT('',(-1.08000004787145,-2.49999998404285,5.99999990988904)); #53870=CARTESIAN_POINT('Origin',(-1.20000004787145,-2.49999998404285,5.99999990988904)); #53871=CARTESIAN_POINT('Origin',(3.24999992490753,3.50000011170004,5.99999990988904)); #53872=CARTESIAN_POINT('',(3.36999992490753,3.50000011170004,6.24999990988904)); #53873=CARTESIAN_POINT('Origin',(3.24999992490753,3.50000011170004,6.24999990988904)); #53874=CARTESIAN_POINT('',(3.36999992490753,3.50000011170004,5.99999990988904)); #53875=CARTESIAN_POINT('',(3.36999992490753,3.50000011170004,5.99999990988904)); #53876=CARTESIAN_POINT('Origin',(3.24999992490753,3.50000011170004,5.99999990988904)); #53877=CARTESIAN_POINT('Origin',(3.24999992490753,-3.50000011170004,5.99999990988904)); #53878=CARTESIAN_POINT('',(3.36999992490753,-3.50000011170004,6.24999990988904)); #53879=CARTESIAN_POINT('Origin',(3.24999992490753,-3.50000011170004,6.24999990988904)); #53880=CARTESIAN_POINT('',(3.36999992490753,-3.50000011170004,5.99999990988904)); #53881=CARTESIAN_POINT('',(3.36999992490753,-3.50000011170004,5.99999990988904)); #53882=CARTESIAN_POINT('Origin',(3.24999992490753,-3.50000011170004,5.99999990988904)); #53883=CARTESIAN_POINT('Origin',(2.74999992490753,-3.99999993992603,5.99999990988904)); #53884=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,5.99999990988904)); #53885=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,5.99999990988904)); #53886=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,5.99999990988904)); #53887=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,6.24999990988904)); #53888=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,5.99999990988904)); #53889=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,6.24999990988904)); #53890=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,6.24999990988904)); #53891=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,5.99999990988904)); #53892=CARTESIAN_POINT('Origin',(2.74999992490753,-2.99999993992603,5.99999990988904)); #53893=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,5.99999990988904)); #53894=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,5.99999990988904)); #53895=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,6.24999990988904)); #53896=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,6.24999990988904)); #53897=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,5.99999990988904)); #53898=CARTESIAN_POINT('Origin',(2.49999992490753,-2.99999993992603,5.99999990988904)); #53899=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,5.99999990988904)); #53900=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,5.99999990988904)); #53901=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,6.24999990988904)); #53902=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,6.24999990988904)); #53903=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,5.99999990988904)); #53904=CARTESIAN_POINT('Origin',(2.49999992490753,-3.99999993992603,5.99999990988904)); #53905=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,5.99999990988904)); #53906=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,6.24999990988904)); #53907=CARTESIAN_POINT('Origin',(-3.24999992490753,-3.50000011170004,5.99999990988904)); #53908=CARTESIAN_POINT('',(-3.12999992490753,-3.50000011170004,6.24999990988904)); #53909=CARTESIAN_POINT('Origin',(-3.24999992490753,-3.50000011170004,6.24999990988904)); #53910=CARTESIAN_POINT('',(-3.12999992490753,-3.50000011170004,5.99999990988904)); #53911=CARTESIAN_POINT('',(-3.12999992490753,-3.50000011170004,5.99999990988904)); #53912=CARTESIAN_POINT('Origin',(-3.24999992490753,-3.50000011170004,5.99999990988904)); #53913=CARTESIAN_POINT('Origin',(1.25000004787145,1.99513897384356,5.99999990988904)); #53914=CARTESIAN_POINT('',(1.37000004787145,1.99513897384356,6.24999990988904)); #53915=CARTESIAN_POINT('Origin',(1.25000004787145,1.99513897384356,6.24999990988904)); #53916=CARTESIAN_POINT('',(1.37000004787145,1.99513897384356,5.99999990988904)); #53917=CARTESIAN_POINT('',(1.37000004787145,1.99513897384356,5.99999990988904)); #53918=CARTESIAN_POINT('Origin',(1.25000004787145,1.99513897384356,5.99999990988904)); #53919=CARTESIAN_POINT('Origin',(2.00000004787145,-3.,5.99999990988904)); #53920=CARTESIAN_POINT('',(2.00000004787145,-3.,5.99999990988904)); #53921=CARTESIAN_POINT('',(1.75000004787145,-3.,5.99999990988904)); #53922=CARTESIAN_POINT('',(1.75000004787145,-3.,5.99999990988904)); #53923=CARTESIAN_POINT('',(1.75000004787145,-3.,6.24999990988904)); #53924=CARTESIAN_POINT('',(1.75000004787145,-3.,5.99999990988904)); #53925=CARTESIAN_POINT('',(2.00000004787145,-3.,6.24999990988904)); #53926=CARTESIAN_POINT('',(1.75000004787145,-3.,6.24999990988904)); #53927=CARTESIAN_POINT('',(2.00000004787145,-3.,5.99999990988904)); #53928=CARTESIAN_POINT('Origin',(2.00000004787145,-1.9999999680857,5.99999990988904)); #53929=CARTESIAN_POINT('',(2.00000004787145,-1.9999999680857,5.99999990988904)); #53930=CARTESIAN_POINT('',(2.00000004787145,-3.,5.99999990988904)); #53931=CARTESIAN_POINT('',(2.00000004787145,-1.9999999680857,6.24999990988904)); #53932=CARTESIAN_POINT('',(2.00000004787145,-3.,6.24999990988904)); #53933=CARTESIAN_POINT('',(2.00000004787145,-1.9999999680857,5.99999990988904)); #53934=CARTESIAN_POINT('Origin',(1.75000004787145,-1.9999999680857,5.99999990988904)); #53935=CARTESIAN_POINT('',(1.75000004787145,-1.9999999680857,5.99999990988904)); #53936=CARTESIAN_POINT('',(2.00000004787145,-1.9999999680857,5.99999990988904)); #53937=CARTESIAN_POINT('',(1.75000004787145,-1.9999999680857,6.24999990988904)); #53938=CARTESIAN_POINT('',(2.00000004787145,-1.9999999680857,6.24999990988904)); #53939=CARTESIAN_POINT('',(1.75000004787145,-1.9999999680857,5.99999990988904)); #53940=CARTESIAN_POINT('Origin',(1.75000004787145,-3.,5.99999990988904)); #53941=CARTESIAN_POINT('',(1.75000004787145,-1.9999999680857,5.99999990988904)); #53942=CARTESIAN_POINT('',(1.75000004787145,-1.9999999680857,6.24999990988904)); #53943=CARTESIAN_POINT('Origin',(-3.00000000750925,4.99999992490753,5.99999990988904)); #53944=CARTESIAN_POINT('',(-3.00000000750925,4.99999992490753,5.99999990988904)); #53945=CARTESIAN_POINT('',(-3.99999993992603,4.99999992490753,5.99999990988904)); #53946=CARTESIAN_POINT('',(-3.99999993992603,4.99999992490753,5.99999990988904)); #53947=CARTESIAN_POINT('',(-3.99999993992603,4.99999992490753,6.24999990988904)); #53948=CARTESIAN_POINT('',(-3.99999993992603,4.99999992490753,5.99999990988904)); #53949=CARTESIAN_POINT('',(-3.00000000750925,4.99999992490753,6.24999990988904)); #53950=CARTESIAN_POINT('',(-3.99999993992603,4.99999992490753,6.24999990988904)); #53951=CARTESIAN_POINT('',(-3.00000000750925,4.99999992490753,5.99999990988904)); #53952=CARTESIAN_POINT('Origin',(-3.00000000750925,5.25,5.99999990988904)); #53953=CARTESIAN_POINT('',(-3.00000000750925,5.25,5.99999990988904)); #53954=CARTESIAN_POINT('',(-3.00000000750925,4.99999992490753,5.99999990988904)); #53955=CARTESIAN_POINT('',(-3.00000000750925,5.25,6.24999990988904)); #53956=CARTESIAN_POINT('',(-3.00000000750925,4.99999992490753,6.24999990988904)); #53957=CARTESIAN_POINT('',(-3.00000000750925,5.25,5.99999990988904)); #53958=CARTESIAN_POINT('Origin',(-3.99999993992603,5.25,5.99999990988904)); #53959=CARTESIAN_POINT('',(-3.99999993992603,5.25,5.99999990988904)); #53960=CARTESIAN_POINT('',(-3.00000000750925,5.25,5.99999990988904)); #53961=CARTESIAN_POINT('',(-3.99999993992603,5.25,6.24999990988904)); #53962=CARTESIAN_POINT('',(-3.00000000750925,5.25,6.24999990988904)); #53963=CARTESIAN_POINT('',(-3.99999993992603,5.25,5.99999990988904)); #53964=CARTESIAN_POINT('Origin',(-3.99999993992603,4.99999992490753,5.99999990988904)); #53965=CARTESIAN_POINT('',(-3.99999993992603,5.25,5.99999990988904)); #53966=CARTESIAN_POINT('',(-3.99999993992603,5.25,6.24999990988904)); #53967=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.675,5.99999990988904)); #53968=CARTESIAN_POINT('',(-4.97934446935019,-0.675,5.99999990988904)); #53969=CARTESIAN_POINT('',(-2.55493502053129,-0.675,5.99999990988904)); #53970=CARTESIAN_POINT('',(-4.97934446935019,-0.675,5.99999990988904)); #53971=CARTESIAN_POINT('',(-2.55493502053129,-0.675,6.24999990988904)); #53972=CARTESIAN_POINT('',(-2.55493502053129,-0.675,5.99999990988904)); #53973=CARTESIAN_POINT('',(-4.97934446935019,-0.675,6.24999990988904)); #53974=CARTESIAN_POINT('',(-4.97934446935019,-0.675,6.24999990988904)); #53975=CARTESIAN_POINT('',(-4.97934446935019,-0.675,5.99999990988904)); #53976=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.712795275590549,5.99999990988904)); #53977=CARTESIAN_POINT('',(-5.01713974494074,-0.712795275590549,5.99999990988904)); #53978=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.712795275590549,5.99999990988904)); #53979=CARTESIAN_POINT('',(-5.01713974494074,-0.712795275590549,6.24999990988904)); #53980=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.712795275590549,6.24999990988904)); #53981=CARTESIAN_POINT('',(-5.01713974494074,-0.712795275590549,5.99999990988904)); #53982=CARTESIAN_POINT('Origin',(-5.01713974494074,-0.787204724409449,5.99999990988904)); #53983=CARTESIAN_POINT('',(-5.01713974494074,-0.787204724409449,5.99999990988904)); #53984=CARTESIAN_POINT('',(-5.01713974494074,-0.787204724409449,5.99999990988904)); #53985=CARTESIAN_POINT('',(-5.01713974494074,-0.787204724409449,6.24999990988904)); #53986=CARTESIAN_POINT('',(-5.01713974494074,-0.787204724409449,6.24999990988904)); #53987=CARTESIAN_POINT('',(-5.01713974494074,-0.787204724409449,5.99999990988904)); #53988=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.787204724409449,5.99999990988904)); #53989=CARTESIAN_POINT('',(-4.97934446935019,-0.825,5.99999990988904)); #53990=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.787204724409449,5.99999990988904)); #53991=CARTESIAN_POINT('',(-4.97934446935019,-0.825,6.24999990988904)); #53992=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.787204724409449,6.24999990988904)); #53993=CARTESIAN_POINT('',(-4.97934446935019,-0.825,5.99999990988904)); #53994=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.825,5.99999990988904)); #53995=CARTESIAN_POINT('',(-2.55493502053129,-0.825,5.99999990988904)); #53996=CARTESIAN_POINT('',(-2.55493502053129,-0.825,5.99999990988904)); #53997=CARTESIAN_POINT('',(-2.55493502053129,-0.825,6.24999990988904)); #53998=CARTESIAN_POINT('',(-2.55493502053129,-0.825,6.24999990988904)); #53999=CARTESIAN_POINT('',(-2.55493502053129,-0.825,5.99999990988904)); #54000=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.787204724409448,5.99999990988904)); #54001=CARTESIAN_POINT('',(-2.51713974494074,-0.787204724409448,5.99999990988904)); #54002=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.787204724409448,5.99999990988904)); #54003=CARTESIAN_POINT('',(-2.51713974494074,-0.787204724409448,6.24999990988904)); #54004=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.787204724409448,6.24999990988904)); #54005=CARTESIAN_POINT('',(-2.51713974494074,-0.787204724409448,5.99999990988904)); #54006=CARTESIAN_POINT('Origin',(-2.51713974494074,-0.71279527559055,5.99999990988904)); #54007=CARTESIAN_POINT('',(-2.51713974494074,-0.71279527559055,5.99999990988904)); #54008=CARTESIAN_POINT('',(-2.51713974494074,-0.71279527559055,5.99999990988904)); #54009=CARTESIAN_POINT('',(-2.51713974494074,-0.71279527559055,6.24999990988904)); #54010=CARTESIAN_POINT('',(-2.51713974494074,-0.71279527559055,6.24999990988904)); #54011=CARTESIAN_POINT('',(-2.51713974494074,-0.71279527559055,5.99999990988904)); #54012=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.712795275590551,5.99999990988904)); #54013=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.712795275590551,5.99999990988904)); #54014=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.712795275590551,6.24999990988904)); #54015=CARTESIAN_POINT('Origin',(2.51713974494074,1.21279527559055,5.99999990988904)); #54016=CARTESIAN_POINT('',(2.51713974494074,1.21279527559055,5.99999990988904)); #54017=CARTESIAN_POINT('',(2.51713974494074,1.28720472440945,5.99999990988904)); #54018=CARTESIAN_POINT('',(2.51713974494074,1.28720472440945,5.99999990988904)); #54019=CARTESIAN_POINT('',(2.51713974494074,1.28720472440945,6.24999990988904)); #54020=CARTESIAN_POINT('',(2.51713974494074,1.28720472440945,5.99999990988904)); #54021=CARTESIAN_POINT('',(2.51713974494074,1.21279527559055,6.24999990988904)); #54022=CARTESIAN_POINT('',(2.51713974494074,1.28720472440945,6.24999990988904)); #54023=CARTESIAN_POINT('',(2.51713974494074,1.21279527559055,5.99999990988904)); #54024=CARTESIAN_POINT('Origin',(2.55493502053129,1.21279527559055,5.99999990988904)); #54025=CARTESIAN_POINT('',(2.55493502053129,1.175,5.99999990988904)); #54026=CARTESIAN_POINT('Origin',(2.55493502053129,1.21279527559055,5.99999990988904)); #54027=CARTESIAN_POINT('',(2.55493502053129,1.175,6.24999990988904)); #54028=CARTESIAN_POINT('Origin',(2.55493502053129,1.21279527559055,6.24999990988904)); #54029=CARTESIAN_POINT('',(2.55493502053129,1.175,5.99999990988904)); #54030=CARTESIAN_POINT('Origin',(4.97934446935019,1.175,5.99999990988904)); #54031=CARTESIAN_POINT('',(4.97934446935019,1.175,5.99999990988904)); #54032=CARTESIAN_POINT('',(2.55493502053129,1.175,5.99999990988904)); #54033=CARTESIAN_POINT('',(4.97934446935019,1.175,6.24999990988904)); #54034=CARTESIAN_POINT('',(2.55493502053129,1.175,6.24999990988904)); #54035=CARTESIAN_POINT('',(4.97934446935019,1.175,5.99999990988904)); #54036=CARTESIAN_POINT('Origin',(4.97934446935019,1.21279527559055,5.99999990988904)); #54037=CARTESIAN_POINT('',(5.01713974494074,1.21279527559055,5.99999990988904)); #54038=CARTESIAN_POINT('Origin',(4.97934446935019,1.21279527559055,5.99999990988904)); #54039=CARTESIAN_POINT('',(5.01713974494074,1.21279527559055,6.24999990988904)); #54040=CARTESIAN_POINT('Origin',(4.97934446935019,1.21279527559055,6.24999990988904)); #54041=CARTESIAN_POINT('',(5.01713974494074,1.21279527559055,5.99999990988904)); #54042=CARTESIAN_POINT('Origin',(5.01713974494074,1.28720472440945,5.99999990988904)); #54043=CARTESIAN_POINT('',(5.01713974494074,1.28720472440945,5.99999990988904)); #54044=CARTESIAN_POINT('',(5.01713974494074,1.21279527559055,5.99999990988904)); #54045=CARTESIAN_POINT('',(5.01713974494074,1.28720472440945,6.24999990988904)); #54046=CARTESIAN_POINT('',(5.01713974494074,1.21279527559055,6.24999990988904)); #54047=CARTESIAN_POINT('',(5.01713974494074,1.28720472440945,5.99999990988904)); #54048=CARTESIAN_POINT('Origin',(4.97934446935019,1.28720472440945,5.99999990988904)); #54049=CARTESIAN_POINT('',(4.97934446935019,1.325,5.99999990988904)); #54050=CARTESIAN_POINT('Origin',(4.97934446935019,1.28720472440945,5.99999990988904)); #54051=CARTESIAN_POINT('',(4.97934446935019,1.325,6.24999990988904)); #54052=CARTESIAN_POINT('Origin',(4.97934446935019,1.28720472440945,6.24999990988904)); #54053=CARTESIAN_POINT('',(4.97934446935019,1.325,5.99999990988904)); #54054=CARTESIAN_POINT('Origin',(2.55493502053129,1.325,5.99999990988904)); #54055=CARTESIAN_POINT('',(2.55493502053129,1.325,5.99999990988904)); #54056=CARTESIAN_POINT('',(4.97934446935019,1.325,5.99999990988904)); #54057=CARTESIAN_POINT('',(2.55493502053129,1.325,6.24999990988904)); #54058=CARTESIAN_POINT('',(4.97934446935019,1.325,6.24999990988904)); #54059=CARTESIAN_POINT('',(2.55493502053129,1.325,5.99999990988904)); #54060=CARTESIAN_POINT('Origin',(2.55493502053129,1.28720472440945,5.99999990988904)); #54061=CARTESIAN_POINT('Origin',(2.55493502053129,1.28720472440945,5.99999990988904)); #54062=CARTESIAN_POINT('Origin',(2.55493502053129,1.28720472440945,6.24999990988904)); #54063=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.175,5.99999990988904)); #54064=CARTESIAN_POINT('',(-4.97934446935019,-1.175,5.99999990988904)); #54065=CARTESIAN_POINT('',(-2.55493502053129,-1.175,5.99999990988904)); #54066=CARTESIAN_POINT('',(-4.97934446935019,-1.175,5.99999990988904)); #54067=CARTESIAN_POINT('',(-2.55493502053129,-1.175,6.24999990988904)); #54068=CARTESIAN_POINT('',(-2.55493502053129,-1.175,5.99999990988904)); #54069=CARTESIAN_POINT('',(-4.97934446935019,-1.175,6.24999990988904)); #54070=CARTESIAN_POINT('',(-4.97934446935019,-1.175,6.24999990988904)); #54071=CARTESIAN_POINT('',(-4.97934446935019,-1.175,5.99999990988904)); #54072=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.21279527559055,5.99999990988904)); #54073=CARTESIAN_POINT('',(-5.01713974494074,-1.21279527559055,5.99999990988904)); #54074=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.21279527559055,5.99999990988904)); #54075=CARTESIAN_POINT('',(-5.01713974494074,-1.21279527559055,6.24999990988904)); #54076=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.21279527559055,6.24999990988904)); #54077=CARTESIAN_POINT('',(-5.01713974494074,-1.21279527559055,5.99999990988904)); #54078=CARTESIAN_POINT('Origin',(-5.01713974494074,-1.28720472440945,5.99999990988904)); #54079=CARTESIAN_POINT('',(-5.01713974494074,-1.28720472440945,5.99999990988904)); #54080=CARTESIAN_POINT('',(-5.01713974494074,-1.28720472440945,5.99999990988904)); #54081=CARTESIAN_POINT('',(-5.01713974494074,-1.28720472440945,6.24999990988904)); #54082=CARTESIAN_POINT('',(-5.01713974494074,-1.28720472440945,6.24999990988904)); #54083=CARTESIAN_POINT('',(-5.01713974494074,-1.28720472440945,5.99999990988904)); #54084=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.28720472440945,5.99999990988904)); #54085=CARTESIAN_POINT('',(-4.97934446935019,-1.325,5.99999990988904)); #54086=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.28720472440945,5.99999990988904)); #54087=CARTESIAN_POINT('',(-4.97934446935019,-1.325,6.24999990988904)); #54088=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.28720472440945,6.24999990988904)); #54089=CARTESIAN_POINT('',(-4.97934446935019,-1.325,5.99999990988904)); #54090=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.325,5.99999990988904)); #54091=CARTESIAN_POINT('',(-2.55493502053129,-1.325,5.99999990988904)); #54092=CARTESIAN_POINT('',(-2.55493502053129,-1.325,5.99999990988904)); #54093=CARTESIAN_POINT('',(-2.55493502053129,-1.325,6.24999990988904)); #54094=CARTESIAN_POINT('',(-2.55493502053129,-1.325,6.24999990988904)); #54095=CARTESIAN_POINT('',(-2.55493502053129,-1.325,5.99999990988904)); #54096=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.28720472440945,5.99999990988904)); #54097=CARTESIAN_POINT('',(-2.51713974494074,-1.28720472440945,5.99999990988904)); #54098=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.28720472440945,5.99999990988904)); #54099=CARTESIAN_POINT('',(-2.51713974494074,-1.28720472440945,6.24999990988904)); #54100=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.28720472440945,6.24999990988904)); #54101=CARTESIAN_POINT('',(-2.51713974494074,-1.28720472440945,5.99999990988904)); #54102=CARTESIAN_POINT('Origin',(-2.51713974494074,-1.21279527559055,5.99999990988904)); #54103=CARTESIAN_POINT('',(-2.51713974494074,-1.21279527559055,5.99999990988904)); #54104=CARTESIAN_POINT('',(-2.51713974494074,-1.21279527559055,5.99999990988904)); #54105=CARTESIAN_POINT('',(-2.51713974494074,-1.21279527559055,6.24999990988904)); #54106=CARTESIAN_POINT('',(-2.51713974494074,-1.21279527559055,6.24999990988904)); #54107=CARTESIAN_POINT('',(-2.51713974494074,-1.21279527559055,5.99999990988904)); #54108=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.21279527559055,5.99999990988904)); #54109=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.21279527559055,5.99999990988904)); #54110=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.21279527559055,6.24999990988904)); #54111=CARTESIAN_POINT('Origin',(-4.97934446935019,1.325,5.99999990988904)); #54112=CARTESIAN_POINT('',(-4.97934446935019,1.325,5.99999990988904)); #54113=CARTESIAN_POINT('',(-2.55493502053129,1.325,5.99999990988904)); #54114=CARTESIAN_POINT('',(-4.97934446935019,1.325,5.99999990988904)); #54115=CARTESIAN_POINT('',(-2.55493502053129,1.325,6.24999990988904)); #54116=CARTESIAN_POINT('',(-2.55493502053129,1.325,5.99999990988904)); #54117=CARTESIAN_POINT('',(-4.97934446935019,1.325,6.24999990988904)); #54118=CARTESIAN_POINT('',(-4.97934446935019,1.325,6.24999990988904)); #54119=CARTESIAN_POINT('',(-4.97934446935019,1.325,5.99999990988904)); #54120=CARTESIAN_POINT('Origin',(-4.97934446935019,1.28720472440945,5.99999990988904)); #54121=CARTESIAN_POINT('',(-5.01713974494074,1.28720472440945,5.99999990988904)); #54122=CARTESIAN_POINT('Origin',(-4.97934446935019,1.28720472440945,5.99999990988904)); #54123=CARTESIAN_POINT('',(-5.01713974494074,1.28720472440945,6.24999990988904)); #54124=CARTESIAN_POINT('Origin',(-4.97934446935019,1.28720472440945,6.24999990988904)); #54125=CARTESIAN_POINT('',(-5.01713974494074,1.28720472440945,5.99999990988904)); #54126=CARTESIAN_POINT('Origin',(-5.01713974494074,1.21279527559055,5.99999990988904)); #54127=CARTESIAN_POINT('',(-5.01713974494074,1.21279527559055,5.99999990988904)); #54128=CARTESIAN_POINT('',(-5.01713974494074,1.21279527559055,5.99999990988904)); #54129=CARTESIAN_POINT('',(-5.01713974494074,1.21279527559055,6.24999990988904)); #54130=CARTESIAN_POINT('',(-5.01713974494074,1.21279527559055,6.24999990988904)); #54131=CARTESIAN_POINT('',(-5.01713974494074,1.21279527559055,5.99999990988904)); #54132=CARTESIAN_POINT('Origin',(-4.97934446935019,1.21279527559055,5.99999990988904)); #54133=CARTESIAN_POINT('',(-4.97934446935019,1.175,5.99999990988904)); #54134=CARTESIAN_POINT('Origin',(-4.97934446935019,1.21279527559055,5.99999990988904)); #54135=CARTESIAN_POINT('',(-4.97934446935019,1.175,6.24999990988904)); #54136=CARTESIAN_POINT('Origin',(-4.97934446935019,1.21279527559055,6.24999990988904)); #54137=CARTESIAN_POINT('',(-4.97934446935019,1.175,5.99999990988904)); #54138=CARTESIAN_POINT('Origin',(-2.55493502053129,1.175,5.99999990988904)); #54139=CARTESIAN_POINT('',(-2.55493502053129,1.175,5.99999990988904)); #54140=CARTESIAN_POINT('',(-2.55493502053129,1.175,5.99999990988904)); #54141=CARTESIAN_POINT('',(-2.55493502053129,1.175,6.24999990988904)); #54142=CARTESIAN_POINT('',(-2.55493502053129,1.175,6.24999990988904)); #54143=CARTESIAN_POINT('',(-2.55493502053129,1.175,5.99999990988904)); #54144=CARTESIAN_POINT('Origin',(-2.55493502053129,1.21279527559055,5.99999990988904)); #54145=CARTESIAN_POINT('',(-2.51713974494074,1.21279527559055,5.99999990988904)); #54146=CARTESIAN_POINT('Origin',(-2.55493502053129,1.21279527559055,5.99999990988904)); #54147=CARTESIAN_POINT('',(-2.51713974494074,1.21279527559055,6.24999990988904)); #54148=CARTESIAN_POINT('Origin',(-2.55493502053129,1.21279527559055,6.24999990988904)); #54149=CARTESIAN_POINT('',(-2.51713974494074,1.21279527559055,5.99999990988904)); #54150=CARTESIAN_POINT('Origin',(-2.51713974494074,1.28720472440945,5.99999990988904)); #54151=CARTESIAN_POINT('',(-2.51713974494074,1.28720472440945,5.99999990988904)); #54152=CARTESIAN_POINT('',(-2.51713974494074,1.28720472440945,5.99999990988904)); #54153=CARTESIAN_POINT('',(-2.51713974494074,1.28720472440945,6.24999990988904)); #54154=CARTESIAN_POINT('',(-2.51713974494074,1.28720472440945,6.24999990988904)); #54155=CARTESIAN_POINT('',(-2.51713974494074,1.28720472440945,5.99999990988904)); #54156=CARTESIAN_POINT('Origin',(-2.55493502053129,1.28720472440945,5.99999990988904)); #54157=CARTESIAN_POINT('Origin',(-2.55493502053129,1.28720472440945,5.99999990988904)); #54158=CARTESIAN_POINT('Origin',(-2.55493502053129,1.28720472440945,6.24999990988904)); #54159=CARTESIAN_POINT('Origin',(-4.97934446935019,0.825,5.99999990988904)); #54160=CARTESIAN_POINT('',(-4.97934446935019,0.825,5.99999990988904)); #54161=CARTESIAN_POINT('',(-2.55493502053129,0.824999999999999,5.99999990988904)); #54162=CARTESIAN_POINT('',(-4.97934446935019,0.825,5.99999990988904)); #54163=CARTESIAN_POINT('',(-2.55493502053129,0.824999999999999,6.24999990988904)); #54164=CARTESIAN_POINT('',(-2.55493502053129,0.824999999999999,5.99999990988904)); #54165=CARTESIAN_POINT('',(-4.97934446935019,0.825,6.24999990988904)); #54166=CARTESIAN_POINT('',(-4.97934446935019,0.825,6.24999990988904)); #54167=CARTESIAN_POINT('',(-4.97934446935019,0.825,5.99999990988904)); #54168=CARTESIAN_POINT('Origin',(-4.97934446935019,0.78720472440945,5.99999990988904)); #54169=CARTESIAN_POINT('',(-5.01713974494074,0.78720472440945,5.99999990988904)); #54170=CARTESIAN_POINT('Origin',(-4.97934446935019,0.78720472440945,5.99999990988904)); #54171=CARTESIAN_POINT('',(-5.01713974494074,0.78720472440945,6.24999990988904)); #54172=CARTESIAN_POINT('Origin',(-4.97934446935019,0.78720472440945,6.24999990988904)); #54173=CARTESIAN_POINT('',(-5.01713974494074,0.78720472440945,5.99999990988904)); #54174=CARTESIAN_POINT('Origin',(-5.01713974494074,0.71279527559055,5.99999990988904)); #54175=CARTESIAN_POINT('',(-5.01713974494074,0.71279527559055,5.99999990988904)); #54176=CARTESIAN_POINT('',(-5.01713974494074,0.71279527559055,5.99999990988904)); #54177=CARTESIAN_POINT('',(-5.01713974494074,0.71279527559055,6.24999990988904)); #54178=CARTESIAN_POINT('',(-5.01713974494074,0.71279527559055,6.24999990988904)); #54179=CARTESIAN_POINT('',(-5.01713974494074,0.71279527559055,5.99999990988904)); #54180=CARTESIAN_POINT('Origin',(-4.97934446935019,0.71279527559055,5.99999990988904)); #54181=CARTESIAN_POINT('',(-4.97934446935019,0.675,5.99999990988904)); #54182=CARTESIAN_POINT('Origin',(-4.97934446935019,0.71279527559055,5.99999990988904)); #54183=CARTESIAN_POINT('',(-4.97934446935019,0.675,6.24999990988904)); #54184=CARTESIAN_POINT('Origin',(-4.97934446935019,0.71279527559055,6.24999990988904)); #54185=CARTESIAN_POINT('',(-4.97934446935019,0.675,5.99999990988904)); #54186=CARTESIAN_POINT('Origin',(-2.55493502053129,0.675,5.99999990988904)); #54187=CARTESIAN_POINT('',(-2.55493502053129,0.675,5.99999990988904)); #54188=CARTESIAN_POINT('',(-2.55493502053129,0.675,5.99999990988904)); #54189=CARTESIAN_POINT('',(-2.55493502053129,0.675,6.24999990988904)); #54190=CARTESIAN_POINT('',(-2.55493502053129,0.675,6.24999990988904)); #54191=CARTESIAN_POINT('',(-2.55493502053129,0.675,5.99999990988904)); #54192=CARTESIAN_POINT('Origin',(-2.55493502053129,0.712795275590551,5.99999990988904)); #54193=CARTESIAN_POINT('',(-2.51713974494074,0.712795275590551,5.99999990988904)); #54194=CARTESIAN_POINT('Origin',(-2.55493502053129,0.712795275590551,5.99999990988904)); #54195=CARTESIAN_POINT('',(-2.51713974494074,0.712795275590551,6.24999990988904)); #54196=CARTESIAN_POINT('Origin',(-2.55493502053129,0.712795275590551,6.24999990988904)); #54197=CARTESIAN_POINT('',(-2.51713974494074,0.712795275590551,5.99999990988904)); #54198=CARTESIAN_POINT('Origin',(-2.51713974494074,0.787204724409449,5.99999990988904)); #54199=CARTESIAN_POINT('',(-2.51713974494074,0.787204724409449,5.99999990988904)); #54200=CARTESIAN_POINT('',(-2.51713974494074,0.787204724409449,5.99999990988904)); #54201=CARTESIAN_POINT('',(-2.51713974494074,0.787204724409449,6.24999990988904)); #54202=CARTESIAN_POINT('',(-2.51713974494074,0.787204724409449,6.24999990988904)); #54203=CARTESIAN_POINT('',(-2.51713974494074,0.787204724409449,5.99999990988904)); #54204=CARTESIAN_POINT('Origin',(-2.55493502053129,0.787204724409449,5.99999990988904)); #54205=CARTESIAN_POINT('Origin',(-2.55493502053129,0.787204724409449,5.99999990988904)); #54206=CARTESIAN_POINT('Origin',(-2.55493502053129,0.787204724409449,6.24999990988904)); #54207=CARTESIAN_POINT('Origin',(4.97934446935019,0.78720472440945,5.99999990988904)); #54208=CARTESIAN_POINT('',(4.97934446935019,0.825,5.99999990988904)); #54209=CARTESIAN_POINT('',(5.01713974494074,0.78720472440945,5.99999990988904)); #54210=CARTESIAN_POINT('Origin',(4.97934446935019,0.78720472440945,5.99999990988904)); #54211=CARTESIAN_POINT('',(5.01713974494074,0.78720472440945,6.24999990988904)); #54212=CARTESIAN_POINT('',(5.01713974494074,0.78720472440945,5.99999990988904)); #54213=CARTESIAN_POINT('',(4.97934446935019,0.825,6.24999990988904)); #54214=CARTESIAN_POINT('Origin',(4.97934446935019,0.78720472440945,6.24999990988904)); #54215=CARTESIAN_POINT('',(4.97934446935019,0.825,5.99999990988904)); #54216=CARTESIAN_POINT('Origin',(2.55493502053129,0.824999999999999,5.99999990988904)); #54217=CARTESIAN_POINT('',(2.55493502053129,0.824999999999999,5.99999990988904)); #54218=CARTESIAN_POINT('',(4.97934446935019,0.825,5.99999990988904)); #54219=CARTESIAN_POINT('',(2.55493502053129,0.824999999999999,6.24999990988904)); #54220=CARTESIAN_POINT('',(4.97934446935019,0.825,6.24999990988904)); #54221=CARTESIAN_POINT('',(2.55493502053129,0.824999999999999,5.99999990988904)); #54222=CARTESIAN_POINT('Origin',(2.55493502053129,0.787204724409449,5.99999990988904)); #54223=CARTESIAN_POINT('',(2.51713974494074,0.787204724409449,5.99999990988904)); #54224=CARTESIAN_POINT('Origin',(2.55493502053129,0.787204724409449,5.99999990988904)); #54225=CARTESIAN_POINT('',(2.51713974494074,0.787204724409449,6.24999990988904)); #54226=CARTESIAN_POINT('Origin',(2.55493502053129,0.787204724409449,6.24999990988904)); #54227=CARTESIAN_POINT('',(2.51713974494074,0.787204724409449,5.99999990988904)); #54228=CARTESIAN_POINT('Origin',(2.51713974494074,0.712795275590551,5.99999990988904)); #54229=CARTESIAN_POINT('',(2.51713974494074,0.712795275590551,5.99999990988904)); #54230=CARTESIAN_POINT('',(2.51713974494074,0.787204724409449,5.99999990988904)); #54231=CARTESIAN_POINT('',(2.51713974494074,0.712795275590551,6.24999990988904)); #54232=CARTESIAN_POINT('',(2.51713974494074,0.787204724409449,6.24999990988904)); #54233=CARTESIAN_POINT('',(2.51713974494074,0.712795275590551,5.99999990988904)); #54234=CARTESIAN_POINT('Origin',(2.55493502053129,0.712795275590552,5.99999990988904)); #54235=CARTESIAN_POINT('',(2.55493502053129,0.675,5.99999990988904)); #54236=CARTESIAN_POINT('Origin',(2.55493502053129,0.712795275590552,5.99999990988904)); #54237=CARTESIAN_POINT('',(2.55493502053129,0.675,6.24999990988904)); #54238=CARTESIAN_POINT('Origin',(2.55493502053129,0.712795275590552,6.24999990988904)); #54239=CARTESIAN_POINT('',(2.55493502053129,0.675,5.99999990988904)); #54240=CARTESIAN_POINT('Origin',(4.97934446935019,0.675,5.99999990988904)); #54241=CARTESIAN_POINT('',(4.97934446935019,0.675,5.99999990988904)); #54242=CARTESIAN_POINT('',(2.55493502053129,0.675,5.99999990988904)); #54243=CARTESIAN_POINT('',(4.97934446935019,0.675,6.24999990988904)); #54244=CARTESIAN_POINT('',(2.55493502053129,0.675,6.24999990988904)); #54245=CARTESIAN_POINT('',(4.97934446935019,0.675,5.99999990988904)); #54246=CARTESIAN_POINT('Origin',(4.97934446935019,0.71279527559055,5.99999990988904)); #54247=CARTESIAN_POINT('',(5.01713974494074,0.71279527559055,5.99999990988904)); #54248=CARTESIAN_POINT('Origin',(4.97934446935019,0.71279527559055,5.99999990988904)); #54249=CARTESIAN_POINT('',(5.01713974494074,0.71279527559055,6.24999990988904)); #54250=CARTESIAN_POINT('Origin',(4.97934446935019,0.71279527559055,6.24999990988904)); #54251=CARTESIAN_POINT('',(5.01713974494074,0.71279527559055,5.99999990988904)); #54252=CARTESIAN_POINT('Origin',(5.01713974494074,0.78720472440945,5.99999990988904)); #54253=CARTESIAN_POINT('',(5.01713974494074,0.71279527559055,5.99999990988904)); #54254=CARTESIAN_POINT('',(5.01713974494074,0.71279527559055,6.24999990988904)); #54255=CARTESIAN_POINT('Origin',(4.97934446935019,-0.21279527559055,5.99999990988904)); #54256=CARTESIAN_POINT('',(4.97934446935019,-0.175,5.99999990988904)); #54257=CARTESIAN_POINT('',(5.01713974494074,-0.21279527559055,5.99999990988904)); #54258=CARTESIAN_POINT('Origin',(4.97934446935019,-0.21279527559055,5.99999990988904)); #54259=CARTESIAN_POINT('',(5.01713974494074,-0.21279527559055,6.24999990988904)); #54260=CARTESIAN_POINT('',(5.01713974494074,-0.21279527559055,5.99999990988904)); #54261=CARTESIAN_POINT('',(4.97934446935019,-0.175,6.24999990988904)); #54262=CARTESIAN_POINT('Origin',(4.97934446935019,-0.21279527559055,6.24999990988904)); #54263=CARTESIAN_POINT('',(4.97934446935019,-0.175,5.99999990988904)); #54264=CARTESIAN_POINT('Origin',(2.55493502053129,-0.175000000000001,5.99999990988904)); #54265=CARTESIAN_POINT('',(2.55493502053129,-0.175000000000001,5.99999990988904)); #54266=CARTESIAN_POINT('',(4.97934446935019,-0.175,5.99999990988904)); #54267=CARTESIAN_POINT('',(2.55493502053129,-0.175000000000001,6.24999990988904)); #54268=CARTESIAN_POINT('',(4.97934446935019,-0.175,6.24999990988904)); #54269=CARTESIAN_POINT('',(2.55493502053129,-0.175000000000001,5.99999990988904)); #54270=CARTESIAN_POINT('Origin',(2.55493502053129,-0.21279527559055,5.99999990988904)); #54271=CARTESIAN_POINT('',(2.51713974494074,-0.21279527559055,5.99999990988904)); #54272=CARTESIAN_POINT('Origin',(2.55493502053129,-0.21279527559055,5.99999990988904)); #54273=CARTESIAN_POINT('',(2.51713974494074,-0.21279527559055,6.24999990988904)); #54274=CARTESIAN_POINT('Origin',(2.55493502053129,-0.21279527559055,6.24999990988904)); #54275=CARTESIAN_POINT('',(2.51713974494074,-0.21279527559055,5.99999990988904)); #54276=CARTESIAN_POINT('Origin',(2.51713974494074,-0.287204724409449,5.99999990988904)); #54277=CARTESIAN_POINT('',(2.51713974494074,-0.287204724409449,5.99999990988904)); #54278=CARTESIAN_POINT('',(2.51713974494074,-0.21279527559055,5.99999990988904)); #54279=CARTESIAN_POINT('',(2.51713974494074,-0.287204724409449,6.24999990988904)); #54280=CARTESIAN_POINT('',(2.51713974494074,-0.21279527559055,6.24999990988904)); #54281=CARTESIAN_POINT('',(2.51713974494074,-0.287204724409449,5.99999990988904)); #54282=CARTESIAN_POINT('Origin',(2.55493502053129,-0.287204724409448,5.99999990988904)); #54283=CARTESIAN_POINT('',(2.55493502053129,-0.325,5.99999990988904)); #54284=CARTESIAN_POINT('Origin',(2.55493502053129,-0.287204724409448,5.99999990988904)); #54285=CARTESIAN_POINT('',(2.55493502053129,-0.325,6.24999990988904)); #54286=CARTESIAN_POINT('Origin',(2.55493502053129,-0.287204724409448,6.24999990988904)); #54287=CARTESIAN_POINT('',(2.55493502053129,-0.325,5.99999990988904)); #54288=CARTESIAN_POINT('Origin',(4.97934446935019,-0.324999999999999,5.99999990988904)); #54289=CARTESIAN_POINT('',(4.97934446935019,-0.324999999999999,5.99999990988904)); #54290=CARTESIAN_POINT('',(2.55493502053129,-0.324999999999999,5.99999990988904)); #54291=CARTESIAN_POINT('',(4.97934446935019,-0.324999999999999,6.24999990988904)); #54292=CARTESIAN_POINT('',(2.55493502053129,-0.324999999999999,6.24999990988904)); #54293=CARTESIAN_POINT('',(4.97934446935019,-0.324999999999999,5.99999990988904)); #54294=CARTESIAN_POINT('Origin',(4.97934446935019,-0.287204724409449,5.99999990988904)); #54295=CARTESIAN_POINT('',(5.01713974494074,-0.287204724409449,5.99999990988904)); #54296=CARTESIAN_POINT('Origin',(4.97934446935019,-0.287204724409449,5.99999990988904)); #54297=CARTESIAN_POINT('',(5.01713974494074,-0.287204724409449,6.24999990988904)); #54298=CARTESIAN_POINT('Origin',(4.97934446935019,-0.287204724409449,6.24999990988904)); #54299=CARTESIAN_POINT('',(5.01713974494074,-0.287204724409449,5.99999990988904)); #54300=CARTESIAN_POINT('Origin',(5.01713974494074,-0.21279527559055,5.99999990988904)); #54301=CARTESIAN_POINT('',(5.01713974494074,-0.287204724409449,5.99999990988904)); #54302=CARTESIAN_POINT('',(5.01713974494074,-0.287204724409449,6.24999990988904)); #54303=CARTESIAN_POINT('Origin',(2.55493502053129,-1.28720472440945,5.99999990988904)); #54304=CARTESIAN_POINT('',(2.55493502053129,-1.325,5.99999990988904)); #54305=CARTESIAN_POINT('',(2.51713974494074,-1.28720472440945,5.99999990988904)); #54306=CARTESIAN_POINT('Origin',(2.55493502053129,-1.28720472440945,5.99999990988904)); #54307=CARTESIAN_POINT('',(2.51713974494074,-1.28720472440945,6.24999990988904)); #54308=CARTESIAN_POINT('',(2.51713974494074,-1.28720472440945,5.99999990988904)); #54309=CARTESIAN_POINT('',(2.55493502053129,-1.325,6.24999990988904)); #54310=CARTESIAN_POINT('Origin',(2.55493502053129,-1.28720472440945,6.24999990988904)); #54311=CARTESIAN_POINT('',(2.55493502053129,-1.325,5.99999990988904)); #54312=CARTESIAN_POINT('Origin',(4.97934446935019,-1.325,5.99999990988904)); #54313=CARTESIAN_POINT('',(4.97934446935019,-1.325,5.99999990988904)); #54314=CARTESIAN_POINT('',(2.55493502053129,-1.325,5.99999990988904)); #54315=CARTESIAN_POINT('',(4.97934446935019,-1.325,6.24999990988904)); #54316=CARTESIAN_POINT('',(2.55493502053129,-1.325,6.24999990988904)); #54317=CARTESIAN_POINT('',(4.97934446935019,-1.325,5.99999990988904)); #54318=CARTESIAN_POINT('Origin',(4.97934446935019,-1.28720472440945,5.99999990988904)); #54319=CARTESIAN_POINT('',(5.01713974494074,-1.28720472440945,5.99999990988904)); #54320=CARTESIAN_POINT('Origin',(4.97934446935019,-1.28720472440945,5.99999990988904)); #54321=CARTESIAN_POINT('',(5.01713974494074,-1.28720472440945,6.24999990988904)); #54322=CARTESIAN_POINT('Origin',(4.97934446935019,-1.28720472440945,6.24999990988904)); #54323=CARTESIAN_POINT('',(5.01713974494074,-1.28720472440945,5.99999990988904)); #54324=CARTESIAN_POINT('Origin',(5.01713974494074,-1.21279527559055,5.99999990988904)); #54325=CARTESIAN_POINT('',(5.01713974494074,-1.21279527559055,5.99999990988904)); #54326=CARTESIAN_POINT('',(5.01713974494074,-1.28720472440945,5.99999990988904)); #54327=CARTESIAN_POINT('',(5.01713974494074,-1.21279527559055,6.24999990988904)); #54328=CARTESIAN_POINT('',(5.01713974494074,-1.28720472440945,6.24999990988904)); #54329=CARTESIAN_POINT('',(5.01713974494074,-1.21279527559055,5.99999990988904)); #54330=CARTESIAN_POINT('Origin',(4.97934446935019,-1.21279527559055,5.99999990988904)); #54331=CARTESIAN_POINT('',(4.97934446935019,-1.175,5.99999990988904)); #54332=CARTESIAN_POINT('Origin',(4.97934446935019,-1.21279527559055,5.99999990988904)); #54333=CARTESIAN_POINT('',(4.97934446935019,-1.175,6.24999990988904)); #54334=CARTESIAN_POINT('Origin',(4.97934446935019,-1.21279527559055,6.24999990988904)); #54335=CARTESIAN_POINT('',(4.97934446935019,-1.175,5.99999990988904)); #54336=CARTESIAN_POINT('Origin',(2.55493502053129,-1.175,5.99999990988904)); #54337=CARTESIAN_POINT('',(2.55493502053129,-1.175,5.99999990988904)); #54338=CARTESIAN_POINT('',(4.97934446935019,-1.175,5.99999990988904)); #54339=CARTESIAN_POINT('',(2.55493502053129,-1.175,6.24999990988904)); #54340=CARTESIAN_POINT('',(4.97934446935019,-1.175,6.24999990988904)); #54341=CARTESIAN_POINT('',(2.55493502053129,-1.175,5.99999990988904)); #54342=CARTESIAN_POINT('Origin',(2.55493502053129,-1.21279527559055,5.99999990988904)); #54343=CARTESIAN_POINT('',(2.51713974494074,-1.21279527559055,5.99999990988904)); #54344=CARTESIAN_POINT('Origin',(2.55493502053129,-1.21279527559055,5.99999990988904)); #54345=CARTESIAN_POINT('',(2.51713974494074,-1.21279527559055,6.24999990988904)); #54346=CARTESIAN_POINT('Origin',(2.55493502053129,-1.21279527559055,6.24999990988904)); #54347=CARTESIAN_POINT('',(2.51713974494074,-1.21279527559055,5.99999990988904)); #54348=CARTESIAN_POINT('Origin',(2.51713974494074,-1.28720472440945,5.99999990988904)); #54349=CARTESIAN_POINT('',(2.51713974494074,-1.21279527559055,5.99999990988904)); #54350=CARTESIAN_POINT('',(2.51713974494074,-1.21279527559055,6.24999990988904)); #54351=CARTESIAN_POINT('Origin',(4.97934446935019,1.78720472440945,5.99999990988904)); #54352=CARTESIAN_POINT('',(4.97934446935019,1.825,5.99999990988904)); #54353=CARTESIAN_POINT('',(5.01713974494074,1.78720472440945,5.99999990988904)); #54354=CARTESIAN_POINT('Origin',(4.97934446935019,1.78720472440945,5.99999990988904)); #54355=CARTESIAN_POINT('',(5.01713974494074,1.78720472440945,6.24999990988904)); #54356=CARTESIAN_POINT('',(5.01713974494074,1.78720472440945,5.99999990988904)); #54357=CARTESIAN_POINT('',(4.97934446935019,1.825,6.24999990988904)); #54358=CARTESIAN_POINT('Origin',(4.97934446935019,1.78720472440945,6.24999990988904)); #54359=CARTESIAN_POINT('',(4.97934446935019,1.825,5.99999990988904)); #54360=CARTESIAN_POINT('Origin',(2.55493502053129,1.825,5.99999990988904)); #54361=CARTESIAN_POINT('',(2.55493502053129,1.825,5.99999990988904)); #54362=CARTESIAN_POINT('',(4.97934446935019,1.825,5.99999990988904)); #54363=CARTESIAN_POINT('',(2.55493502053129,1.825,6.24999990988904)); #54364=CARTESIAN_POINT('',(4.97934446935019,1.825,6.24999990988904)); #54365=CARTESIAN_POINT('',(2.55493502053129,1.825,5.99999990988904)); #54366=CARTESIAN_POINT('Origin',(2.55493502053129,1.78720472440945,5.99999990988904)); #54367=CARTESIAN_POINT('',(2.51713974494074,1.78720472440945,5.99999990988904)); #54368=CARTESIAN_POINT('Origin',(2.55493502053129,1.78720472440945,5.99999990988904)); #54369=CARTESIAN_POINT('',(2.51713974494074,1.78720472440945,6.24999990988904)); #54370=CARTESIAN_POINT('Origin',(2.55493502053129,1.78720472440945,6.24999990988904)); #54371=CARTESIAN_POINT('',(2.51713974494074,1.78720472440945,5.99999990988904)); #54372=CARTESIAN_POINT('Origin',(2.51713974494074,1.71279527559055,5.99999990988904)); #54373=CARTESIAN_POINT('',(2.51713974494074,1.71279527559055,5.99999990988904)); #54374=CARTESIAN_POINT('',(2.51713974494074,1.78720472440945,5.99999990988904)); #54375=CARTESIAN_POINT('',(2.51713974494074,1.71279527559055,6.24999990988904)); #54376=CARTESIAN_POINT('',(2.51713974494074,1.78720472440945,6.24999990988904)); #54377=CARTESIAN_POINT('',(2.51713974494074,1.71279527559055,5.99999990988904)); #54378=CARTESIAN_POINT('Origin',(2.55493502053129,1.71279527559055,5.99999990988904)); #54379=CARTESIAN_POINT('',(2.55493502053129,1.675,5.99999990988904)); #54380=CARTESIAN_POINT('Origin',(2.55493502053129,1.71279527559055,5.99999990988904)); #54381=CARTESIAN_POINT('',(2.55493502053129,1.675,6.24999990988904)); #54382=CARTESIAN_POINT('Origin',(2.55493502053129,1.71279527559055,6.24999990988904)); #54383=CARTESIAN_POINT('',(2.55493502053129,1.675,5.99999990988904)); #54384=CARTESIAN_POINT('Origin',(4.97934446935019,1.675,5.99999990988904)); #54385=CARTESIAN_POINT('',(4.97934446935019,1.675,5.99999990988904)); #54386=CARTESIAN_POINT('',(2.55493502053129,1.675,5.99999990988904)); #54387=CARTESIAN_POINT('',(4.97934446935019,1.675,6.24999990988904)); #54388=CARTESIAN_POINT('',(2.55493502053129,1.675,6.24999990988904)); #54389=CARTESIAN_POINT('',(4.97934446935019,1.675,5.99999990988904)); #54390=CARTESIAN_POINT('Origin',(4.97934446935019,1.71279527559055,5.99999990988904)); #54391=CARTESIAN_POINT('',(5.01713974494074,1.71279527559055,5.99999990988904)); #54392=CARTESIAN_POINT('Origin',(4.97934446935019,1.71279527559055,5.99999990988904)); #54393=CARTESIAN_POINT('',(5.01713974494074,1.71279527559055,6.24999990988904)); #54394=CARTESIAN_POINT('Origin',(4.97934446935019,1.71279527559055,6.24999990988904)); #54395=CARTESIAN_POINT('',(5.01713974494074,1.71279527559055,5.99999990988904)); #54396=CARTESIAN_POINT('Origin',(5.01713974494074,1.78720472440945,5.99999990988904)); #54397=CARTESIAN_POINT('',(5.01713974494074,1.71279527559055,5.99999990988904)); #54398=CARTESIAN_POINT('',(5.01713974494074,1.71279527559055,6.24999990988904)); #54399=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.675,5.99999990988904)); #54400=CARTESIAN_POINT('',(-4.97934446935019,-1.675,5.99999990988904)); #54401=CARTESIAN_POINT('',(-2.55493502053129,-1.675,5.99999990988904)); #54402=CARTESIAN_POINT('',(-4.97934446935019,-1.675,5.99999990988904)); #54403=CARTESIAN_POINT('',(-2.55493502053129,-1.675,6.24999990988904)); #54404=CARTESIAN_POINT('',(-2.55493502053129,-1.675,5.99999990988904)); #54405=CARTESIAN_POINT('',(-4.97934446935019,-1.675,6.24999990988904)); #54406=CARTESIAN_POINT('',(-4.97934446935019,-1.675,6.24999990988904)); #54407=CARTESIAN_POINT('',(-4.97934446935019,-1.675,5.99999990988904)); #54408=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.71279527559055,5.99999990988904)); #54409=CARTESIAN_POINT('',(-5.01713974494074,-1.71279527559055,5.99999990988904)); #54410=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.71279527559055,5.99999990988904)); #54411=CARTESIAN_POINT('',(-5.01713974494074,-1.71279527559055,6.24999990988904)); #54412=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.71279527559055,6.24999990988904)); #54413=CARTESIAN_POINT('',(-5.01713974494074,-1.71279527559055,5.99999990988904)); #54414=CARTESIAN_POINT('Origin',(-5.01713974494074,-1.78720472440945,5.99999990988904)); #54415=CARTESIAN_POINT('',(-5.01713974494074,-1.78720472440945,5.99999990988904)); #54416=CARTESIAN_POINT('',(-5.01713974494074,-1.78720472440945,5.99999990988904)); #54417=CARTESIAN_POINT('',(-5.01713974494074,-1.78720472440945,6.24999990988904)); #54418=CARTESIAN_POINT('',(-5.01713974494074,-1.78720472440945,6.24999990988904)); #54419=CARTESIAN_POINT('',(-5.01713974494074,-1.78720472440945,5.99999990988904)); #54420=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.78720472440945,5.99999990988904)); #54421=CARTESIAN_POINT('',(-4.97934446935019,-1.825,5.99999990988904)); #54422=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.78720472440945,5.99999990988904)); #54423=CARTESIAN_POINT('',(-4.97934446935019,-1.825,6.24999990988904)); #54424=CARTESIAN_POINT('Origin',(-4.97934446935019,-1.78720472440945,6.24999990988904)); #54425=CARTESIAN_POINT('',(-4.97934446935019,-1.825,5.99999990988904)); #54426=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.825,5.99999990988904)); #54427=CARTESIAN_POINT('',(-2.55493502053129,-1.825,5.99999990988904)); #54428=CARTESIAN_POINT('',(-2.55493502053129,-1.825,5.99999990988904)); #54429=CARTESIAN_POINT('',(-2.55493502053129,-1.825,6.24999990988904)); #54430=CARTESIAN_POINT('',(-2.55493502053129,-1.825,6.24999990988904)); #54431=CARTESIAN_POINT('',(-2.55493502053129,-1.825,5.99999990988904)); #54432=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.78720472440945,5.99999990988904)); #54433=CARTESIAN_POINT('',(-2.51713974494074,-1.78720472440945,5.99999990988904)); #54434=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.78720472440945,5.99999990988904)); #54435=CARTESIAN_POINT('',(-2.51713974494074,-1.78720472440945,6.24999990988904)); #54436=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.78720472440945,6.24999990988904)); #54437=CARTESIAN_POINT('',(-2.51713974494074,-1.78720472440945,5.99999990988904)); #54438=CARTESIAN_POINT('Origin',(-2.51713974494074,-1.71279527559055,5.99999990988904)); #54439=CARTESIAN_POINT('',(-2.51713974494074,-1.71279527559055,5.99999990988904)); #54440=CARTESIAN_POINT('',(-2.51713974494074,-1.71279527559055,5.99999990988904)); #54441=CARTESIAN_POINT('',(-2.51713974494074,-1.71279527559055,6.24999990988904)); #54442=CARTESIAN_POINT('',(-2.51713974494074,-1.71279527559055,6.24999990988904)); #54443=CARTESIAN_POINT('',(-2.51713974494074,-1.71279527559055,5.99999990988904)); #54444=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.71279527559055,5.99999990988904)); #54445=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.71279527559055,5.99999990988904)); #54446=CARTESIAN_POINT('Origin',(-2.55493502053129,-1.71279527559055,6.24999990988904)); #54447=CARTESIAN_POINT('Origin',(-4.97934446935019,0.325,5.99999990988904)); #54448=CARTESIAN_POINT('',(-4.97934446935019,0.325,5.99999990988904)); #54449=CARTESIAN_POINT('',(-2.55493502053129,0.324999999999999,5.99999990988904)); #54450=CARTESIAN_POINT('',(-4.97934446935019,0.325,5.99999990988904)); #54451=CARTESIAN_POINT('',(-2.55493502053129,0.324999999999999,6.24999990988904)); #54452=CARTESIAN_POINT('',(-2.55493502053129,0.324999999999999,5.99999990988904)); #54453=CARTESIAN_POINT('',(-4.97934446935019,0.325,6.24999990988904)); #54454=CARTESIAN_POINT('',(-4.97934446935019,0.325,6.24999990988904)); #54455=CARTESIAN_POINT('',(-4.97934446935019,0.325,5.99999990988904)); #54456=CARTESIAN_POINT('Origin',(-4.97934446935019,0.28720472440945,5.99999990988904)); #54457=CARTESIAN_POINT('',(-5.01713974494074,0.28720472440945,5.99999990988904)); #54458=CARTESIAN_POINT('Origin',(-4.97934446935019,0.28720472440945,5.99999990988904)); #54459=CARTESIAN_POINT('',(-5.01713974494074,0.28720472440945,6.24999990988904)); #54460=CARTESIAN_POINT('Origin',(-4.97934446935019,0.28720472440945,6.24999990988904)); #54461=CARTESIAN_POINT('',(-5.01713974494074,0.28720472440945,5.99999990988904)); #54462=CARTESIAN_POINT('Origin',(-5.01713974494074,0.21279527559055,5.99999990988904)); #54463=CARTESIAN_POINT('',(-5.01713974494074,0.21279527559055,5.99999990988904)); #54464=CARTESIAN_POINT('',(-5.01713974494074,0.21279527559055,5.99999990988904)); #54465=CARTESIAN_POINT('',(-5.01713974494074,0.21279527559055,6.24999990988904)); #54466=CARTESIAN_POINT('',(-5.01713974494074,0.21279527559055,6.24999990988904)); #54467=CARTESIAN_POINT('',(-5.01713974494074,0.21279527559055,5.99999990988904)); #54468=CARTESIAN_POINT('Origin',(-4.97934446935019,0.21279527559055,5.99999990988904)); #54469=CARTESIAN_POINT('',(-4.97934446935019,0.175,5.99999990988904)); #54470=CARTESIAN_POINT('Origin',(-4.97934446935019,0.21279527559055,5.99999990988904)); #54471=CARTESIAN_POINT('',(-4.97934446935019,0.175,6.24999990988904)); #54472=CARTESIAN_POINT('Origin',(-4.97934446935019,0.21279527559055,6.24999990988904)); #54473=CARTESIAN_POINT('',(-4.97934446935019,0.175,5.99999990988904)); #54474=CARTESIAN_POINT('Origin',(-2.55493502053129,0.175,5.99999990988904)); #54475=CARTESIAN_POINT('',(-2.55493502053129,0.175,5.99999990988904)); #54476=CARTESIAN_POINT('',(-2.55493502053129,0.175,5.99999990988904)); #54477=CARTESIAN_POINT('',(-2.55493502053129,0.175,6.24999990988904)); #54478=CARTESIAN_POINT('',(-2.55493502053129,0.175,6.24999990988904)); #54479=CARTESIAN_POINT('',(-2.55493502053129,0.175,5.99999990988904)); #54480=CARTESIAN_POINT('Origin',(-2.55493502053129,0.212795275590551,5.99999990988904)); #54481=CARTESIAN_POINT('',(-2.51713974494074,0.212795275590551,5.99999990988904)); #54482=CARTESIAN_POINT('Origin',(-2.55493502053129,0.212795275590551,5.99999990988904)); #54483=CARTESIAN_POINT('',(-2.51713974494074,0.212795275590551,6.24999990988904)); #54484=CARTESIAN_POINT('Origin',(-2.55493502053129,0.212795275590551,6.24999990988904)); #54485=CARTESIAN_POINT('',(-2.51713974494074,0.212795275590551,5.99999990988904)); #54486=CARTESIAN_POINT('Origin',(-2.51713974494074,0.287204724409449,5.99999990988904)); #54487=CARTESIAN_POINT('',(-2.51713974494074,0.287204724409449,5.99999990988904)); #54488=CARTESIAN_POINT('',(-2.51713974494074,0.287204724409449,5.99999990988904)); #54489=CARTESIAN_POINT('',(-2.51713974494074,0.287204724409449,6.24999990988904)); #54490=CARTESIAN_POINT('',(-2.51713974494074,0.287204724409449,6.24999990988904)); #54491=CARTESIAN_POINT('',(-2.51713974494074,0.287204724409449,5.99999990988904)); #54492=CARTESIAN_POINT('Origin',(-2.55493502053129,0.287204724409449,5.99999990988904)); #54493=CARTESIAN_POINT('Origin',(-2.55493502053129,0.287204724409449,5.99999990988904)); #54494=CARTESIAN_POINT('Origin',(-2.55493502053129,0.287204724409449,6.24999990988904)); #54495=CARTESIAN_POINT('Origin',(5.01713974494074,-0.712795275590549,5.99999990988904)); #54496=CARTESIAN_POINT('',(5.01713974494074,-0.712795275590549,5.99999990988904)); #54497=CARTESIAN_POINT('',(5.01713974494074,-0.787204724409449,5.99999990988904)); #54498=CARTESIAN_POINT('',(5.01713974494074,-0.787204724409449,5.99999990988904)); #54499=CARTESIAN_POINT('',(5.01713974494074,-0.787204724409449,6.24999990988904)); #54500=CARTESIAN_POINT('',(5.01713974494074,-0.787204724409449,5.99999990988904)); #54501=CARTESIAN_POINT('',(5.01713974494074,-0.712795275590549,6.24999990988904)); #54502=CARTESIAN_POINT('',(5.01713974494074,-0.787204724409449,6.24999990988904)); #54503=CARTESIAN_POINT('',(5.01713974494074,-0.712795275590549,5.99999990988904)); #54504=CARTESIAN_POINT('Origin',(4.97934446935019,-0.71279527559055,5.99999990988904)); #54505=CARTESIAN_POINT('',(4.97934446935019,-0.675,5.99999990988904)); #54506=CARTESIAN_POINT('Origin',(4.97934446935019,-0.71279527559055,5.99999990988904)); #54507=CARTESIAN_POINT('',(4.97934446935019,-0.675,6.24999990988904)); #54508=CARTESIAN_POINT('Origin',(4.97934446935019,-0.71279527559055,6.24999990988904)); #54509=CARTESIAN_POINT('',(4.97934446935019,-0.675,5.99999990988904)); #54510=CARTESIAN_POINT('Origin',(2.55493502053129,-0.675,5.99999990988904)); #54511=CARTESIAN_POINT('',(2.55493502053129,-0.675,5.99999990988904)); #54512=CARTESIAN_POINT('',(4.97934446935019,-0.675,5.99999990988904)); #54513=CARTESIAN_POINT('',(2.55493502053129,-0.675,6.24999990988904)); #54514=CARTESIAN_POINT('',(4.97934446935019,-0.675,6.24999990988904)); #54515=CARTESIAN_POINT('',(2.55493502053129,-0.675,5.99999990988904)); #54516=CARTESIAN_POINT('Origin',(2.55493502053129,-0.71279527559055,5.99999990988904)); #54517=CARTESIAN_POINT('',(2.51713974494074,-0.71279527559055,5.99999990988904)); #54518=CARTESIAN_POINT('Origin',(2.55493502053129,-0.71279527559055,5.99999990988904)); #54519=CARTESIAN_POINT('',(2.51713974494074,-0.71279527559055,6.24999990988904)); #54520=CARTESIAN_POINT('Origin',(2.55493502053129,-0.71279527559055,6.24999990988904)); #54521=CARTESIAN_POINT('',(2.51713974494074,-0.71279527559055,5.99999990988904)); #54522=CARTESIAN_POINT('Origin',(2.51713974494074,-0.787204724409448,5.99999990988904)); #54523=CARTESIAN_POINT('',(2.51713974494074,-0.787204724409448,5.99999990988904)); #54524=CARTESIAN_POINT('',(2.51713974494074,-0.71279527559055,5.99999990988904)); #54525=CARTESIAN_POINT('',(2.51713974494074,-0.787204724409448,6.24999990988904)); #54526=CARTESIAN_POINT('',(2.51713974494074,-0.71279527559055,6.24999990988904)); #54527=CARTESIAN_POINT('',(2.51713974494074,-0.787204724409448,5.99999990988904)); #54528=CARTESIAN_POINT('Origin',(2.55493502053129,-0.787204724409448,5.99999990988904)); #54529=CARTESIAN_POINT('',(2.55493502053129,-0.825,5.99999990988904)); #54530=CARTESIAN_POINT('Origin',(2.55493502053129,-0.787204724409448,5.99999990988904)); #54531=CARTESIAN_POINT('',(2.55493502053129,-0.825,6.24999990988904)); #54532=CARTESIAN_POINT('Origin',(2.55493502053129,-0.787204724409448,6.24999990988904)); #54533=CARTESIAN_POINT('',(2.55493502053129,-0.825,5.99999990988904)); #54534=CARTESIAN_POINT('Origin',(4.97934446935019,-0.825,5.99999990988904)); #54535=CARTESIAN_POINT('',(4.97934446935019,-0.825,5.99999990988904)); #54536=CARTESIAN_POINT('',(2.55493502053129,-0.825,5.99999990988904)); #54537=CARTESIAN_POINT('',(4.97934446935019,-0.825,6.24999990988904)); #54538=CARTESIAN_POINT('',(2.55493502053129,-0.825,6.24999990988904)); #54539=CARTESIAN_POINT('',(4.97934446935019,-0.825,5.99999990988904)); #54540=CARTESIAN_POINT('Origin',(4.97934446935019,-0.787204724409449,5.99999990988904)); #54541=CARTESIAN_POINT('Origin',(4.97934446935019,-0.787204724409449,5.99999990988904)); #54542=CARTESIAN_POINT('Origin',(4.97934446935019,-0.787204724409449,6.24999990988904)); #54543=CARTESIAN_POINT('Origin',(3.99999993992603,-5.25,5.99999990988904)); #54544=CARTESIAN_POINT('',(3.99999993992603,-5.25,5.99999990988904)); #54545=CARTESIAN_POINT('',(3.00000000750925,-5.25,5.99999990988904)); #54546=CARTESIAN_POINT('',(3.00000000750925,-5.25,5.99999990988904)); #54547=CARTESIAN_POINT('',(3.00000000750925,-5.25,6.24999990988904)); #54548=CARTESIAN_POINT('',(3.00000000750925,-5.25,5.99999990988904)); #54549=CARTESIAN_POINT('',(3.99999993992603,-5.25,6.24999990988904)); #54550=CARTESIAN_POINT('',(3.00000000750925,-5.25,6.24999990988904)); #54551=CARTESIAN_POINT('',(3.99999993992603,-5.25,5.99999990988904)); #54552=CARTESIAN_POINT('Origin',(3.99999993992603,-4.99999992490753,5.99999990988904)); #54553=CARTESIAN_POINT('',(3.99999993992603,-4.99999992490753,5.99999990988904)); #54554=CARTESIAN_POINT('',(3.99999993992603,-5.25,5.99999990988904)); #54555=CARTESIAN_POINT('',(3.99999993992603,-4.99999992490753,6.24999990988904)); #54556=CARTESIAN_POINT('',(3.99999993992603,-5.25,6.24999990988904)); #54557=CARTESIAN_POINT('',(3.99999993992603,-4.99999992490753,5.99999990988904)); #54558=CARTESIAN_POINT('Origin',(3.00000000750925,-4.99999992490753,5.99999990988904)); #54559=CARTESIAN_POINT('',(3.00000000750925,-4.99999992490753,5.99999990988904)); #54560=CARTESIAN_POINT('',(3.99999993992603,-4.99999992490753,5.99999990988904)); #54561=CARTESIAN_POINT('',(3.00000000750925,-4.99999992490753,6.24999990988904)); #54562=CARTESIAN_POINT('',(3.99999993992603,-4.99999992490753,6.24999990988904)); #54563=CARTESIAN_POINT('',(3.00000000750925,-4.99999992490753,5.99999990988904)); #54564=CARTESIAN_POINT('Origin',(3.00000000750925,-5.25,5.99999990988904)); #54565=CARTESIAN_POINT('',(3.00000000750925,-4.99999992490753,5.99999990988904)); #54566=CARTESIAN_POINT('',(3.00000000750925,-4.99999992490753,6.24999990988904)); #54567=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.175,5.99999990988904)); #54568=CARTESIAN_POINT('',(-4.97934446935019,-0.175,5.99999990988904)); #54569=CARTESIAN_POINT('',(-2.55493502053129,-0.175000000000001,5.99999990988904)); #54570=CARTESIAN_POINT('',(-4.97934446935019,-0.175,5.99999990988904)); #54571=CARTESIAN_POINT('',(-2.55493502053129,-0.175000000000001,6.24999990988904)); #54572=CARTESIAN_POINT('',(-2.55493502053129,-0.175000000000001,5.99999990988904)); #54573=CARTESIAN_POINT('',(-4.97934446935019,-0.175,6.24999990988904)); #54574=CARTESIAN_POINT('',(-4.97934446935019,-0.175,6.24999990988904)); #54575=CARTESIAN_POINT('',(-4.97934446935019,-0.175,5.99999990988904)); #54576=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.21279527559055,5.99999990988904)); #54577=CARTESIAN_POINT('',(-5.01713974494074,-0.21279527559055,5.99999990988904)); #54578=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.21279527559055,5.99999990988904)); #54579=CARTESIAN_POINT('',(-5.01713974494074,-0.21279527559055,6.24999990988904)); #54580=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.21279527559055,6.24999990988904)); #54581=CARTESIAN_POINT('',(-5.01713974494074,-0.21279527559055,5.99999990988904)); #54582=CARTESIAN_POINT('Origin',(-5.01713974494074,-0.28720472440945,5.99999990988904)); #54583=CARTESIAN_POINT('',(-5.01713974494074,-0.28720472440945,5.99999990988904)); #54584=CARTESIAN_POINT('',(-5.01713974494074,-0.28720472440945,5.99999990988904)); #54585=CARTESIAN_POINT('',(-5.01713974494074,-0.287204724409449,6.24999990988904)); #54586=CARTESIAN_POINT('',(-5.01713974494074,-0.28720472440945,6.24999990988904)); #54587=CARTESIAN_POINT('',(-5.01713974494074,-0.28720472440945,5.99999990988904)); #54588=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.287204724409449,5.99999990988904)); #54589=CARTESIAN_POINT('',(-4.97934446935019,-0.325,5.99999990988904)); #54590=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.287204724409449,5.99999990988904)); #54591=CARTESIAN_POINT('',(-4.97934446935019,-0.325,6.24999990988904)); #54592=CARTESIAN_POINT('Origin',(-4.97934446935019,-0.287204724409449,6.24999990988904)); #54593=CARTESIAN_POINT('',(-4.97934446935019,-0.325,5.99999990988904)); #54594=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.325,5.99999990988904)); #54595=CARTESIAN_POINT('',(-2.55493502053129,-0.325,5.99999990988904)); #54596=CARTESIAN_POINT('',(-2.55493502053129,-0.325,5.99999990988904)); #54597=CARTESIAN_POINT('',(-2.55493502053129,-0.325,6.24999990988904)); #54598=CARTESIAN_POINT('',(-2.55493502053129,-0.325,6.24999990988904)); #54599=CARTESIAN_POINT('',(-2.55493502053129,-0.325,5.99999990988904)); #54600=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.287204724409448,5.99999990988904)); #54601=CARTESIAN_POINT('',(-2.51713974494074,-0.287204724409449,5.99999990988904)); #54602=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.287204724409448,5.99999990988904)); #54603=CARTESIAN_POINT('',(-2.51713974494074,-0.287204724409449,6.24999990988904)); #54604=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.287204724409448,6.24999990988904)); #54605=CARTESIAN_POINT('',(-2.51713974494074,-0.287204724409449,5.99999990988904)); #54606=CARTESIAN_POINT('Origin',(-2.51713974494074,-0.21279527559055,5.99999990988904)); #54607=CARTESIAN_POINT('',(-2.51713974494074,-0.21279527559055,5.99999990988904)); #54608=CARTESIAN_POINT('',(-2.51713974494074,-0.21279527559055,5.99999990988904)); #54609=CARTESIAN_POINT('',(-2.51713974494074,-0.21279527559055,6.24999990988904)); #54610=CARTESIAN_POINT('',(-2.51713974494074,-0.21279527559055,6.24999990988904)); #54611=CARTESIAN_POINT('',(-2.51713974494074,-0.21279527559055,5.99999990988904)); #54612=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.212795275590551,5.99999990988904)); #54613=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.212795275590551,5.99999990988904)); #54614=CARTESIAN_POINT('Origin',(-2.55493502053129,-0.212795275590551,6.24999990988904)); #54615=CARTESIAN_POINT('Origin',(2.55493502053129,2.325,5.99999990988904)); #54616=CARTESIAN_POINT('',(2.55493502053129,2.325,5.99999990988904)); #54617=CARTESIAN_POINT('',(4.97934446935019,2.325,5.99999990988904)); #54618=CARTESIAN_POINT('',(4.97934446935019,2.325,5.99999990988904)); #54619=CARTESIAN_POINT('',(4.97934446935019,2.325,6.24999990988904)); #54620=CARTESIAN_POINT('',(4.97934446935019,2.325,5.99999990988904)); #54621=CARTESIAN_POINT('',(2.55493502053129,2.325,6.24999990988904)); #54622=CARTESIAN_POINT('',(4.97934446935019,2.325,6.24999990988904)); #54623=CARTESIAN_POINT('',(2.55493502053129,2.325,5.99999990988904)); #54624=CARTESIAN_POINT('Origin',(2.55493502053129,2.28720472440945,5.99999990988904)); #54625=CARTESIAN_POINT('',(2.51713974494074,2.28720472440945,5.99999990988904)); #54626=CARTESIAN_POINT('Origin',(2.55493502053129,2.28720472440945,5.99999990988904)); #54627=CARTESIAN_POINT('',(2.51713974494074,2.28720472440945,6.24999990988904)); #54628=CARTESIAN_POINT('Origin',(2.55493502053129,2.28720472440945,6.24999990988904)); #54629=CARTESIAN_POINT('',(2.51713974494074,2.28720472440945,5.99999990988904)); #54630=CARTESIAN_POINT('Origin',(2.51713974494074,2.21279527559055,5.99999990988904)); #54631=CARTESIAN_POINT('',(2.51713974494074,2.21279527559055,5.99999990988904)); #54632=CARTESIAN_POINT('',(2.51713974494074,2.28720472440945,5.99999990988904)); #54633=CARTESIAN_POINT('',(2.51713974494074,2.21279527559055,6.24999990988904)); #54634=CARTESIAN_POINT('',(2.51713974494074,2.28720472440945,6.24999990988904)); #54635=CARTESIAN_POINT('',(2.51713974494074,2.21279527559055,5.99999990988904)); #54636=CARTESIAN_POINT('Origin',(2.55493502053129,2.21279527559055,5.99999990988904)); #54637=CARTESIAN_POINT('',(2.55493502053129,2.175,5.99999990988904)); #54638=CARTESIAN_POINT('Origin',(2.55493502053129,2.21279527559055,5.99999990988904)); #54639=CARTESIAN_POINT('',(2.55493502053129,2.175,6.24999990988904)); #54640=CARTESIAN_POINT('Origin',(2.55493502053129,2.21279527559055,6.24999990988904)); #54641=CARTESIAN_POINT('',(2.55493502053129,2.175,5.99999990988904)); #54642=CARTESIAN_POINT('Origin',(4.97934446935019,2.175,5.99999990988904)); #54643=CARTESIAN_POINT('',(4.97934446935019,2.175,5.99999990988904)); #54644=CARTESIAN_POINT('',(2.55493502053129,2.175,5.99999990988904)); #54645=CARTESIAN_POINT('',(4.97934446935019,2.175,6.24999990988904)); #54646=CARTESIAN_POINT('',(2.55493502053129,2.175,6.24999990988904)); #54647=CARTESIAN_POINT('',(4.97934446935019,2.175,5.99999990988904)); #54648=CARTESIAN_POINT('Origin',(4.97934446935019,2.21279527559055,5.99999990988904)); #54649=CARTESIAN_POINT('',(5.01713974494074,2.21279527559055,5.99999990988904)); #54650=CARTESIAN_POINT('Origin',(4.97934446935019,2.21279527559055,5.99999990988904)); #54651=CARTESIAN_POINT('',(5.01713974494074,2.21279527559055,6.24999990988904)); #54652=CARTESIAN_POINT('Origin',(4.97934446935019,2.21279527559055,6.24999990988904)); #54653=CARTESIAN_POINT('',(5.01713974494074,2.21279527559055,5.99999990988904)); #54654=CARTESIAN_POINT('Origin',(5.01713974494074,2.28720472440945,5.99999990988904)); #54655=CARTESIAN_POINT('',(5.01713974494074,2.28720472440945,5.99999990988904)); #54656=CARTESIAN_POINT('',(5.01713974494074,2.21279527559055,5.99999990988904)); #54657=CARTESIAN_POINT('',(5.01713974494074,2.28720472440945,6.24999990988904)); #54658=CARTESIAN_POINT('',(5.01713974494074,2.21279527559055,6.24999990988904)); #54659=CARTESIAN_POINT('',(5.01713974494074,2.28720472440945,5.99999990988904)); #54660=CARTESIAN_POINT('Origin',(4.97934446935019,2.28720472440945,5.99999990988904)); #54661=CARTESIAN_POINT('Origin',(4.97934446935019,2.28720472440945,5.99999990988904)); #54662=CARTESIAN_POINT('Origin',(4.97934446935019,2.28720472440945,6.24999990988904)); #54663=CARTESIAN_POINT('Origin',(-4.97934446935019,1.825,5.99999990988904)); #54664=CARTESIAN_POINT('',(-4.97934446935019,1.825,5.99999990988904)); #54665=CARTESIAN_POINT('',(-2.55493502053129,1.825,5.99999990988904)); #54666=CARTESIAN_POINT('',(-4.97934446935019,1.825,5.99999990988904)); #54667=CARTESIAN_POINT('',(-2.55493502053129,1.825,6.24999990988904)); #54668=CARTESIAN_POINT('',(-2.55493502053129,1.825,5.99999990988904)); #54669=CARTESIAN_POINT('',(-4.97934446935019,1.825,6.24999990988904)); #54670=CARTESIAN_POINT('',(-4.97934446935019,1.825,6.24999990988904)); #54671=CARTESIAN_POINT('',(-4.97934446935019,1.825,5.99999990988904)); #54672=CARTESIAN_POINT('Origin',(-4.97934446935019,1.78720472440945,5.99999990988904)); #54673=CARTESIAN_POINT('',(-5.01713974494074,1.78720472440945,5.99999990988904)); #54674=CARTESIAN_POINT('Origin',(-4.97934446935019,1.78720472440945,5.99999990988904)); #54675=CARTESIAN_POINT('',(-5.01713974494074,1.78720472440945,6.24999990988904)); #54676=CARTESIAN_POINT('Origin',(-4.97934446935019,1.78720472440945,6.24999990988904)); #54677=CARTESIAN_POINT('',(-5.01713974494074,1.78720472440945,5.99999990988904)); #54678=CARTESIAN_POINT('Origin',(-5.01713974494074,1.71279527559055,5.99999990988904)); #54679=CARTESIAN_POINT('',(-5.01713974494074,1.71279527559055,5.99999990988904)); #54680=CARTESIAN_POINT('',(-5.01713974494074,1.71279527559055,5.99999990988904)); #54681=CARTESIAN_POINT('',(-5.01713974494074,1.71279527559055,6.24999990988904)); #54682=CARTESIAN_POINT('',(-5.01713974494074,1.71279527559055,6.24999990988904)); #54683=CARTESIAN_POINT('',(-5.01713974494074,1.71279527559055,5.99999990988904)); #54684=CARTESIAN_POINT('Origin',(-4.97934446935019,1.71279527559055,5.99999990988904)); #54685=CARTESIAN_POINT('',(-4.97934446935019,1.675,5.99999990988904)); #54686=CARTESIAN_POINT('Origin',(-4.97934446935019,1.71279527559055,5.99999990988904)); #54687=CARTESIAN_POINT('',(-4.97934446935019,1.675,6.24999990988904)); #54688=CARTESIAN_POINT('Origin',(-4.97934446935019,1.71279527559055,6.24999990988904)); #54689=CARTESIAN_POINT('',(-4.97934446935019,1.675,5.99999990988904)); #54690=CARTESIAN_POINT('Origin',(-2.55493502053129,1.675,5.99999990988904)); #54691=CARTESIAN_POINT('',(-2.55493502053129,1.675,5.99999990988904)); #54692=CARTESIAN_POINT('',(-2.55493502053129,1.675,5.99999990988904)); #54693=CARTESIAN_POINT('',(-2.55493502053129,1.675,6.24999990988904)); #54694=CARTESIAN_POINT('',(-2.55493502053129,1.675,6.24999990988904)); #54695=CARTESIAN_POINT('',(-2.55493502053129,1.675,5.99999990988904)); #54696=CARTESIAN_POINT('Origin',(-2.55493502053129,1.71279527559055,5.99999990988904)); #54697=CARTESIAN_POINT('',(-2.51713974494074,1.71279527559055,5.99999990988904)); #54698=CARTESIAN_POINT('Origin',(-2.55493502053129,1.71279527559055,5.99999990988904)); #54699=CARTESIAN_POINT('',(-2.51713974494074,1.71279527559055,6.24999990988904)); #54700=CARTESIAN_POINT('Origin',(-2.55493502053129,1.71279527559055,6.24999990988904)); #54701=CARTESIAN_POINT('',(-2.51713974494074,1.71279527559055,5.99999990988904)); #54702=CARTESIAN_POINT('Origin',(-2.51713974494074,1.78720472440945,5.99999990988904)); #54703=CARTESIAN_POINT('',(-2.51713974494074,1.78720472440945,5.99999990988904)); #54704=CARTESIAN_POINT('',(-2.51713974494074,1.78720472440945,5.99999990988904)); #54705=CARTESIAN_POINT('',(-2.51713974494074,1.78720472440945,6.24999990988904)); #54706=CARTESIAN_POINT('',(-2.51713974494074,1.78720472440945,6.24999990988904)); #54707=CARTESIAN_POINT('',(-2.51713974494074,1.78720472440945,5.99999990988904)); #54708=CARTESIAN_POINT('Origin',(-2.55493502053129,1.78720472440945,5.99999990988904)); #54709=CARTESIAN_POINT('Origin',(-2.55493502053129,1.78720472440945,5.99999990988904)); #54710=CARTESIAN_POINT('Origin',(-2.55493502053129,1.78720472440945,6.24999990988904)); #54711=CARTESIAN_POINT('Origin',(-2.00000004787145,1.50000004787145,5.99999990988904)); #54712=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,5.99999990988904)); #54713=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,5.99999990988904)); #54714=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,5.99999990988904)); #54715=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,6.24999990988904)); #54716=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,5.99999990988904)); #54717=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,6.24999990988904)); #54718=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,6.24999990988904)); #54719=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,5.99999990988904)); #54720=CARTESIAN_POINT('Origin',(-1.75000004787145,1.50000004787145,5.99999990988904)); #54721=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,5.99999990988904)); #54722=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,5.99999990988904)); #54723=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,6.24999990988904)); #54724=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,6.24999990988904)); #54725=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,5.99999990988904)); #54726=CARTESIAN_POINT('Origin',(-1.75000004787145,2.50000004787145,5.99999990988904)); #54727=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,5.99999990988904)); #54728=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,5.99999990988904)); #54729=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,6.24999990988904)); #54730=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,6.24999990988904)); #54731=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,5.99999990988904)); #54732=CARTESIAN_POINT('Origin',(-2.00000004787145,2.50000004787145,5.99999990988904)); #54733=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,5.99999990988904)); #54734=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,6.24999990988904)); #54735=CARTESIAN_POINT('Origin',(1.75000004787145,0.,5.99999990988904)); #54736=CARTESIAN_POINT('',(1.75000004787145,0.,5.99999990988904)); #54737=CARTESIAN_POINT('',(1.50000004787145,0.,5.99999990988904)); #54738=CARTESIAN_POINT('',(1.50000004787145,0.,5.99999990988904)); #54739=CARTESIAN_POINT('',(1.50000004787145,0.,6.24999990988904)); #54740=CARTESIAN_POINT('',(1.50000004787145,0.,5.99999990988904)); #54741=CARTESIAN_POINT('',(1.75000004787145,0.,6.24999990988904)); #54742=CARTESIAN_POINT('',(1.50000004787145,0.,6.24999990988904)); #54743=CARTESIAN_POINT('',(1.75000004787145,0.,5.99999990988904)); #54744=CARTESIAN_POINT('Origin',(1.75000004787145,1.0000000319143,5.99999990988904)); #54745=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,5.99999990988904)); #54746=CARTESIAN_POINT('',(1.75000004787145,0.,5.99999990988904)); #54747=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,6.24999990988904)); #54748=CARTESIAN_POINT('',(1.75000004787145,0.,6.24999990988904)); #54749=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,5.99999990988904)); #54750=CARTESIAN_POINT('Origin',(1.50000004787145,1.0000000319143,5.99999990988904)); #54751=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,5.99999990988904)); #54752=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,5.99999990988904)); #54753=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,6.24999990988904)); #54754=CARTESIAN_POINT('',(1.75000004787145,1.0000000319143,6.24999990988904)); #54755=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,5.99999990988904)); #54756=CARTESIAN_POINT('Origin',(1.50000004787145,0.,5.99999990988904)); #54757=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,5.99999990988904)); #54758=CARTESIAN_POINT('',(1.50000004787145,1.0000000319143,6.24999990988904)); #54759=CARTESIAN_POINT('Origin',(-1.75000004787145,0.,5.99999990988904)); #54760=CARTESIAN_POINT('',(-1.75000004787145,0.,5.99999990988904)); #54761=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,5.99999990988904)); #54762=CARTESIAN_POINT('',(-1.75000004787145,0.,5.99999990988904)); #54763=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,6.24999990988904)); #54764=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,5.99999990988904)); #54765=CARTESIAN_POINT('',(-1.75000004787145,0.,6.24999990988904)); #54766=CARTESIAN_POINT('',(-1.75000004787145,0.,6.24999990988904)); #54767=CARTESIAN_POINT('',(-1.75000004787145,0.,5.99999990988904)); #54768=CARTESIAN_POINT('Origin',(-1.50000004787145,0.,5.99999990988904)); #54769=CARTESIAN_POINT('',(-1.50000004787145,0.,5.99999990988904)); #54770=CARTESIAN_POINT('',(-1.50000004787145,0.,5.99999990988904)); #54771=CARTESIAN_POINT('',(-1.50000004787145,0.,6.24999990988904)); #54772=CARTESIAN_POINT('',(-1.50000004787145,0.,6.24999990988904)); #54773=CARTESIAN_POINT('',(-1.50000004787145,0.,5.99999990988904)); #54774=CARTESIAN_POINT('Origin',(-1.50000004787145,1.0000000319143,5.99999990988904)); #54775=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,5.99999990988904)); #54776=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,5.99999990988904)); #54777=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,6.24999990988904)); #54778=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,6.24999990988904)); #54779=CARTESIAN_POINT('',(-1.50000004787145,1.0000000319143,5.99999990988904)); #54780=CARTESIAN_POINT('Origin',(-1.75000004787145,1.0000000319143,5.99999990988904)); #54781=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,5.99999990988904)); #54782=CARTESIAN_POINT('',(-1.75000004787145,1.0000000319143,6.24999990988904)); #54783=CARTESIAN_POINT('Origin',(2.00000004787145,1.50000004787145,5.99999990988904)); #54784=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,5.99999990988904)); #54785=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,5.99999990988904)); #54786=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,5.99999990988904)); #54787=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,6.24999990988904)); #54788=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,5.99999990988904)); #54789=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,6.24999990988904)); #54790=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,6.24999990988904)); #54791=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,5.99999990988904)); #54792=CARTESIAN_POINT('Origin',(2.00000004787145,2.50000004787145,5.99999990988904)); #54793=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,5.99999990988904)); #54794=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,5.99999990988904)); #54795=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,6.24999990988904)); #54796=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,6.24999990988904)); #54797=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,5.99999990988904)); #54798=CARTESIAN_POINT('Origin',(1.75000004787145,2.50000004787145,5.99999990988904)); #54799=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,5.99999990988904)); #54800=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,5.99999990988904)); #54801=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,6.24999990988904)); #54802=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,6.24999990988904)); #54803=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,5.99999990988904)); #54804=CARTESIAN_POINT('Origin',(1.75000004787145,1.50000004787145,5.99999990988904)); #54805=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,5.99999990988904)); #54806=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,6.24999990988904)); #54807=CARTESIAN_POINT('Origin',(2.55493502053129,0.287204724409449,5.99999990988904)); #54808=CARTESIAN_POINT('',(2.51713974494074,0.287204724409449,5.99999990988904)); #54809=CARTESIAN_POINT('',(2.55493502053129,0.324999999999999,5.99999990988904)); #54810=CARTESIAN_POINT('Origin',(2.55493502053129,0.287204724409449,5.99999990988904)); #54811=CARTESIAN_POINT('',(2.55493502053129,0.324999999999999,6.24999990988904)); #54812=CARTESIAN_POINT('',(2.55493502053129,0.324999999999999,5.99999990988904)); #54813=CARTESIAN_POINT('',(2.51713974494074,0.287204724409449,6.24999990988904)); #54814=CARTESIAN_POINT('Origin',(2.55493502053129,0.287204724409449,6.24999990988904)); #54815=CARTESIAN_POINT('',(2.51713974494074,0.287204724409449,5.99999990988904)); #54816=CARTESIAN_POINT('Origin',(2.51713974494074,0.212795275590551,5.99999990988904)); #54817=CARTESIAN_POINT('',(2.51713974494074,0.212795275590551,5.99999990988904)); #54818=CARTESIAN_POINT('',(2.51713974494074,0.287204724409449,5.99999990988904)); #54819=CARTESIAN_POINT('',(2.51713974494074,0.212795275590551,6.24999990988904)); #54820=CARTESIAN_POINT('',(2.51713974494074,0.287204724409449,6.24999990988904)); #54821=CARTESIAN_POINT('',(2.51713974494074,0.212795275590551,5.99999990988904)); #54822=CARTESIAN_POINT('Origin',(2.55493502053129,0.212795275590552,5.99999990988904)); #54823=CARTESIAN_POINT('',(2.55493502053129,0.175,5.99999990988904)); #54824=CARTESIAN_POINT('Origin',(2.55493502053129,0.212795275590552,5.99999990988904)); #54825=CARTESIAN_POINT('',(2.55493502053129,0.175,6.24999990988904)); #54826=CARTESIAN_POINT('Origin',(2.55493502053129,0.212795275590552,6.24999990988904)); #54827=CARTESIAN_POINT('',(2.55493502053129,0.175,5.99999990988904)); #54828=CARTESIAN_POINT('Origin',(4.97934446935019,0.175,5.99999990988904)); #54829=CARTESIAN_POINT('',(4.97934446935019,0.175,5.99999990988904)); #54830=CARTESIAN_POINT('',(2.55493502053129,0.175,5.99999990988904)); #54831=CARTESIAN_POINT('',(4.97934446935019,0.175,6.24999990988904)); #54832=CARTESIAN_POINT('',(2.55493502053129,0.175,6.24999990988904)); #54833=CARTESIAN_POINT('',(4.97934446935019,0.175,5.99999990988904)); #54834=CARTESIAN_POINT('Origin',(4.97934446935019,0.21279527559055,5.99999990988904)); #54835=CARTESIAN_POINT('',(5.01713974494074,0.21279527559055,5.99999990988904)); #54836=CARTESIAN_POINT('Origin',(4.97934446935019,0.21279527559055,5.99999990988904)); #54837=CARTESIAN_POINT('',(5.01713974494074,0.21279527559055,6.24999990988904)); #54838=CARTESIAN_POINT('Origin',(4.97934446935019,0.21279527559055,6.24999990988904)); #54839=CARTESIAN_POINT('',(5.01713974494074,0.21279527559055,5.99999990988904)); #54840=CARTESIAN_POINT('Origin',(5.01713974494074,0.28720472440945,5.99999990988904)); #54841=CARTESIAN_POINT('',(5.01713974494074,0.28720472440945,5.99999990988904)); #54842=CARTESIAN_POINT('',(5.01713974494074,0.21279527559055,5.99999990988904)); #54843=CARTESIAN_POINT('',(5.01713974494074,0.28720472440945,6.24999990988904)); #54844=CARTESIAN_POINT('',(5.01713974494074,0.21279527559055,6.24999990988904)); #54845=CARTESIAN_POINT('',(5.01713974494074,0.28720472440945,5.99999990988904)); #54846=CARTESIAN_POINT('Origin',(4.97934446935019,0.28720472440945,5.99999990988904)); #54847=CARTESIAN_POINT('',(4.97934446935019,0.325,5.99999990988904)); #54848=CARTESIAN_POINT('Origin',(4.97934446935019,0.28720472440945,5.99999990988904)); #54849=CARTESIAN_POINT('',(4.97934446935019,0.325,6.24999990988904)); #54850=CARTESIAN_POINT('Origin',(4.97934446935019,0.28720472440945,6.24999990988904)); #54851=CARTESIAN_POINT('',(4.97934446935019,0.325,5.99999990988904)); #54852=CARTESIAN_POINT('Origin',(2.55493502053129,0.324999999999999,5.99999990988904)); #54853=CARTESIAN_POINT('',(4.97934446935019,0.325,5.99999990988904)); #54854=CARTESIAN_POINT('',(4.97934446935019,0.325,6.24999990988904)); #54855=CARTESIAN_POINT('Origin',(1.20000004787145,-2.49999998404285,5.99999990988904)); #54856=CARTESIAN_POINT('',(1.32000004787145,-2.49999998404285,6.24999990988904)); #54857=CARTESIAN_POINT('Origin',(1.20000004787145,-2.49999998404285,6.24999990988904)); #54858=CARTESIAN_POINT('',(1.32000004787145,-2.49999998404285,5.99999990988904)); #54859=CARTESIAN_POINT('',(1.32000004787145,-2.49999998404285,5.99999990988904)); #54860=CARTESIAN_POINT('Origin',(1.20000004787145,-2.49999998404285,5.99999990988904)); #54861=CARTESIAN_POINT('Origin',(-2.51713974494074,2.28720472440945,5.99999990988904)); #54862=CARTESIAN_POINT('',(-2.51713974494074,2.28720472440945,5.99999990988904)); #54863=CARTESIAN_POINT('',(-2.51713974494074,2.21279527559055,5.99999990988904)); #54864=CARTESIAN_POINT('',(-2.51713974494074,2.28720472440945,5.99999990988904)); #54865=CARTESIAN_POINT('',(-2.51713974494074,2.21279527559055,6.24999990988904)); #54866=CARTESIAN_POINT('',(-2.51713974494074,2.21279527559055,5.99999990988904)); #54867=CARTESIAN_POINT('',(-2.51713974494074,2.28720472440945,6.24999990988904)); #54868=CARTESIAN_POINT('',(-2.51713974494074,2.28720472440945,6.24999990988904)); #54869=CARTESIAN_POINT('',(-2.51713974494074,2.28720472440945,5.99999990988904)); #54870=CARTESIAN_POINT('Origin',(-2.55493502053129,2.28720472440945,5.99999990988904)); #54871=CARTESIAN_POINT('',(-2.55493502053129,2.325,5.99999990988904)); #54872=CARTESIAN_POINT('Origin',(-2.55493502053129,2.28720472440945,5.99999990988904)); #54873=CARTESIAN_POINT('',(-2.55493502053129,2.325,6.24999990988904)); #54874=CARTESIAN_POINT('Origin',(-2.55493502053129,2.28720472440945,6.24999990988904)); #54875=CARTESIAN_POINT('',(-2.55493502053129,2.325,5.99999990988904)); #54876=CARTESIAN_POINT('Origin',(-4.97934446935019,2.325,5.99999990988904)); #54877=CARTESIAN_POINT('',(-4.97934446935019,2.325,5.99999990988904)); #54878=CARTESIAN_POINT('',(-4.97934446935019,2.325,5.99999990988904)); #54879=CARTESIAN_POINT('',(-4.97934446935019,2.325,6.24999990988904)); #54880=CARTESIAN_POINT('',(-4.97934446935019,2.325,6.24999990988904)); #54881=CARTESIAN_POINT('',(-4.97934446935019,2.325,5.99999990988904)); #54882=CARTESIAN_POINT('Origin',(-4.97934446935019,2.28720472440945,5.99999990988904)); #54883=CARTESIAN_POINT('',(-5.01713974494074,2.28720472440945,5.99999990988904)); #54884=CARTESIAN_POINT('Origin',(-4.97934446935019,2.28720472440945,5.99999990988904)); #54885=CARTESIAN_POINT('',(-5.01713974494074,2.28720472440945,6.24999990988904)); #54886=CARTESIAN_POINT('Origin',(-4.97934446935019,2.28720472440945,6.24999990988904)); #54887=CARTESIAN_POINT('',(-5.01713974494074,2.28720472440945,5.99999990988904)); #54888=CARTESIAN_POINT('Origin',(-5.01713974494074,2.21279527559055,5.99999990988904)); #54889=CARTESIAN_POINT('',(-5.01713974494074,2.21279527559055,5.99999990988904)); #54890=CARTESIAN_POINT('',(-5.01713974494074,2.21279527559055,5.99999990988904)); #54891=CARTESIAN_POINT('',(-5.01713974494074,2.21279527559055,6.24999990988904)); #54892=CARTESIAN_POINT('',(-5.01713974494074,2.21279527559055,6.24999990988904)); #54893=CARTESIAN_POINT('',(-5.01713974494074,2.21279527559055,5.99999990988904)); #54894=CARTESIAN_POINT('Origin',(-4.97934446935019,2.21279527559055,5.99999990988904)); #54895=CARTESIAN_POINT('',(-4.97934446935019,2.175,5.99999990988904)); #54896=CARTESIAN_POINT('Origin',(-4.97934446935019,2.21279527559055,5.99999990988904)); #54897=CARTESIAN_POINT('',(-4.97934446935019,2.175,6.24999990988904)); #54898=CARTESIAN_POINT('Origin',(-4.97934446935019,2.21279527559055,6.24999990988904)); #54899=CARTESIAN_POINT('',(-4.97934446935019,2.175,5.99999990988904)); #54900=CARTESIAN_POINT('Origin',(-2.55493502053129,2.175,5.99999990988904)); #54901=CARTESIAN_POINT('',(-2.55493502053129,2.175,5.99999990988904)); #54902=CARTESIAN_POINT('',(-2.55493502053129,2.175,5.99999990988904)); #54903=CARTESIAN_POINT('',(-2.55493502053129,2.175,6.24999990988904)); #54904=CARTESIAN_POINT('',(-2.55493502053129,2.175,6.24999990988904)); #54905=CARTESIAN_POINT('',(-2.55493502053129,2.175,5.99999990988904)); #54906=CARTESIAN_POINT('Origin',(-2.55493502053129,2.21279527559055,5.99999990988904)); #54907=CARTESIAN_POINT('Origin',(-2.55493502053129,2.21279527559055,5.99999990988904)); #54908=CARTESIAN_POINT('Origin',(-2.55493502053129,2.21279527559055,6.24999990988904)); #54909=CARTESIAN_POINT('Origin',(-2.74999992490753,-2.99999993992603,5.99999990988904)); #54910=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,5.99999990988904)); #54911=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #54912=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,5.99999990988904)); #54913=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,6.24999990988904)); #54914=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #54915=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,6.24999990988904)); #54916=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,6.24999990988904)); #54917=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,5.99999990988904)); #54918=CARTESIAN_POINT('Origin',(-2.74999992490753,-3.99999993992603,5.99999990988904)); #54919=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,5.99999990988904)); #54920=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,5.99999990988904)); #54921=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,6.24999990988904)); #54922=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,6.24999990988904)); #54923=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,5.99999990988904)); #54924=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #54925=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #54926=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #54927=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,6.24999990988904)); #54928=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,6.24999990988904)); #54929=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #54930=CARTESIAN_POINT('Origin',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #54931=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #54932=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,6.24999990988904)); #54933=CARTESIAN_POINT('Origin',(2.74999992490753,2.99999993992603,5.99999990988904)); #54934=CARTESIAN_POINT('',(2.74999992490753,2.99999993992603,5.99999990988904)); #54935=CARTESIAN_POINT('',(2.49999992490753,2.99999993992603,5.99999990988904)); #54936=CARTESIAN_POINT('',(2.74999992490753,2.99999993992603,5.99999990988904)); #54937=CARTESIAN_POINT('',(2.49999992490753,2.99999993992603,6.24999990988904)); #54938=CARTESIAN_POINT('',(2.49999992490753,2.99999993992603,5.99999990988904)); #54939=CARTESIAN_POINT('',(2.74999992490753,2.99999993992603,6.24999990988904)); #54940=CARTESIAN_POINT('',(2.74999992490753,2.99999993992603,6.24999990988904)); #54941=CARTESIAN_POINT('',(2.74999992490753,2.99999993992603,5.99999990988904)); #54942=CARTESIAN_POINT('Origin',(2.74999992490753,3.99999993992603,5.99999990988904)); #54943=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,5.99999990988904)); #54944=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,5.99999990988904)); #54945=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,6.24999990988904)); #54946=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,6.24999990988904)); #54947=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,5.99999990988904)); #54948=CARTESIAN_POINT('Origin',(2.49999992490753,3.99999993992603,5.99999990988904)); #54949=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,5.99999990988904)); #54950=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,5.99999990988904)); #54951=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,6.24999990988904)); #54952=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,6.24999990988904)); #54953=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,5.99999990988904)); #54954=CARTESIAN_POINT('Origin',(2.49999992490753,2.99999993992603,5.99999990988904)); #54955=CARTESIAN_POINT('',(2.49999992490753,2.99999993992603,5.99999990988904)); #54956=CARTESIAN_POINT('',(2.49999992490753,2.99999993992603,6.24999990988904)); #54957=CARTESIAN_POINT('Origin',(-3.50000011170004,4.50000014361434,5.99999990988904)); #54958=CARTESIAN_POINT('',(-3.38000011170004,4.50000014361434,6.24999990988904)); #54959=CARTESIAN_POINT('Origin',(-3.50000011170004,4.50000014361434,6.24999990988904)); #54960=CARTESIAN_POINT('',(-3.38000011170004,4.50000014361434,5.99999990988904)); #54961=CARTESIAN_POINT('',(-3.38000011170004,4.50000014361434,5.99999990988904)); #54962=CARTESIAN_POINT('Origin',(-3.50000011170004,4.50000014361434,5.99999990988904)); #54963=CARTESIAN_POINT('Origin',(3.00000000750925,5.,5.99999990988904)); #54964=CARTESIAN_POINT('',(3.00000000750925,5.,5.99999990988904)); #54965=CARTESIAN_POINT('',(3.00000000750925,5.25,5.99999990988904)); #54966=CARTESIAN_POINT('',(3.00000000750925,5.25,5.99999990988904)); #54967=CARTESIAN_POINT('',(3.00000000750925,5.25,6.24999990988904)); #54968=CARTESIAN_POINT('',(3.00000000750925,5.25,5.99999990988904)); #54969=CARTESIAN_POINT('',(3.00000000750925,5.,6.24999990988904)); #54970=CARTESIAN_POINT('',(3.00000000750925,5.25,6.24999990988904)); #54971=CARTESIAN_POINT('',(3.00000000750925,5.,5.99999990988904)); #54972=CARTESIAN_POINT('Origin',(3.99999993992603,4.99999992490753,5.99999990988904)); #54973=CARTESIAN_POINT('',(3.99999993992603,4.99999992490753,5.99999990988904)); #54974=CARTESIAN_POINT('',(3.99999993992603,4.99999992490753,5.99999990988904)); #54975=CARTESIAN_POINT('',(3.99999993992603,4.99999992490753,6.24999990988904)); #54976=CARTESIAN_POINT('',(3.99999993992603,4.99999992490753,6.24999990988904)); #54977=CARTESIAN_POINT('',(3.99999993992603,4.99999992490753,5.99999990988904)); #54978=CARTESIAN_POINT('Origin',(3.99999993992603,5.25,5.99999990988904)); #54979=CARTESIAN_POINT('',(3.99999993992603,5.25,5.99999990988904)); #54980=CARTESIAN_POINT('',(3.99999993992603,5.25,5.99999990988904)); #54981=CARTESIAN_POINT('',(3.99999993992603,5.25,6.24999990988904)); #54982=CARTESIAN_POINT('',(3.99999993992603,5.25,6.24999990988904)); #54983=CARTESIAN_POINT('',(3.99999993992603,5.25,5.99999990988904)); #54984=CARTESIAN_POINT('Origin',(3.00000000750925,5.25,5.99999990988904)); #54985=CARTESIAN_POINT('',(3.00000000750925,5.25,5.99999990988904)); #54986=CARTESIAN_POINT('',(3.00000000750925,5.25,6.24999990988904)); #54987=CARTESIAN_POINT('Origin',(-1.0000000319143,0.500000015957149,5.99999990988904)); #54988=CARTESIAN_POINT('',(-0.880000031914298,0.500000015957149,6.24999990988904)); #54989=CARTESIAN_POINT('Origin',(-1.0000000319143,0.500000015957149,6.24999990988904)); #54990=CARTESIAN_POINT('',(-0.880000031914298,0.500000015957149,5.99999990988904)); #54991=CARTESIAN_POINT('',(-0.880000031914298,0.500000015957149,5.99999990988904)); #54992=CARTESIAN_POINT('Origin',(-1.0000000319143,0.500000015957149,5.99999990988904)); #54993=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.,5.99999990988904)); #54994=CARTESIAN_POINT('',(-2.00000004787145,-3.,5.99999990988904)); #54995=CARTESIAN_POINT('',(-2.00000004787145,-1.9999999680857,5.99999990988904)); #54996=CARTESIAN_POINT('',(-2.00000004787145,-3.,5.99999990988904)); #54997=CARTESIAN_POINT('',(-2.00000004787145,-1.9999999680857,6.24999990988904)); #54998=CARTESIAN_POINT('',(-2.00000004787145,-1.9999999680857,5.99999990988904)); #54999=CARTESIAN_POINT('',(-2.00000004787145,-3.,6.24999990988904)); #55000=CARTESIAN_POINT('',(-2.00000004787145,-3.,6.24999990988904)); #55001=CARTESIAN_POINT('',(-2.00000004787145,-3.,5.99999990988904)); #55002=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.,5.99999990988904)); #55003=CARTESIAN_POINT('',(-1.75000004787145,-3.,5.99999990988904)); #55004=CARTESIAN_POINT('',(-1.75000004787145,-3.,5.99999990988904)); #55005=CARTESIAN_POINT('',(-1.75000004787145,-3.,6.24999990988904)); #55006=CARTESIAN_POINT('',(-1.75000004787145,-3.,6.24999990988904)); #55007=CARTESIAN_POINT('',(-1.75000004787145,-3.,5.99999990988904)); #55008=CARTESIAN_POINT('Origin',(-1.75000004787145,-1.9999999680857,5.99999990988904)); #55009=CARTESIAN_POINT('',(-1.75000004787145,-1.9999999680857,5.99999990988904)); #55010=CARTESIAN_POINT('',(-1.75000004787145,-1.9999999680857,5.99999990988904)); #55011=CARTESIAN_POINT('',(-1.75000004787145,-1.9999999680857,6.24999990988904)); #55012=CARTESIAN_POINT('',(-1.75000004787145,-1.9999999680857,6.24999990988904)); #55013=CARTESIAN_POINT('',(-1.75000004787145,-1.9999999680857,5.99999990988904)); #55014=CARTESIAN_POINT('Origin',(-2.00000004787145,-1.9999999680857,5.99999990988904)); #55015=CARTESIAN_POINT('',(-2.00000004787145,-1.9999999680857,5.99999990988904)); #55016=CARTESIAN_POINT('',(-2.00000004787145,-1.9999999680857,6.24999990988904)); #55017=CARTESIAN_POINT('Origin',(-5.75,4.75,5.99999990988904)); #55018=CARTESIAN_POINT('',(-5.75,-4.75,5.99999990988904)); #55019=CARTESIAN_POINT('',(-5.75,4.75,5.99999990988904)); #55020=CARTESIAN_POINT('',(-5.75,-4.75,5.99999990988904)); #55021=CARTESIAN_POINT('',(-5.75,-4.75,6.24999990988904)); #55022=CARTESIAN_POINT('',(-5.75,-4.75,5.99999990988904)); #55023=CARTESIAN_POINT('',(-5.75,4.75,6.24999990988904)); #55024=CARTESIAN_POINT('',(-5.75,-4.75,6.24999990988904)); #55025=CARTESIAN_POINT('',(-5.75,4.75,5.99999990988904)); #55026=CARTESIAN_POINT('Origin',(-4.75,4.75,5.99999990988904)); #55027=CARTESIAN_POINT('',(-4.75,5.75,5.99999990988904)); #55028=CARTESIAN_POINT('Origin',(-4.75,4.75,5.99999990988904)); #55029=CARTESIAN_POINT('',(-4.75,5.75,6.24999990988904)); #55030=CARTESIAN_POINT('Origin',(-4.75,4.75,6.24999990988904)); #55031=CARTESIAN_POINT('',(-4.75,5.75,5.99999990988904)); #55032=CARTESIAN_POINT('Origin',(4.75,5.75,5.99999990988904)); #55033=CARTESIAN_POINT('',(4.75,5.75,5.99999990988904)); #55034=CARTESIAN_POINT('',(-4.75,5.75,5.99999990988904)); #55035=CARTESIAN_POINT('',(4.75,5.75,6.24999990988904)); #55036=CARTESIAN_POINT('',(-4.75,5.75,6.24999990988904)); #55037=CARTESIAN_POINT('',(4.75,5.75,5.99999990988904)); #55038=CARTESIAN_POINT('Origin',(4.75,4.75,5.99999990988904)); #55039=CARTESIAN_POINT('',(5.75,4.75,5.99999990988904)); #55040=CARTESIAN_POINT('Origin',(4.75,4.75,5.99999990988904)); #55041=CARTESIAN_POINT('',(5.75,4.75,6.24999990988904)); #55042=CARTESIAN_POINT('Origin',(4.75,4.75,6.24999990988904)); #55043=CARTESIAN_POINT('',(5.75,4.75,5.99999990988904)); #55044=CARTESIAN_POINT('Origin',(5.75,-4.75,5.99999990988904)); #55045=CARTESIAN_POINT('',(5.75,-4.75,5.99999990988904)); #55046=CARTESIAN_POINT('',(5.75,4.75,5.99999990988904)); #55047=CARTESIAN_POINT('',(5.75,-4.75,6.24999990988904)); #55048=CARTESIAN_POINT('',(5.75,4.75,6.24999990988904)); #55049=CARTESIAN_POINT('',(5.75,-4.75,5.99999990988904)); #55050=CARTESIAN_POINT('Origin',(4.75,-4.75,5.99999990988904)); #55051=CARTESIAN_POINT('',(4.75,-5.75,5.99999990988904)); #55052=CARTESIAN_POINT('Origin',(4.75,-4.75,5.99999990988904)); #55053=CARTESIAN_POINT('',(4.75,-5.75,6.24999990988904)); #55054=CARTESIAN_POINT('Origin',(4.75,-4.75,6.24999990988904)); #55055=CARTESIAN_POINT('',(4.75,-5.75,5.99999990988904)); #55056=CARTESIAN_POINT('Origin',(-4.75,-5.75,5.99999990988904)); #55057=CARTESIAN_POINT('',(-4.75,-5.75,5.99999990988904)); #55058=CARTESIAN_POINT('',(4.75,-5.75,5.99999990988904)); #55059=CARTESIAN_POINT('',(-4.75,-5.75,6.24999990988904)); #55060=CARTESIAN_POINT('',(4.75,-5.75,6.24999990988904)); #55061=CARTESIAN_POINT('',(-4.75,-5.75,5.99999990988904)); #55062=CARTESIAN_POINT('Origin',(-4.75,-4.75,5.99999990988904)); #55063=CARTESIAN_POINT('Origin',(-4.75,-4.75,5.99999990988904)); #55064=CARTESIAN_POINT('Origin',(-4.75,-4.75,6.24999990988904)); #55065=CARTESIAN_POINT('Origin',(0.,0.,6.24999990988904)); #55066=CARTESIAN_POINT('Origin',(0.,0.,5.99999990988904)); #55067=CARTESIAN_POINT('',(0.,0.,0.)); #55068=CARTESIAN_POINT('',(0.,0.,0.)); #55069=CARTESIAN_POINT('Origin',(0.,0.500000023935724,1.1250000319143)); #55070=CARTESIAN_POINT('',(-0.612253929278739,0.500000023935724,0.69181978527008)); #55071=CARTESIAN_POINT('',(-0.659544710115748,0.400000023935724,0.58880904415123)); #55072=CARTESIAN_POINT('Ctrl Pts',(-0.659544710115748,0.400000023935724, 0.58880904415123)); #55073=CARTESIAN_POINT('Ctrl Pts',(-0.656276028872121,0.400000023935724, 0.591161104649564)); #55074=CARTESIAN_POINT('Ctrl Pts',(-0.653148638816247,0.40024240173866, 0.593711297378652)); #55075=CARTESIAN_POINT('Ctrl Pts',(-0.643200990038554,0.401885945427959, 0.602877954681862)); #55076=CARTESIAN_POINT('Ctrl Pts',(-0.637424355350293,0.404352358918452, 0.610030955878473)); #55077=CARTESIAN_POINT('Ctrl Pts',(-0.626998532774239,0.412214483878953, 0.626799270071819)); #55078=CARTESIAN_POINT('Ctrl Pts',(-0.623069990332036,0.418043949433055, 0.636365725350035)); #55079=CARTESIAN_POINT('Ctrl Pts',(-0.616466541096467,0.435197365294242, 0.659861918354157)); #55080=CARTESIAN_POINT('Ctrl Pts',(-0.615907971173315,0.44720053708067, 0.672522871224365)); #55081=CARTESIAN_POINT('Ctrl Pts',(-0.615785632503865,0.463432783128742, 0.68513216359642)); #55082=CARTESIAN_POINT('Ctrl Pts',(-0.615882962007013,0.46940226955717, 0.689010850562346)); #55083=CARTESIAN_POINT('Ctrl Pts',(-0.615470708702972,0.480173045361887, 0.69313441727332)); #55084=CARTESIAN_POINT('Ctrl Pts',(-0.615102864368623,0.484454971113775, 0.693913379917692)); #55085=CARTESIAN_POINT('Ctrl Pts',(-0.613949443896571,0.492290991371625, 0.693822974776818)); #55086=CARTESIAN_POINT('Ctrl Pts',(-0.613212928017729,0.496010494575442, 0.693175227376845)); #55087=CARTESIAN_POINT('Ctrl Pts',(-0.612253929278738,0.500000023935723, 0.69181978527008)); #55088=CARTESIAN_POINT('',(0.659544710115748,0.400000023935724,0.58880904415123)); #55089=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55090=CARTESIAN_POINT('',(0.612253929278738,0.500000023935724,0.691819785270079)); #55091=CARTESIAN_POINT('Ctrl Pts',(0.612253929278738,0.500000023935726, 0.691819785270079)); #55092=CARTESIAN_POINT('Ctrl Pts',(0.612419822481317,0.499309891847485, 0.69205425756171)); #55093=CARTESIAN_POINT('Ctrl Pts',(0.612582297029928,0.498615171768804, 0.692271804685035)); #55094=CARTESIAN_POINT('Ctrl Pts',(0.613775341589219,0.493343057375178, 0.693771437905043)); #55095=CARTESIAN_POINT('Ctrl Pts',(0.614505976822364,0.489071872235978, 0.694137072569106)); #55096=CARTESIAN_POINT('Ctrl Pts',(0.615398935493129,0.481045261083824, 0.693266506540323)); #55097=CARTESIAN_POINT('Ctrl Pts',(0.615630803592003,0.477102243959882, 0.692170758433083)); #55098=CARTESIAN_POINT('Ctrl Pts',(0.615873115478851,0.466690754509045, 0.687418246000936)); #55099=CARTESIAN_POINT('Ctrl Pts',(0.615775178072325,0.460854957171864, 0.683302562975617)); #55100=CARTESIAN_POINT('Ctrl Pts',(0.616010401981734,0.445225420069548, 0.670467521310693)); #55101=CARTESIAN_POINT('Ctrl Pts',(0.616824943708331,0.434093461021543, 0.658412674791253)); #55102=CARTESIAN_POINT('Ctrl Pts',(0.623533924913641,0.416978367947058, 0.634855397127009)); #55103=CARTESIAN_POINT('Ctrl Pts',(0.628134392591529,0.410727332620275, 0.624255954711653)); #55104=CARTESIAN_POINT('Ctrl Pts',(0.640191831359888,0.402981565389524, 0.606393048465182)); #55105=CARTESIAN_POINT('Ctrl Pts',(0.646681369314678,0.400877138301835, 0.59914350246211)); #55106=CARTESIAN_POINT('Ctrl Pts',(0.656001271033494,0.400072554988142, 0.591448500002435)); #55107=CARTESIAN_POINT('Ctrl Pts',(0.657756497133032,0.400000023935723, 0.590095797066478)); #55108=CARTESIAN_POINT('Ctrl Pts',(0.659544710115748,0.400000023935723, 0.58880904415123)); #55109=CARTESIAN_POINT('Origin',(0.,0.500000023935724,1.1250000319143)); #55110=CARTESIAN_POINT('Origin',(0.,0.750000023935724,1.1250000319143)); #55111=CARTESIAN_POINT('',(-0.633487453925977,0.400000023935724,0.723510747227418)); #55112=CARTESIAN_POINT('Ctrl Pts',(-0.612253929278738,0.500000023935723, 0.69181978527008)); #55113=CARTESIAN_POINT('Ctrl Pts',(-0.620004977987784,0.467754896658237, 0.702775063274808)); #55114=CARTESIAN_POINT('Ctrl Pts',(-0.627096734286838,0.434732341584117, 0.713433928572008)); #55115=CARTESIAN_POINT('Ctrl Pts',(-0.633405758223643,0.400444722092608, 0.723381865887053)); #55116=CARTESIAN_POINT('Ctrl Pts',(-0.633446626039625,0.400222382399293, 0.723446327192411)); #55117=CARTESIAN_POINT('Ctrl Pts',(-0.633487453925977,0.400000023935723, 0.723510747227418)); #55118=CARTESIAN_POINT('',(0.633487453925977,0.400000023935724,0.723510747227418)); #55119=CARTESIAN_POINT('Ctrl Pts',(0.633487453925977,0.400000023935723, 0.723510747227418)); #55120=CARTESIAN_POINT('Ctrl Pts',(0.633446626036393,0.400222382416895, 0.723446327187312)); #55121=CARTESIAN_POINT('Ctrl Pts',(0.633405758226887,0.400444722075018, 0.723381865892168)); #55122=CARTESIAN_POINT('Ctrl Pts',(0.627096734200298,0.434732342052928, 0.713433928435553)); #55123=CARTESIAN_POINT('Ctrl Pts',(0.620004977900604,0.467754897020915, 0.702775063151588)); #55124=CARTESIAN_POINT('Ctrl Pts',(0.612253929278738,0.500000023935724, 0.69181978527008)); #55125=CARTESIAN_POINT('',(0.744791603652976,0.400000023935724,1.03676473779665)); #55126=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55127=CARTESIAN_POINT('',(0.744791603652976,1.10000002393572,1.03676473779665)); #55128=CARTESIAN_POINT('',(0.744791603652976,0.750000023935724,1.03676473779665)); #55129=CARTESIAN_POINT('',(-0.744791603652976,1.10000002393572,1.03676473779665)); #55130=CARTESIAN_POINT('Origin',(0.,1.10000002393572,1.1250000319143)); #55131=CARTESIAN_POINT('',(-0.744791603652976,0.400000023935724,1.03676473779665)); #55132=CARTESIAN_POINT('',(-0.744791603652976,0.750000023935724,1.03676473779665)); #55133=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55134=CARTESIAN_POINT('Origin',(0.615208508565671,-0.0737871283926376, 0.669978842602501)); #55135=CARTESIAN_POINT('',(0.615208508565671,-0.0737871283926377,0.569978842602501)); #55136=CARTESIAN_POINT('',(0.544497830447016,-0.144497806511292,0.669978842602501)); #55137=CARTESIAN_POINT('Origin',(0.615208508565671,-0.0737871283926377, 0.669978842602501)); #55138=CARTESIAN_POINT('',(0.683565007093468,-0.126430143451272,0.619420934471768)); #55139=CARTESIAN_POINT('Origin',(0.615208508565671,-0.0737871283926376, 0.669978842602501)); #55140=CARTESIAN_POINT('Origin',(0.615208508565671,-0.0737871283926376, 0.669978842602501)); #55141=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.669978842602501)); #55142=CARTESIAN_POINT('',(0.862780296516902,0.400000023935724,0.619420934471768)); #55143=CARTESIAN_POINT('',(0.776502269618714,0.400000023935724,0.569978842602501)); #55144=CARTESIAN_POINT('Origin',(0.776502269618714,0.400000023935724,0.669978842602501)); #55145=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.619420934471768)); #55146=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.569978842602501)); #55147=CARTESIAN_POINT('Origin',(0.0707106781186547,0.470710702054378,1.1250000319143)); #55148=CARTESIAN_POINT('',(0.77688994776531,-0.219755084123114,1.01388892119719)); #55149=CARTESIAN_POINT('',(0.628490277349514,-0.22849025341379,1.0250000319143)); #55150=CARTESIAN_POINT('Origin',(0.699200955468168,-0.157779575295135,1.0250000319143)); #55151=CARTESIAN_POINT('Origin',(2.18547839493141E-17,0.400000023935724, 1.1250000319143)); #55152=CARTESIAN_POINT('Origin',(0.0785674198532359,0.478567443788959,1.1250000319143)); #55153=CARTESIAN_POINT('Origin',(0.573431135866933,-0.0320097556939,0.669978842602501)); #55154=CARTESIAN_POINT('',(0.505968649294353,0.0188149242625261,0.723510747227418)); #55155=CARTESIAN_POINT('',(0.502720457748278,-0.102720433812555,0.669978842602501)); #55156=CARTESIAN_POINT('Origin',(0.573431135866933,-0.0320097556939,0.6699788426025)); #55157=CARTESIAN_POINT('',(0.573431135866933,-0.0320097556939,0.569978842602501)); #55158=CARTESIAN_POINT('Origin',(0.573431135866933,-0.0320097556939,0.669978842602501)); #55159=CARTESIAN_POINT('Origin',(0.573431135866933,-0.0320097556939,0.669978842602501)); #55160=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.669978842602501)); #55161=CARTESIAN_POINT('',(0.717952447782774,0.400000023935724,0.569978842602501)); #55162=CARTESIAN_POINT('Origin',(0.717952447782774,0.400000023935724,0.669978842602501)); #55163=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.569978842602501)); #55164=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.723510747227418)); #55165=CARTESIAN_POINT('Origin',(0.543633091660846,-0.00221171148781328, 0.669978842602501)); #55166=CARTESIAN_POINT('',(0.543633091660846,-0.00221171148781328,0.569978842602501)); #55167=CARTESIAN_POINT('',(0.472922413542192,-0.072922389606468,0.669978842602501)); #55168=CARTESIAN_POINT('Origin',(0.0707106781186547,0.470710702054378,1.1250000319143)); #55169=CARTESIAN_POINT('',(0.592663479556485,-0.192663455620761,1.0250000319143)); #55170=CARTESIAN_POINT('',(0.585330139125123,-0.0605465655682444,1.03676473779665)); #55171=CARTESIAN_POINT('Origin',(0.663374157675139,-0.121952777502106,1.0250000319143)); #55172=CARTESIAN_POINT('Origin',(0.0623917748105777,0.462391798746301,1.1250000319143)); #55173=CARTESIAN_POINT('Origin',(2.18547839493141E-17,0.400000023935724, 1.1250000319143)); #55174=CARTESIAN_POINT('Origin',(0.435674029697392,0.105747350475641,1.0250000319143)); #55175=CARTESIAN_POINT('',(0.66337415767514,-0.121952777502106,1.1250000319143)); #55176=CARTESIAN_POINT('Origin',(0.663374157675139,-0.121952777502106,1.0250000319143)); #55177=CARTESIAN_POINT('',(0.364963351578738,0.0350366723569859,1.0250000319143)); #55178=CARTESIAN_POINT('',(0.699200955468169,-0.157779575295135,1.1250000319143)); #55179=CARTESIAN_POINT('Origin',(0.699200955468169,-0.157779575295135,1.0250000319143)); #55180=CARTESIAN_POINT('',(0.435674029697392,0.105747350475641,1.1250000319143)); #55181=CARTESIAN_POINT('Origin',(0.66337415767514,-0.121952777502106,1.0250000319143)); #55182=CARTESIAN_POINT('Origin',(0.66337415767514,-0.121952777502106,1.0250000319143)); #55183=CARTESIAN_POINT('Origin',(0.699200955468168,-0.157779575295135,1.0250000319143)); #55184=CARTESIAN_POINT('Origin',(0.699200955468168,-0.157779575295135,1.0250000319143)); #55185=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55186=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,0.400000023935723, 1.03676473779665)); #55187=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.0250000319143)); #55188=CARTESIAN_POINT('',(0.844097150806707,0.400000023935724,1.1250000319143)); #55189=CARTESIAN_POINT('Origin',(1.74838271594513E-16,0.400000023935724, 1.1250000319143)); #55190=CARTESIAN_POINT('Origin',(0.844097150806707,0.400000023935724,1.0250000319143)); #55191=CARTESIAN_POINT('Origin',(0.75,0.750000023935724,1.1250000319143)); #55192=CARTESIAN_POINT('',(0.894427223113059,0.400000023935724,1.1250000319143)); #55193=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,0.400000023935724, 1.1250000319143)); #55194=CARTESIAN_POINT('',(0.894427223113059,1.10000002393572,1.1250000319143)); #55195=CARTESIAN_POINT('',(0.894427223113059,0.750000023935724,1.1250000319143)); #55196=CARTESIAN_POINT('',(0.844097150806707,1.10000002393572,1.1250000319143)); #55197=CARTESIAN_POINT('',(0.75,1.10000002393572,1.1250000319143)); #55198=CARTESIAN_POINT('',(0.844097150806707,0.750000023935724,1.1250000319143)); #55199=CARTESIAN_POINT('Origin',(0.529926703157475,-0.129926679221752,0.847489437258399)); #55200=CARTESIAN_POINT('Origin',(0.831836247853816,0.400000023935724,0.569978842602501)); #55201=CARTESIAN_POINT('',(0.415918123926908,0.400000023935724,0.569978842602501)); #55202=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55203=CARTESIAN_POINT('',(0.9938080221571,0.400000023935724,1.01388892119719)); #55204=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55205=CARTESIAN_POINT('Origin',(3.49676543189026E-16,0.400000023935723, 1.01388892119719)); #55206=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.0250000319143)); #55207=CARTESIAN_POINT('Origin',(0.894427223113059,0.400000023935724,1.0250000319143)); #55208=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.625000015957149)); #55209=CARTESIAN_POINT('',(-0.717952447782774,0.400000023935724,0.569978842602501)); #55210=CARTESIAN_POINT('Origin',(-0.717952447782774,0.400000023935724,0.669978842602501)); #55211=CARTESIAN_POINT('',(-0.776502269618714,0.400000023935724,0.569978842602501)); #55212=CARTESIAN_POINT('',(-0.415918123926908,0.400000023935724,0.569978842602501)); #55213=CARTESIAN_POINT('',(-0.862780296516902,0.400000023935724,0.619420934471768)); #55214=CARTESIAN_POINT('Origin',(-0.776502269618714,0.400000023935724,0.669978842602501)); #55215=CARTESIAN_POINT('',(-0.484122926516163,0.400000023935724,0.25)); #55216=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55217=CARTESIAN_POINT('',(0.484122926516163,0.400000023935724,0.25)); #55218=CARTESIAN_POINT('',(0.,0.400000023935724,0.25)); #55219=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55220=CARTESIAN_POINT('Origin',(-0.615208508565671,-0.0737871283926377, 0.669978842602501)); #55221=CARTESIAN_POINT('',(-0.683565007093468,-0.126430143451272,0.619420934471768)); #55222=CARTESIAN_POINT('',(-0.544497830447016,-0.144497806511292,0.669978842602501)); #55223=CARTESIAN_POINT('Origin',(-0.615208508565671,-0.0737871283926376, 0.669978842602501)); #55224=CARTESIAN_POINT('',(-0.615208508565671,-0.0737871283926377,0.569978842602501)); #55225=CARTESIAN_POINT('Origin',(-0.615208508565671,-0.0737871283926377, 0.669978842602501)); #55226=CARTESIAN_POINT('Origin',(-0.615208508565671,-0.0737871283926375, 0.669978842602501)); #55227=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.669978842602501)); #55228=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.569978842602501)); #55229=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.619420934471768)); #55230=CARTESIAN_POINT('Origin',(-0.573431135866933,-0.0320097556939,0.669978842602501)); #55231=CARTESIAN_POINT('',(-0.573431135866933,-0.0320097556939,0.569978842602501)); #55232=CARTESIAN_POINT('',(-0.502720457748278,-0.102720433812555,0.669978842602501)); #55233=CARTESIAN_POINT('Origin',(-0.573431135866933,-0.0320097556939,0.669978842602501)); #55234=CARTESIAN_POINT('',(-0.505968649294353,0.0188149242625261,0.723510747227418)); #55235=CARTESIAN_POINT('Origin',(-0.573431135866933,-0.0320097556939,0.669978842602501)); #55236=CARTESIAN_POINT('Origin',(-0.573431135866933,-0.0320097556939001, 0.669978842602501)); #55237=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.669978842602501)); #55238=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.723510747227418)); #55239=CARTESIAN_POINT('Origin',(0.,0.400000023935724,0.569978842602501)); #55240=CARTESIAN_POINT('Origin',(-0.0707106781186547,0.470710702054378, 1.1250000319143)); #55241=CARTESIAN_POINT('',(-0.585330139125123,-0.0605465655682444,1.03676473779665)); #55242=CARTESIAN_POINT('',(-0.592663479556485,-0.192663455620761,1.0250000319143)); #55243=CARTESIAN_POINT('Origin',(-0.663374157675139,-0.121952777502106, 1.0250000319143)); #55244=CARTESIAN_POINT('Origin',(-2.18547839493141E-17,0.400000023935724, 1.1250000319143)); #55245=CARTESIAN_POINT('Origin',(-0.0623917748105777,0.462391798746301, 1.1250000319143)); #55246=CARTESIAN_POINT('Origin',(-0.543633091660846,-0.00221171148781328, 0.669978842602501)); #55247=CARTESIAN_POINT('',(-0.472922413542192,-0.072922389606468,0.669978842602501)); #55248=CARTESIAN_POINT('',(-0.543633091660846,-0.00221171148781328,0.569978842602501)); #55249=CARTESIAN_POINT('Origin',(-0.0707106781186547,0.470710702054378, 1.1250000319143)); #55250=CARTESIAN_POINT('',(-0.628490277349514,-0.22849025341379,1.0250000319143)); #55251=CARTESIAN_POINT('',(-0.77688994776531,-0.219755084123114,1.01388892119719)); #55252=CARTESIAN_POINT('Origin',(-0.699200955468168,-0.157779575295135, 1.0250000319143)); #55253=CARTESIAN_POINT('Origin',(-0.0785674198532359,0.478567443788959, 1.1250000319143)); #55254=CARTESIAN_POINT('Origin',(-2.18547839493141E-17,0.400000023935724, 1.1250000319143)); #55255=CARTESIAN_POINT('Origin',(-0.498174037675967,0.0432473424970662, 1.0250000319143)); #55256=CARTESIAN_POINT('',(-0.663374157675139,-0.121952777502106,1.1250000319143)); #55257=CARTESIAN_POINT('Origin',(-0.663374157675139,-0.121952777502106, 1.0250000319143)); #55258=CARTESIAN_POINT('',(-0.699200955468169,-0.157779575295135,1.1250000319143)); #55259=CARTESIAN_POINT('',(-0.498174037675967,0.0432473424970662,1.1250000319143)); #55260=CARTESIAN_POINT('Origin',(-0.699200955468169,-0.157779575295136, 1.0250000319143)); #55261=CARTESIAN_POINT('',(-0.427463359557312,-0.0274633356215885,1.0250000319143)); #55262=CARTESIAN_POINT('Origin',(-0.66337415767514,-0.121952777502106,1.0250000319143)); #55263=CARTESIAN_POINT('Origin',(-0.66337415767514,-0.121952777502106,1.0250000319143)); #55264=CARTESIAN_POINT('Origin',(-0.699200955468168,-0.157779575295135, 1.0250000319143)); #55265=CARTESIAN_POINT('Origin',(-0.699200955468168,-0.157779575295135, 1.0250000319143)); #55266=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55267=CARTESIAN_POINT('',(-0.9938080221571,0.400000023935724,1.01388892119719)); #55268=CARTESIAN_POINT('Origin',(-3.49676543189026E-16,0.400000023935723, 1.01388892119719)); #55269=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55270=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.0250000319143)); #55271=CARTESIAN_POINT('',(-0.894427223113059,0.400000023935724,1.1250000319143)); #55272=CARTESIAN_POINT('Origin',(1.74838271594513E-16,0.400000023935724, 1.1250000319143)); #55273=CARTESIAN_POINT('Origin',(-0.894427223113059,0.400000023935724,1.0250000319143)); #55274=CARTESIAN_POINT('Origin',(-1.0000000319143,0.750000023935724,1.1250000319143)); #55275=CARTESIAN_POINT('',(-0.844097150806707,0.400000023935724,1.1250000319143)); #55276=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,0.400000023935724, 1.1250000319143)); #55277=CARTESIAN_POINT('',(-0.844097150806707,1.10000002393572,1.1250000319143)); #55278=CARTESIAN_POINT('',(-0.844097150806707,0.750000023935724,1.1250000319143)); #55279=CARTESIAN_POINT('',(-0.894427223113059,1.10000002393572,1.1250000319143)); #55280=CARTESIAN_POINT('',(-1.0000000319143,1.10000002393572,1.1250000319143)); #55281=CARTESIAN_POINT('',(-0.894427223113059,0.750000023935724,1.1250000319143)); #55282=CARTESIAN_POINT('Origin',(-0.529926703157475,-0.129926679221752, 0.847489437258399)); #55283=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.1250000319143)); #55284=CARTESIAN_POINT('Origin',(1.74838271594513E-16,0.400000023935723, 1.03676473779665)); #55285=CARTESIAN_POINT('Origin',(-0.831836247853816,0.400000023935724,0.569978842602501)); #55286=CARTESIAN_POINT('Origin',(0.,0.400000023935724,1.0250000319143)); #55287=CARTESIAN_POINT('Origin',(-0.844097150806707,0.400000023935724,1.0250000319143)); #55288=CARTESIAN_POINT('Origin',(0.894427223113059,0.750000023935724,1.0250000319143)); #55289=CARTESIAN_POINT('',(0.9938080221571,1.10000002393572,1.01388892119719)); #55290=CARTESIAN_POINT('Origin',(0.894427223113059,1.10000002393572,1.0250000319143)); #55291=CARTESIAN_POINT('',(0.9938080221571,0.750000023935724,1.01388892119719)); #55292=CARTESIAN_POINT('Origin',(0.,0.750000023935724,1.1250000319143)); #55293=CARTESIAN_POINT('',(0.484122926516163,1.10000002393572,0.25)); #55294=CARTESIAN_POINT('',(0.484122926516163,0.750000023935723,0.25)); #55295=CARTESIAN_POINT('Origin',(0.,1.10000002393572,1.1250000319143)); #55296=CARTESIAN_POINT('Origin',(0.844097150806707,0.750000023935724,1.0250000319143)); #55297=CARTESIAN_POINT('Origin',(0.844097150806707,1.10000002393572,1.0250000319143)); #55298=CARTESIAN_POINT('Origin',(-0.844097150806707,0.750000023935724,1.0250000319143)); #55299=CARTESIAN_POINT('Origin',(-0.844097150806707,1.10000002393572,1.0250000319143)); #55300=CARTESIAN_POINT('Origin',(-0.894427223113059,0.750000023935724,1.0250000319143)); #55301=CARTESIAN_POINT('',(-0.9938080221571,1.10000002393572,1.01388892119719)); #55302=CARTESIAN_POINT('Origin',(-0.894427223113059,1.10000002393572,1.0250000319143)); #55303=CARTESIAN_POINT('',(-0.9938080221571,0.750000023935724,1.01388892119719)); #55304=CARTESIAN_POINT('Origin',(0.,0.750000023935724,1.1250000319143)); #55305=CARTESIAN_POINT('',(-0.484122926516163,1.10000002393572,0.25)); #55306=CARTESIAN_POINT('Origin',(0.,1.10000002393572,1.1250000319143)); #55307=CARTESIAN_POINT('',(-0.484122926516163,0.750000023935723,0.25)); #55308=CARTESIAN_POINT('Origin',(0.,1.10000002393572,0.625000015957149)); #55309=CARTESIAN_POINT('',(0.,1.10000002393572,0.25)); #55310=CARTESIAN_POINT('Origin',(1.2,0.250000023935724,0.)); #55311=CARTESIAN_POINT('',(1.125,0.250000023935724,0.25)); #55312=CARTESIAN_POINT('Origin',(1.2,0.250000023935724,0.25)); #55313=CARTESIAN_POINT('',(1.125,0.250000023935724,0.)); #55314=CARTESIAN_POINT('',(1.125,0.250000023935724,0.)); #55315=CARTESIAN_POINT('Origin',(1.2,0.250000023935724,0.)); #55316=CARTESIAN_POINT('Origin',(-1.2,0.250000023935724,0.)); #55317=CARTESIAN_POINT('',(-1.275,0.250000023935724,0.25)); #55318=CARTESIAN_POINT('Origin',(-1.2,0.250000023935724,0.25)); #55319=CARTESIAN_POINT('',(-1.275,0.250000023935724,0.)); #55320=CARTESIAN_POINT('',(-1.275,0.250000023935724,0.)); #55321=CARTESIAN_POINT('Origin',(-1.2,0.250000023935724,0.)); #55322=CARTESIAN_POINT('Origin',(1.797609570079E-17,0.250000023935724,0.)); #55323=CARTESIAN_POINT('',(-0.075,0.250000023935724,0.25)); #55324=CARTESIAN_POINT('Origin',(1.797609570079E-17,0.250000023935724,0.25)); #55325=CARTESIAN_POINT('',(-0.075,0.250000023935724,0.)); #55326=CARTESIAN_POINT('',(-0.075,0.250000023935724,0.)); #55327=CARTESIAN_POINT('Origin',(1.797609570079E-17,0.250000023935724,0.)); #55328=CARTESIAN_POINT('Origin',(-0.8,0.250000023935724,0.)); #55329=CARTESIAN_POINT('',(-0.875,0.250000023935724,0.25)); #55330=CARTESIAN_POINT('Origin',(-0.8,0.250000023935724,0.25)); #55331=CARTESIAN_POINT('',(-0.875,0.250000023935724,0.)); #55332=CARTESIAN_POINT('',(-0.875,0.250000023935724,0.)); #55333=CARTESIAN_POINT('Origin',(-0.8,0.250000023935724,0.)); #55334=CARTESIAN_POINT('Origin',(0.8,0.250000023935724,0.)); #55335=CARTESIAN_POINT('',(0.725,0.250000023935724,0.25)); #55336=CARTESIAN_POINT('Origin',(0.8,0.250000023935724,0.25)); #55337=CARTESIAN_POINT('',(0.725,0.250000023935724,0.)); #55338=CARTESIAN_POINT('',(0.725,0.250000023935724,0.)); #55339=CARTESIAN_POINT('Origin',(0.8,0.250000023935724,0.)); #55340=CARTESIAN_POINT('Origin',(-2.28521779807533E-17,1.25000002393572, 0.)); #55341=CARTESIAN_POINT('',(-0.075,1.25000002393572,0.25)); #55342=CARTESIAN_POINT('Origin',(-2.28521779807533E-17,1.25000002393572, 0.25)); #55343=CARTESIAN_POINT('',(-0.075,1.25000002393572,0.)); #55344=CARTESIAN_POINT('',(-0.075,1.25000002393572,0.)); #55345=CARTESIAN_POINT('Origin',(-2.28521779807533E-17,1.25000002393572, 0.)); #55346=CARTESIAN_POINT('Origin',(-0.223194504801102,1.48661860647631,0.)); #55347=CARTESIAN_POINT('',(-1.39994453856936,0.807724356225388,0.)); #55348=CARTESIAN_POINT('',(-0.223194504801102,1.48661860647631,0.)); #55349=CARTESIAN_POINT('',(-1.39994453856936,0.807724356225388,0.)); #55350=CARTESIAN_POINT('',(-1.39994453856936,0.807724356225388,0.25)); #55351=CARTESIAN_POINT('',(-1.39994453856936,0.807724356225388,0.)); #55352=CARTESIAN_POINT('',(-0.223194504801102,1.48661860647631,0.25)); #55353=CARTESIAN_POINT('',(-1.39994453856936,0.807724356225388,0.25)); #55354=CARTESIAN_POINT('',(-0.223194504801102,1.48661860647631,0.)); #55355=CARTESIAN_POINT('Origin',(-0.173222259452145,1.40000004787145,0.)); #55356=CARTESIAN_POINT('',(-0.173222259452144,1.50000004787145,0.)); #55357=CARTESIAN_POINT('Origin',(-0.173222259452145,1.40000004787145,0.)); #55358=CARTESIAN_POINT('',(-0.173222259452144,1.50000004787145,0.25)); #55359=CARTESIAN_POINT('Origin',(-0.173222259452145,1.40000004787145,0.25)); #55360=CARTESIAN_POINT('',(-0.173222259452144,1.50000004787145,0.)); #55361=CARTESIAN_POINT('Origin',(0.173222259452144,1.50000004787145,0.)); #55362=CARTESIAN_POINT('',(0.173222259452144,1.50000004787145,0.)); #55363=CARTESIAN_POINT('',(0.173222259452144,1.50000004787145,0.)); #55364=CARTESIAN_POINT('',(0.173222259452144,1.50000004787145,0.25)); #55365=CARTESIAN_POINT('',(0.173222259452144,1.50000004787145,0.25)); #55366=CARTESIAN_POINT('',(0.173222259452144,1.50000004787145,0.)); #55367=CARTESIAN_POINT('Origin',(0.173222259452145,1.40000004787145,0.)); #55368=CARTESIAN_POINT('',(0.223194504801102,1.48661860647631,0.)); #55369=CARTESIAN_POINT('Origin',(0.173222259452145,1.40000004787145,0.)); #55370=CARTESIAN_POINT('',(0.223194504801102,1.48661860647631,0.25)); #55371=CARTESIAN_POINT('Origin',(0.173222259452145,1.40000004787145,0.25)); #55372=CARTESIAN_POINT('',(0.223194504801102,1.48661860647631,0.)); #55373=CARTESIAN_POINT('Origin',(1.39994453856936,0.807724356225388,0.)); #55374=CARTESIAN_POINT('',(1.39994453856936,0.807724356225388,0.)); #55375=CARTESIAN_POINT('',(0.223194504801102,1.48661860647631,0.)); #55376=CARTESIAN_POINT('',(1.39994453856936,0.807724356225388,0.25)); #55377=CARTESIAN_POINT('',(0.223194504801102,1.48661860647631,0.25)); #55378=CARTESIAN_POINT('',(1.39994453856936,0.807724356225388,0.)); #55379=CARTESIAN_POINT('Origin',(1.30000004787145,0.634487239015668,0.)); #55380=CARTESIAN_POINT('',(1.50000004787145,0.634487239015668,0.)); #55381=CARTESIAN_POINT('Origin',(1.30000004787145,0.634487239015668,0.)); #55382=CARTESIAN_POINT('',(1.50000004787145,0.634487239015668,0.25)); #55383=CARTESIAN_POINT('Origin',(1.30000004787145,0.634487239015668,0.25)); #55384=CARTESIAN_POINT('',(1.50000004787145,0.634487239015668,0.)); #55385=CARTESIAN_POINT('Origin',(1.50000004787145,0.4,0.)); #55386=CARTESIAN_POINT('',(1.50000004787145,0.4,0.)); #55387=CARTESIAN_POINT('',(1.50000004787145,0.4,0.)); #55388=CARTESIAN_POINT('',(1.50000004787145,0.4,0.25)); #55389=CARTESIAN_POINT('',(1.50000004787145,0.4,0.25)); #55390=CARTESIAN_POINT('',(1.50000004787145,0.4,0.)); #55391=CARTESIAN_POINT('Origin',(1.10000004787145,0.4,0.)); #55392=CARTESIAN_POINT('',(1.10000004787145,0.,0.)); #55393=CARTESIAN_POINT('Origin',(1.10000004787145,0.4,0.)); #55394=CARTESIAN_POINT('',(1.10000004787145,0.,0.25)); #55395=CARTESIAN_POINT('Origin',(1.10000004787145,0.4,0.25)); #55396=CARTESIAN_POINT('',(1.10000004787145,0.,0.)); #55397=CARTESIAN_POINT('Origin',(-1.10000004787145,0.,0.)); #55398=CARTESIAN_POINT('',(-1.10000004787145,8.74191357972564E-17,0.)); #55399=CARTESIAN_POINT('',(-1.10000004787145,0.,0.)); #55400=CARTESIAN_POINT('',(-1.10000004787145,0.,0.25)); #55401=CARTESIAN_POINT('',(-1.10000004787145,0.,0.25)); #55402=CARTESIAN_POINT('',(-1.10000004787145,8.74191357972564E-17,0.)); #55403=CARTESIAN_POINT('Origin',(-1.10000004787145,0.4,0.)); #55404=CARTESIAN_POINT('',(-1.50000004787145,0.4,0.)); #55405=CARTESIAN_POINT('Origin',(-1.10000004787145,0.4,0.)); #55406=CARTESIAN_POINT('',(-1.50000004787145,0.4,0.25)); #55407=CARTESIAN_POINT('Origin',(-1.10000004787145,0.4,0.25)); #55408=CARTESIAN_POINT('',(-1.50000004787145,0.4,0.)); #55409=CARTESIAN_POINT('Origin',(-1.50000004787145,0.634487239015668,0.)); #55410=CARTESIAN_POINT('',(-1.50000004787145,0.634487239015668,0.)); #55411=CARTESIAN_POINT('',(-1.50000004787145,0.634487239015668,0.)); #55412=CARTESIAN_POINT('',(-1.50000004787145,0.634487239015668,0.25)); #55413=CARTESIAN_POINT('',(-1.50000004787145,0.634487239015668,0.25)); #55414=CARTESIAN_POINT('',(-1.50000004787145,0.634487239015668,0.)); #55415=CARTESIAN_POINT('Origin',(-1.30000004787145,0.634487239015667,0.)); #55416=CARTESIAN_POINT('Origin',(-1.30000004787145,0.634487239015667,0.)); #55417=CARTESIAN_POINT('Origin',(-1.30000004787145,0.634487239015667,0.25)); #55418=CARTESIAN_POINT('Origin',(0.,0.750000023935723,0.25)); #55419=CARTESIAN_POINT('Origin',(0.,0.750000023935723,0.)); #55420=CARTESIAN_POINT('',(0.,0.,0.)); #55421=CARTESIAN_POINT('Origin',(-0.25,-2.84999999521285,0.6875)); #55422=CARTESIAN_POINT('',(-0.25,-1.90000006063717,0.25)); #55423=CARTESIAN_POINT('',(-0.25,-0.100000060637166,0.25)); #55424=CARTESIAN_POINT('',(-0.25,-1.92500002792501,0.25)); #55425=CARTESIAN_POINT('',(-0.25,-1.90000006063717,0.)); #55426=CARTESIAN_POINT('',(-0.25,-1.90000006063717,0.34375)); #55427=CARTESIAN_POINT('',(-0.25,-6.10000000319143,0.)); #55428=CARTESIAN_POINT('',(-0.25,-0.10000000319143,0.)); #55429=CARTESIAN_POINT('',(-0.25,-6.10000000319143,0.997505020129945)); #55430=CARTESIAN_POINT('',(-0.25,-6.10000000319143,0.)); #55431=CARTESIAN_POINT('',(-0.25,-6.09951069738207,1.00244652904681)); #55432=CARTESIAN_POINT('Origin',(-0.25,-6.07480315279767,0.99750502012994)); #55433=CARTESIAN_POINT('',(-0.25,-6.0290510714868,1.35474465852317)); #55434=CARTESIAN_POINT('',(-0.25,-6.09951069738207,1.00244652904681)); #55435=CARTESIAN_POINT('',(-0.25,-6.00434352690246,1.375)); #55436=CARTESIAN_POINT('Origin',(-0.25,-6.00434352690246,1.3498031496063)); #55437=CARTESIAN_POINT('',(-0.25,-5.9456564794804,1.375)); #55438=CARTESIAN_POINT('',(-0.25,-6.00434352690246,1.375)); #55439=CARTESIAN_POINT('',(-0.25,-5.92094893489606,1.35474465852317)); #55440=CARTESIAN_POINT('Origin',(-0.25,-5.9456564794804,1.3498031496063)); #55441=CARTESIAN_POINT('',(-0.25,-5.8540510714868,1.02025534147683)); #55442=CARTESIAN_POINT('',(-0.25,-5.92094893489606,1.35474465852317)); #55443=CARTESIAN_POINT('',(-0.25,-5.82934352690246,1.)); #55444=CARTESIAN_POINT('Origin',(-0.25,-5.82934352690246,1.0251968503937)); #55445=CARTESIAN_POINT('',(-0.25,-5.7706564794804,1.)); #55446=CARTESIAN_POINT('',(-0.25,-5.82934352690246,1.)); #55447=CARTESIAN_POINT('',(-0.25,-5.74594893489606,1.02025534147683)); #55448=CARTESIAN_POINT('Origin',(-0.25,-5.7706564794804,1.0251968503937)); #55449=CARTESIAN_POINT('',(-0.25,-5.6790510714868,1.35474465852317)); #55450=CARTESIAN_POINT('',(-0.25,-5.74594893489606,1.02025534147683)); #55451=CARTESIAN_POINT('',(-0.25,-5.65434352690246,1.375)); #55452=CARTESIAN_POINT('Origin',(-0.25,-5.65434352690246,1.3498031496063)); #55453=CARTESIAN_POINT('',(-0.25,-5.5956564794804,1.375)); #55454=CARTESIAN_POINT('',(-0.25,-5.65434352690246,1.375)); #55455=CARTESIAN_POINT('',(-0.25,-5.57094893489606,1.35474465852317)); #55456=CARTESIAN_POINT('Origin',(-0.25,-5.5956564794804,1.3498031496063)); #55457=CARTESIAN_POINT('',(-0.25,-5.5040510714868,1.02025534147683)); #55458=CARTESIAN_POINT('',(-0.25,-5.57094893489606,1.35474465852317)); #55459=CARTESIAN_POINT('',(-0.25,-5.47934352690246,1.)); #55460=CARTESIAN_POINT('Origin',(-0.25,-5.47934352690246,1.0251968503937)); #55461=CARTESIAN_POINT('',(-0.25,-5.4206564794804,1.)); #55462=CARTESIAN_POINT('',(-0.25,-5.47934352690246,1.)); #55463=CARTESIAN_POINT('',(-0.25,-5.39594893489606,1.02025534147683)); #55464=CARTESIAN_POINT('Origin',(-0.25,-5.4206564794804,1.0251968503937)); #55465=CARTESIAN_POINT('',(-0.25,-5.3290510714868,1.35474465852317)); #55466=CARTESIAN_POINT('',(-0.25,-5.39594893489606,1.02025534147683)); #55467=CARTESIAN_POINT('',(-0.25,-5.30434352690246,1.375)); #55468=CARTESIAN_POINT('Origin',(-0.25,-5.30434352690246,1.3498031496063)); #55469=CARTESIAN_POINT('',(-0.25,-5.2456564794804,1.375)); #55470=CARTESIAN_POINT('',(-0.25,-5.30434352690246,1.375)); #55471=CARTESIAN_POINT('',(-0.25,-5.22094893489606,1.35474465852317)); #55472=CARTESIAN_POINT('Origin',(-0.25,-5.2456564794804,1.3498031496063)); #55473=CARTESIAN_POINT('',(-0.25,-5.1540510714868,1.02025534147683)); #55474=CARTESIAN_POINT('',(-0.25,-5.22094893489606,1.35474465852317)); #55475=CARTESIAN_POINT('',(-0.25,-5.12934352690246,1.)); #55476=CARTESIAN_POINT('Origin',(-0.25,-5.12934352690246,1.0251968503937)); #55477=CARTESIAN_POINT('',(-0.25,-5.0706564794804,1.)); #55478=CARTESIAN_POINT('',(-0.25,-5.12934352690246,1.)); #55479=CARTESIAN_POINT('',(-0.25,-5.04594893489606,1.02025534147683)); #55480=CARTESIAN_POINT('Origin',(-0.25,-5.0706564794804,1.0251968503937)); #55481=CARTESIAN_POINT('',(-0.25,-4.9790510714868,1.35474465852317)); #55482=CARTESIAN_POINT('',(-0.25,-5.04594893489606,1.02025534147683)); #55483=CARTESIAN_POINT('',(-0.25,-4.95434352690246,1.375)); #55484=CARTESIAN_POINT('Origin',(-0.25,-4.95434352690246,1.3498031496063)); #55485=CARTESIAN_POINT('',(-0.25,-4.8956564794804,1.375)); #55486=CARTESIAN_POINT('',(-0.25,-4.95434352690246,1.375)); #55487=CARTESIAN_POINT('',(-0.25,-4.87094893489606,1.35474465852317)); #55488=CARTESIAN_POINT('Origin',(-0.25,-4.8956564794804,1.3498031496063)); #55489=CARTESIAN_POINT('',(-0.25,-4.8040510714868,1.02025534147683)); #55490=CARTESIAN_POINT('',(-0.25,-4.87094893489606,1.35474465852317)); #55491=CARTESIAN_POINT('',(-0.25,-4.77934352690246,1.)); #55492=CARTESIAN_POINT('Origin',(-0.25,-4.77934352690246,1.0251968503937)); #55493=CARTESIAN_POINT('',(-0.25,-4.7206564794804,1.)); #55494=CARTESIAN_POINT('',(-0.25,-4.77934352690246,1.)); #55495=CARTESIAN_POINT('',(-0.25,-4.69594893489606,1.02025534147683)); #55496=CARTESIAN_POINT('Origin',(-0.25,-4.7206564794804,1.0251968503937)); #55497=CARTESIAN_POINT('',(-0.25,-4.6290510714868,1.35474465852317)); #55498=CARTESIAN_POINT('',(-0.25,-4.69594893489606,1.02025534147683)); #55499=CARTESIAN_POINT('',(-0.25,-4.60434352690246,1.375)); #55500=CARTESIAN_POINT('Origin',(-0.25,-4.60434352690246,1.3498031496063)); #55501=CARTESIAN_POINT('',(-0.25,-4.5456564794804,1.375)); #55502=CARTESIAN_POINT('',(-0.25,-4.60434352690246,1.375)); #55503=CARTESIAN_POINT('',(-0.25,-4.52094893489606,1.35474465852317)); #55504=CARTESIAN_POINT('Origin',(-0.25,-4.5456564794804,1.3498031496063)); #55505=CARTESIAN_POINT('',(-0.25,-4.4540510714868,1.02025534147683)); #55506=CARTESIAN_POINT('',(-0.25,-4.52094893489606,1.35474465852317)); #55507=CARTESIAN_POINT('',(-0.25,-4.42934352690246,1.)); #55508=CARTESIAN_POINT('Origin',(-0.25,-4.42934352690246,1.0251968503937)); #55509=CARTESIAN_POINT('',(-0.25,-4.3706564794804,1.)); #55510=CARTESIAN_POINT('',(-0.25,-4.42934352690246,1.)); #55511=CARTESIAN_POINT('',(-0.25,-4.34594893489606,1.02025534147683)); #55512=CARTESIAN_POINT('Origin',(-0.25,-4.3706564794804,1.0251968503937)); #55513=CARTESIAN_POINT('',(-0.25,-4.2790510714868,1.35474465852317)); #55514=CARTESIAN_POINT('',(-0.25,-4.34594893489606,1.02025534147683)); #55515=CARTESIAN_POINT('',(-0.25,-4.25434352690246,1.375)); #55516=CARTESIAN_POINT('Origin',(-0.25,-4.25434352690246,1.3498031496063)); #55517=CARTESIAN_POINT('',(-0.25,-4.1956564794804,1.375)); #55518=CARTESIAN_POINT('',(-0.25,-4.25434352690246,1.375)); #55519=CARTESIAN_POINT('',(-0.25,-4.17094893489606,1.35474465852317)); #55520=CARTESIAN_POINT('Origin',(-0.25,-4.1956564794804,1.3498031496063)); #55521=CARTESIAN_POINT('',(-0.25,-4.1040510714868,1.02025534147683)); #55522=CARTESIAN_POINT('',(-0.25,-4.17094893489606,1.35474465852317)); #55523=CARTESIAN_POINT('',(-0.25,-4.07934352690246,1.)); #55524=CARTESIAN_POINT('Origin',(-0.25,-4.07934352690246,1.0251968503937)); #55525=CARTESIAN_POINT('',(-0.25,-4.0206564794804,1.)); #55526=CARTESIAN_POINT('',(-0.25,-4.07934352690246,1.)); #55527=CARTESIAN_POINT('',(-0.25,-3.99594893489606,1.02025534147683)); #55528=CARTESIAN_POINT('Origin',(-0.25,-4.0206564794804,1.0251968503937)); #55529=CARTESIAN_POINT('',(-0.25,-3.9290510714868,1.35474465852317)); #55530=CARTESIAN_POINT('',(-0.25,-3.99594893489606,1.02025534147683)); #55531=CARTESIAN_POINT('',(-0.25,-3.90434352690246,1.375)); #55532=CARTESIAN_POINT('Origin',(-0.25,-3.90434352690246,1.3498031496063)); #55533=CARTESIAN_POINT('',(-0.25,-3.8456564794804,1.375)); #55534=CARTESIAN_POINT('',(-0.25,-3.90434352690246,1.375)); #55535=CARTESIAN_POINT('',(-0.25,-3.82094893489607,1.35474465852317)); #55536=CARTESIAN_POINT('Origin',(-0.25,-3.8456564794804,1.3498031496063)); #55537=CARTESIAN_POINT('',(-0.25,-3.7540510714868,1.02025534147683)); #55538=CARTESIAN_POINT('',(-0.25,-3.82094893489607,1.35474465852317)); #55539=CARTESIAN_POINT('',(-0.25,-3.72934352690246,1.)); #55540=CARTESIAN_POINT('Origin',(-0.25,-3.72934352690246,1.0251968503937)); #55541=CARTESIAN_POINT('',(-0.25,-3.6706564794804,1.)); #55542=CARTESIAN_POINT('',(-0.25,-3.72934352690246,1.)); #55543=CARTESIAN_POINT('',(-0.25,-3.64594893489606,1.02025534147683)); #55544=CARTESIAN_POINT('Origin',(-0.25,-3.6706564794804,1.0251968503937)); #55545=CARTESIAN_POINT('',(-0.25,-3.5790510714868,1.35474465852317)); #55546=CARTESIAN_POINT('',(-0.25,-3.64594893489606,1.02025534147683)); #55547=CARTESIAN_POINT('',(-0.25,-3.55434352690246,1.375)); #55548=CARTESIAN_POINT('Origin',(-0.25,-3.55434352690246,1.3498031496063)); #55549=CARTESIAN_POINT('',(-0.25,-3.4956564794804,1.375)); #55550=CARTESIAN_POINT('',(-0.25,-3.55434352690246,1.375)); #55551=CARTESIAN_POINT('',(-0.25,-3.47094893489606,1.35474465852317)); #55552=CARTESIAN_POINT('Origin',(-0.25,-3.4956564794804,1.3498031496063)); #55553=CARTESIAN_POINT('',(-0.25,-3.4040510714868,1.02025534147683)); #55554=CARTESIAN_POINT('',(-0.25,-3.47094893489606,1.35474465852317)); #55555=CARTESIAN_POINT('',(-0.25,-3.37934352690246,1.)); #55556=CARTESIAN_POINT('Origin',(-0.25,-3.37934352690246,1.0251968503937)); #55557=CARTESIAN_POINT('',(-0.25,-3.3206564794804,1.)); #55558=CARTESIAN_POINT('',(-0.25,-3.37934352690246,1.)); #55559=CARTESIAN_POINT('',(-0.25,-3.29594893489607,1.02025534147683)); #55560=CARTESIAN_POINT('Origin',(-0.25,-3.3206564794804,1.0251968503937)); #55561=CARTESIAN_POINT('',(-0.25,-3.2290510714868,1.35474465852317)); #55562=CARTESIAN_POINT('',(-0.25,-3.29594893489607,1.02025534147683)); #55563=CARTESIAN_POINT('',(-0.25,-3.20434352690246,1.375)); #55564=CARTESIAN_POINT('Origin',(-0.25,-3.20434352690246,1.3498031496063)); #55565=CARTESIAN_POINT('',(-0.25,-3.1456564794804,1.375)); #55566=CARTESIAN_POINT('',(-0.25,-3.20434352690246,1.375)); #55567=CARTESIAN_POINT('',(-0.25,-3.12094893489606,1.35474465852317)); #55568=CARTESIAN_POINT('Origin',(-0.25,-3.1456564794804,1.3498031496063)); #55569=CARTESIAN_POINT('',(-0.25,-3.0540510714868,1.02025534147683)); #55570=CARTESIAN_POINT('',(-0.25,-3.12094893489606,1.35474465852317)); #55571=CARTESIAN_POINT('',(-0.25,-3.02934352690246,1.)); #55572=CARTESIAN_POINT('Origin',(-0.25,-3.02934352690246,1.0251968503937)); #55573=CARTESIAN_POINT('',(-0.25,-2.9706564794804,1.)); #55574=CARTESIAN_POINT('',(-0.25,-3.02934352690246,1.)); #55575=CARTESIAN_POINT('',(-0.25,-2.94594893489607,1.02025534147683)); #55576=CARTESIAN_POINT('Origin',(-0.25,-2.9706564794804,1.0251968503937)); #55577=CARTESIAN_POINT('',(-0.25,-2.8790510714868,1.35474465852317)); #55578=CARTESIAN_POINT('',(-0.25,-2.94594893489607,1.02025534147683)); #55579=CARTESIAN_POINT('',(-0.25,-2.85434352690246,1.375)); #55580=CARTESIAN_POINT('Origin',(-0.25,-2.85434352690246,1.3498031496063)); #55581=CARTESIAN_POINT('',(-0.25,-2.7956564794804,1.375)); #55582=CARTESIAN_POINT('',(-0.25,-2.85434352690246,1.375)); #55583=CARTESIAN_POINT('',(-0.25,-2.77094893489607,1.35474465852317)); #55584=CARTESIAN_POINT('Origin',(-0.25,-2.7956564794804,1.3498031496063)); #55585=CARTESIAN_POINT('',(-0.25,-2.7040510714868,1.02025534147683)); #55586=CARTESIAN_POINT('',(-0.25,-2.77094893489607,1.35474465852317)); #55587=CARTESIAN_POINT('',(-0.25,-2.67934352690246,1.)); #55588=CARTESIAN_POINT('Origin',(-0.25,-2.67934352690246,1.0251968503937)); #55589=CARTESIAN_POINT('',(-0.25,-2.6206564794804,1.)); #55590=CARTESIAN_POINT('',(-0.25,-2.67934352690246,1.)); #55591=CARTESIAN_POINT('',(-0.25,-2.59594893489607,1.02025534147683)); #55592=CARTESIAN_POINT('Origin',(-0.25,-2.6206564794804,1.0251968503937)); #55593=CARTESIAN_POINT('',(-0.25,-2.5290510714868,1.35474465852317)); #55594=CARTESIAN_POINT('',(-0.25,-2.59594893489607,1.02025534147683)); #55595=CARTESIAN_POINT('',(-0.25,-2.50434352690246,1.375)); #55596=CARTESIAN_POINT('Origin',(-0.25,-2.50434352690246,1.3498031496063)); #55597=CARTESIAN_POINT('',(-0.25,-2.4456564794804,1.375)); #55598=CARTESIAN_POINT('',(-0.25,-2.50434352690246,1.375)); #55599=CARTESIAN_POINT('',(-0.25,-2.42094893489607,1.35474465852317)); #55600=CARTESIAN_POINT('Origin',(-0.25,-2.4456564794804,1.3498031496063)); #55601=CARTESIAN_POINT('',(-0.25,-2.3540510714868,1.02025534147683)); #55602=CARTESIAN_POINT('',(-0.25,-2.42094893489607,1.35474465852317)); #55603=CARTESIAN_POINT('',(-0.25,-2.32934352690246,1.)); #55604=CARTESIAN_POINT('Origin',(-0.25,-2.32934352690246,1.0251968503937)); #55605=CARTESIAN_POINT('',(-0.25,-2.2706564794804,1.)); #55606=CARTESIAN_POINT('',(-0.25,-2.32934352690246,1.)); #55607=CARTESIAN_POINT('',(-0.25,-2.24594893489607,1.02025534147683)); #55608=CARTESIAN_POINT('Origin',(-0.25,-2.2706564794804,1.0251968503937)); #55609=CARTESIAN_POINT('',(-0.25,-2.1790510714868,1.35474465852317)); #55610=CARTESIAN_POINT('',(-0.25,-2.24594893489607,1.02025534147683)); #55611=CARTESIAN_POINT('',(-0.25,-2.15434352690246,1.375)); #55612=CARTESIAN_POINT('Origin',(-0.25,-2.15434352690246,1.3498031496063)); #55613=CARTESIAN_POINT('',(-0.25,-2.0956564794804,1.375)); #55614=CARTESIAN_POINT('',(-0.25,-2.15434352690246,1.375)); #55615=CARTESIAN_POINT('',(-0.25,-2.07094893489607,1.35474465852317)); #55616=CARTESIAN_POINT('Origin',(-0.25,-2.0956564794804,1.3498031496063)); #55617=CARTESIAN_POINT('',(-0.25,-2.0040510714868,1.02025534147683)); #55618=CARTESIAN_POINT('',(-0.25,-2.07094893489607,1.35474465852317)); #55619=CARTESIAN_POINT('',(-0.25,-1.97934352690246,1.)); #55620=CARTESIAN_POINT('Origin',(-0.25,-1.97934352690246,1.0251968503937)); #55621=CARTESIAN_POINT('',(-0.25,-1.9206564794804,1.)); #55622=CARTESIAN_POINT('',(-0.25,-1.97934352690246,1.)); #55623=CARTESIAN_POINT('',(-0.25,-1.89594893489607,1.02025534147683)); #55624=CARTESIAN_POINT('Origin',(-0.25,-1.9206564794804,1.0251968503937)); #55625=CARTESIAN_POINT('',(-0.25,-1.8290510714868,1.35474465852317)); #55626=CARTESIAN_POINT('',(-0.25,-1.89594893489607,1.02025534147683)); #55627=CARTESIAN_POINT('',(-0.25,-1.80434352690246,1.375)); #55628=CARTESIAN_POINT('Origin',(-0.25,-1.80434352690246,1.3498031496063)); #55629=CARTESIAN_POINT('',(-0.25,-1.7456564794804,1.375)); #55630=CARTESIAN_POINT('',(-0.25,-1.80434352690246,1.375)); #55631=CARTESIAN_POINT('',(-0.25,-1.72094893489607,1.35474465852317)); #55632=CARTESIAN_POINT('Origin',(-0.25,-1.7456564794804,1.3498031496063)); #55633=CARTESIAN_POINT('',(-0.25,-1.6540510714868,1.02025534147683)); #55634=CARTESIAN_POINT('',(-0.25,-1.72094893489607,1.35474465852317)); #55635=CARTESIAN_POINT('',(-0.25,-1.62934352690246,1.)); #55636=CARTESIAN_POINT('Origin',(-0.25,-1.62934352690246,1.0251968503937)); #55637=CARTESIAN_POINT('',(-0.25,-1.5706564794804,1.)); #55638=CARTESIAN_POINT('',(-0.25,-1.62934352690246,1.)); #55639=CARTESIAN_POINT('',(-0.25,-1.54594893489607,1.02025534147683)); #55640=CARTESIAN_POINT('Origin',(-0.25,-1.5706564794804,1.0251968503937)); #55641=CARTESIAN_POINT('',(-0.25,-1.4790510714868,1.35474465852317)); #55642=CARTESIAN_POINT('',(-0.25,-1.54594893489607,1.02025534147683)); #55643=CARTESIAN_POINT('',(-0.25,-1.45434352690246,1.375)); #55644=CARTESIAN_POINT('Origin',(-0.25,-1.45434352690246,1.3498031496063)); #55645=CARTESIAN_POINT('',(-0.25,-1.3956564794804,1.375)); #55646=CARTESIAN_POINT('',(-0.25,-1.45434352690246,1.375)); #55647=CARTESIAN_POINT('',(-0.25,-1.37094893489607,1.35474465852317)); #55648=CARTESIAN_POINT('Origin',(-0.25,-1.3956564794804,1.3498031496063)); #55649=CARTESIAN_POINT('',(-0.25,-1.3040510714868,1.02025534147683)); #55650=CARTESIAN_POINT('',(-0.25,-1.37094893489607,1.35474465852317)); #55651=CARTESIAN_POINT('',(-0.25,-1.27934352690246,1.)); #55652=CARTESIAN_POINT('Origin',(-0.25,-1.27934352690246,1.0251968503937)); #55653=CARTESIAN_POINT('',(-0.25,-1.2206564794804,1.)); #55654=CARTESIAN_POINT('',(-0.25,-1.27934352690246,1.)); #55655=CARTESIAN_POINT('',(-0.25,-1.19594893489607,1.02025534147683)); #55656=CARTESIAN_POINT('Origin',(-0.25,-1.2206564794804,1.0251968503937)); #55657=CARTESIAN_POINT('',(-0.25,-1.1290510714868,1.35474465852317)); #55658=CARTESIAN_POINT('',(-0.25,-1.19594893489607,1.02025534147683)); #55659=CARTESIAN_POINT('',(-0.25,-1.10434352690246,1.375)); #55660=CARTESIAN_POINT('Origin',(-0.25,-1.10434352690246,1.3498031496063)); #55661=CARTESIAN_POINT('',(-0.25,-1.0456564794804,1.375)); #55662=CARTESIAN_POINT('',(-0.25,-1.10434352690246,1.375)); #55663=CARTESIAN_POINT('',(-0.25,-1.02094893489607,1.35474465852317)); #55664=CARTESIAN_POINT('Origin',(-0.25,-1.04565647948041,1.3498031496063)); #55665=CARTESIAN_POINT('',(-0.25,-0.9540510714868,1.02025534147683)); #55666=CARTESIAN_POINT('',(-0.25,-1.02094893489607,1.35474465852317)); #55667=CARTESIAN_POINT('',(-0.25,-0.929343526902462,1.)); #55668=CARTESIAN_POINT('Origin',(-0.25,-0.929343526902462,1.0251968503937)); #55669=CARTESIAN_POINT('',(-0.25,0.150000012765719,1.)); #55670=CARTESIAN_POINT('',(-0.25,-0.10000000319143,1.)); #55671=CARTESIAN_POINT('',(-0.25,0.150000012765719,0.5)); #55672=CARTESIAN_POINT('Origin',(-0.25,0.150000012765719,0.75)); #55673=CARTESIAN_POINT('',(-0.25,-3.19142997243686E-9,0.5)); #55674=CARTESIAN_POINT('',(-0.25,0.150000012765719,0.5)); #55675=CARTESIAN_POINT('',(-0.25,-0.10000000319143,0.4)); #55676=CARTESIAN_POINT('Origin',(-0.25,-3.19142972110684E-9,0.4)); #55677=CARTESIAN_POINT('',(-0.25,-0.10000000319143,0.4)); #55678=CARTESIAN_POINT('Origin',(0.,-5.9456564794804,1.375)); #55679=CARTESIAN_POINT('',(0.25,-6.00434352690246,1.375)); #55680=CARTESIAN_POINT('',(0.,-6.00434352690246,1.375)); #55681=CARTESIAN_POINT('',(0.25,-5.9456564794804,1.375)); #55682=CARTESIAN_POINT('',(0.25,-6.00434352690246,1.375)); #55683=CARTESIAN_POINT('',(0.,-5.9456564794804,1.375)); #55684=CARTESIAN_POINT('Origin',(0.,-5.9456564794804,1.3498031496063)); #55685=CARTESIAN_POINT('',(0.25,-5.92094893489606,1.35474465852317)); #55686=CARTESIAN_POINT('Origin',(0.25,-5.9456564794804,1.3498031496063)); #55687=CARTESIAN_POINT('',(0.,-5.92094893489606,1.35474465852317)); #55688=CARTESIAN_POINT('Origin',(0.,-5.8540510714868,1.02025534147683)); #55689=CARTESIAN_POINT('',(0.25,-5.8540510714868,1.02025534147683)); #55690=CARTESIAN_POINT('',(0.25,-5.92094893489606,1.35474465852317)); #55691=CARTESIAN_POINT('',(0.,-5.8540510714868,1.02025534147683)); #55692=CARTESIAN_POINT('Origin',(0.,-5.82934352690246,1.0251968503937)); #55693=CARTESIAN_POINT('',(0.25,-5.82934352690246,1.)); #55694=CARTESIAN_POINT('Origin',(0.25,-5.82934352690246,1.0251968503937)); #55695=CARTESIAN_POINT('',(0.,-5.82934352690246,1.)); #55696=CARTESIAN_POINT('Origin',(0.,-5.7706564794804,1.)); #55697=CARTESIAN_POINT('',(0.25,-5.7706564794804,1.)); #55698=CARTESIAN_POINT('',(0.25,-5.82934352690246,1.)); #55699=CARTESIAN_POINT('',(0.,-5.7706564794804,1.)); #55700=CARTESIAN_POINT('Origin',(0.,-5.7706564794804,1.0251968503937)); #55701=CARTESIAN_POINT('',(0.25,-5.74594893489606,1.02025534147683)); #55702=CARTESIAN_POINT('Origin',(0.25,-5.7706564794804,1.0251968503937)); #55703=CARTESIAN_POINT('',(0.,-5.74594893489606,1.02025534147683)); #55704=CARTESIAN_POINT('Origin',(0.,-5.6790510714868,1.35474465852317)); #55705=CARTESIAN_POINT('',(0.25,-5.6790510714868,1.35474465852317)); #55706=CARTESIAN_POINT('',(0.25,-5.74594893489606,1.02025534147683)); #55707=CARTESIAN_POINT('',(0.,-5.6790510714868,1.35474465852317)); #55708=CARTESIAN_POINT('Origin',(0.,-5.65434352690246,1.3498031496063)); #55709=CARTESIAN_POINT('',(0.25,-5.65434352690246,1.375)); #55710=CARTESIAN_POINT('Origin',(0.25,-5.65434352690246,1.3498031496063)); #55711=CARTESIAN_POINT('',(0.,-5.65434352690246,1.375)); #55712=CARTESIAN_POINT('Origin',(0.,-5.5956564794804,1.375)); #55713=CARTESIAN_POINT('',(0.25,-5.5956564794804,1.375)); #55714=CARTESIAN_POINT('',(0.25,-5.65434352690246,1.375)); #55715=CARTESIAN_POINT('',(0.,-5.5956564794804,1.375)); #55716=CARTESIAN_POINT('Origin',(0.,-5.5956564794804,1.3498031496063)); #55717=CARTESIAN_POINT('',(0.25,-5.57094893489606,1.35474465852317)); #55718=CARTESIAN_POINT('Origin',(0.25,-5.5956564794804,1.3498031496063)); #55719=CARTESIAN_POINT('',(0.,-5.57094893489606,1.35474465852317)); #55720=CARTESIAN_POINT('Origin',(0.,-5.5040510714868,1.02025534147683)); #55721=CARTESIAN_POINT('',(0.25,-5.5040510714868,1.02025534147683)); #55722=CARTESIAN_POINT('',(0.25,-5.57094893489606,1.35474465852317)); #55723=CARTESIAN_POINT('',(0.,-5.5040510714868,1.02025534147683)); #55724=CARTESIAN_POINT('Origin',(0.,-5.47934352690246,1.0251968503937)); #55725=CARTESIAN_POINT('',(0.25,-5.47934352690246,1.)); #55726=CARTESIAN_POINT('Origin',(0.25,-5.47934352690246,1.0251968503937)); #55727=CARTESIAN_POINT('',(0.,-5.47934352690246,1.)); #55728=CARTESIAN_POINT('Origin',(0.,-5.4206564794804,1.)); #55729=CARTESIAN_POINT('',(0.25,-5.4206564794804,1.)); #55730=CARTESIAN_POINT('',(0.25,-5.47934352690246,1.)); #55731=CARTESIAN_POINT('',(0.,-5.4206564794804,1.)); #55732=CARTESIAN_POINT('Origin',(0.,-5.4206564794804,1.0251968503937)); #55733=CARTESIAN_POINT('',(0.25,-5.39594893489606,1.02025534147683)); #55734=CARTESIAN_POINT('Origin',(0.25,-5.4206564794804,1.0251968503937)); #55735=CARTESIAN_POINT('',(0.,-5.39594893489606,1.02025534147683)); #55736=CARTESIAN_POINT('Origin',(0.,-5.3290510714868,1.35474465852317)); #55737=CARTESIAN_POINT('',(0.25,-5.3290510714868,1.35474465852317)); #55738=CARTESIAN_POINT('',(0.25,-5.39594893489606,1.02025534147683)); #55739=CARTESIAN_POINT('',(0.,-5.3290510714868,1.35474465852317)); #55740=CARTESIAN_POINT('Origin',(0.,-5.30434352690246,1.3498031496063)); #55741=CARTESIAN_POINT('',(0.25,-5.30434352690246,1.375)); #55742=CARTESIAN_POINT('Origin',(0.25,-5.30434352690246,1.3498031496063)); #55743=CARTESIAN_POINT('',(0.,-5.30434352690246,1.375)); #55744=CARTESIAN_POINT('Origin',(0.,-5.2456564794804,1.375)); #55745=CARTESIAN_POINT('',(0.25,-5.2456564794804,1.375)); #55746=CARTESIAN_POINT('',(0.25,-5.30434352690246,1.375)); #55747=CARTESIAN_POINT('',(0.,-5.2456564794804,1.375)); #55748=CARTESIAN_POINT('Origin',(0.,-5.2456564794804,1.3498031496063)); #55749=CARTESIAN_POINT('',(0.25,-5.22094893489606,1.35474465852317)); #55750=CARTESIAN_POINT('Origin',(0.25,-5.2456564794804,1.3498031496063)); #55751=CARTESIAN_POINT('',(0.,-5.22094893489606,1.35474465852317)); #55752=CARTESIAN_POINT('Origin',(0.,-5.1540510714868,1.02025534147683)); #55753=CARTESIAN_POINT('',(0.25,-5.1540510714868,1.02025534147683)); #55754=CARTESIAN_POINT('',(0.25,-5.22094893489606,1.35474465852317)); #55755=CARTESIAN_POINT('',(0.,-5.1540510714868,1.02025534147683)); #55756=CARTESIAN_POINT('Origin',(0.,-5.12934352690246,1.0251968503937)); #55757=CARTESIAN_POINT('',(0.25,-5.12934352690246,1.)); #55758=CARTESIAN_POINT('Origin',(0.25,-5.12934352690246,1.0251968503937)); #55759=CARTESIAN_POINT('',(0.,-5.12934352690246,1.)); #55760=CARTESIAN_POINT('Origin',(0.,-5.0706564794804,1.)); #55761=CARTESIAN_POINT('',(0.25,-5.0706564794804,1.)); #55762=CARTESIAN_POINT('',(0.25,-5.12934352690246,1.)); #55763=CARTESIAN_POINT('',(0.,-5.0706564794804,1.)); #55764=CARTESIAN_POINT('Origin',(0.,-5.0706564794804,1.0251968503937)); #55765=CARTESIAN_POINT('',(0.25,-5.04594893489606,1.02025534147683)); #55766=CARTESIAN_POINT('Origin',(0.25,-5.0706564794804,1.0251968503937)); #55767=CARTESIAN_POINT('',(0.,-5.04594893489606,1.02025534147683)); #55768=CARTESIAN_POINT('Origin',(0.,-4.9790510714868,1.35474465852317)); #55769=CARTESIAN_POINT('',(0.25,-4.9790510714868,1.35474465852317)); #55770=CARTESIAN_POINT('',(0.25,-5.04594893489606,1.02025534147683)); #55771=CARTESIAN_POINT('',(0.,-4.9790510714868,1.35474465852317)); #55772=CARTESIAN_POINT('Origin',(0.,-4.95434352690246,1.3498031496063)); #55773=CARTESIAN_POINT('',(0.25,-4.95434352690246,1.375)); #55774=CARTESIAN_POINT('Origin',(0.25,-4.95434352690246,1.3498031496063)); #55775=CARTESIAN_POINT('',(0.,-4.95434352690246,1.375)); #55776=CARTESIAN_POINT('Origin',(0.,-4.8956564794804,1.375)); #55777=CARTESIAN_POINT('',(0.25,-4.8956564794804,1.375)); #55778=CARTESIAN_POINT('',(0.25,-4.95434352690246,1.375)); #55779=CARTESIAN_POINT('',(0.,-4.8956564794804,1.375)); #55780=CARTESIAN_POINT('Origin',(0.,-4.8956564794804,1.3498031496063)); #55781=CARTESIAN_POINT('',(0.25,-4.87094893489606,1.35474465852317)); #55782=CARTESIAN_POINT('Origin',(0.25,-4.8956564794804,1.3498031496063)); #55783=CARTESIAN_POINT('',(0.,-4.87094893489606,1.35474465852317)); #55784=CARTESIAN_POINT('Origin',(0.,-4.8040510714868,1.02025534147683)); #55785=CARTESIAN_POINT('',(0.25,-4.8040510714868,1.02025534147683)); #55786=CARTESIAN_POINT('',(0.25,-4.87094893489606,1.35474465852317)); #55787=CARTESIAN_POINT('',(0.,-4.8040510714868,1.02025534147683)); #55788=CARTESIAN_POINT('Origin',(0.,-4.77934352690246,1.0251968503937)); #55789=CARTESIAN_POINT('',(0.25,-4.77934352690246,1.)); #55790=CARTESIAN_POINT('Origin',(0.25,-4.77934352690246,1.0251968503937)); #55791=CARTESIAN_POINT('',(0.,-4.77934352690246,1.)); #55792=CARTESIAN_POINT('Origin',(0.,-4.7206564794804,1.)); #55793=CARTESIAN_POINT('',(0.25,-4.7206564794804,1.)); #55794=CARTESIAN_POINT('',(0.25,-4.77934352690246,1.)); #55795=CARTESIAN_POINT('',(0.,-4.7206564794804,1.)); #55796=CARTESIAN_POINT('Origin',(0.,-4.7206564794804,1.0251968503937)); #55797=CARTESIAN_POINT('',(0.25,-4.69594893489606,1.02025534147683)); #55798=CARTESIAN_POINT('Origin',(0.25,-4.7206564794804,1.0251968503937)); #55799=CARTESIAN_POINT('',(0.,-4.69594893489606,1.02025534147683)); #55800=CARTESIAN_POINT('Origin',(0.,-4.6290510714868,1.35474465852317)); #55801=CARTESIAN_POINT('',(0.25,-4.6290510714868,1.35474465852317)); #55802=CARTESIAN_POINT('',(0.25,-4.69594893489606,1.02025534147683)); #55803=CARTESIAN_POINT('',(0.,-4.6290510714868,1.35474465852317)); #55804=CARTESIAN_POINT('Origin',(0.,-4.60434352690246,1.3498031496063)); #55805=CARTESIAN_POINT('',(0.25,-4.60434352690246,1.375)); #55806=CARTESIAN_POINT('Origin',(0.25,-4.60434352690246,1.3498031496063)); #55807=CARTESIAN_POINT('',(0.,-4.60434352690246,1.375)); #55808=CARTESIAN_POINT('Origin',(0.,-4.5456564794804,1.375)); #55809=CARTESIAN_POINT('',(0.25,-4.5456564794804,1.375)); #55810=CARTESIAN_POINT('',(0.25,-4.60434352690246,1.375)); #55811=CARTESIAN_POINT('',(0.,-4.5456564794804,1.375)); #55812=CARTESIAN_POINT('Origin',(0.,-4.5456564794804,1.3498031496063)); #55813=CARTESIAN_POINT('',(0.25,-4.52094893489606,1.35474465852317)); #55814=CARTESIAN_POINT('Origin',(0.25,-4.5456564794804,1.3498031496063)); #55815=CARTESIAN_POINT('',(0.,-4.52094893489606,1.35474465852317)); #55816=CARTESIAN_POINT('Origin',(0.,-4.4540510714868,1.02025534147683)); #55817=CARTESIAN_POINT('',(0.25,-4.4540510714868,1.02025534147683)); #55818=CARTESIAN_POINT('',(0.25,-4.52094893489606,1.35474465852317)); #55819=CARTESIAN_POINT('',(0.,-4.4540510714868,1.02025534147683)); #55820=CARTESIAN_POINT('Origin',(0.,-4.42934352690246,1.0251968503937)); #55821=CARTESIAN_POINT('',(0.25,-4.42934352690246,1.)); #55822=CARTESIAN_POINT('Origin',(0.25,-4.42934352690246,1.0251968503937)); #55823=CARTESIAN_POINT('',(0.,-4.42934352690246,1.)); #55824=CARTESIAN_POINT('Origin',(0.,-4.3706564794804,1.)); #55825=CARTESIAN_POINT('',(0.25,-4.3706564794804,1.)); #55826=CARTESIAN_POINT('',(0.25,-4.42934352690246,1.)); #55827=CARTESIAN_POINT('',(0.,-4.3706564794804,1.)); #55828=CARTESIAN_POINT('Origin',(0.,-4.3706564794804,1.0251968503937)); #55829=CARTESIAN_POINT('',(0.25,-4.34594893489606,1.02025534147683)); #55830=CARTESIAN_POINT('Origin',(0.25,-4.3706564794804,1.0251968503937)); #55831=CARTESIAN_POINT('',(0.,-4.34594893489606,1.02025534147683)); #55832=CARTESIAN_POINT('Origin',(0.,-4.2790510714868,1.35474465852317)); #55833=CARTESIAN_POINT('',(0.25,-4.2790510714868,1.35474465852317)); #55834=CARTESIAN_POINT('',(0.25,-4.34594893489606,1.02025534147683)); #55835=CARTESIAN_POINT('',(0.,-4.2790510714868,1.35474465852317)); #55836=CARTESIAN_POINT('Origin',(0.,-4.25434352690246,1.3498031496063)); #55837=CARTESIAN_POINT('',(0.25,-4.25434352690246,1.375)); #55838=CARTESIAN_POINT('Origin',(0.25,-4.25434352690246,1.3498031496063)); #55839=CARTESIAN_POINT('',(0.,-4.25434352690246,1.375)); #55840=CARTESIAN_POINT('Origin',(0.,-4.1956564794804,1.375)); #55841=CARTESIAN_POINT('',(0.25,-4.1956564794804,1.375)); #55842=CARTESIAN_POINT('',(0.25,-4.25434352690246,1.375)); #55843=CARTESIAN_POINT('',(0.,-4.1956564794804,1.375)); #55844=CARTESIAN_POINT('Origin',(0.,-4.1956564794804,1.3498031496063)); #55845=CARTESIAN_POINT('',(0.25,-4.17094893489606,1.35474465852317)); #55846=CARTESIAN_POINT('Origin',(0.25,-4.1956564794804,1.3498031496063)); #55847=CARTESIAN_POINT('',(0.,-4.17094893489606,1.35474465852317)); #55848=CARTESIAN_POINT('Origin',(0.,-4.1040510714868,1.02025534147683)); #55849=CARTESIAN_POINT('',(0.25,-4.1040510714868,1.02025534147683)); #55850=CARTESIAN_POINT('',(0.25,-4.17094893489606,1.35474465852317)); #55851=CARTESIAN_POINT('',(0.,-4.1040510714868,1.02025534147683)); #55852=CARTESIAN_POINT('Origin',(0.,-4.07934352690246,1.0251968503937)); #55853=CARTESIAN_POINT('',(0.25,-4.07934352690246,1.)); #55854=CARTESIAN_POINT('Origin',(0.25,-4.07934352690246,1.0251968503937)); #55855=CARTESIAN_POINT('',(0.,-4.07934352690246,1.)); #55856=CARTESIAN_POINT('Origin',(0.,-4.0206564794804,1.)); #55857=CARTESIAN_POINT('',(0.25,-4.0206564794804,1.)); #55858=CARTESIAN_POINT('',(0.25,-4.07934352690246,1.)); #55859=CARTESIAN_POINT('',(0.,-4.0206564794804,1.)); #55860=CARTESIAN_POINT('Origin',(0.,-4.0206564794804,1.0251968503937)); #55861=CARTESIAN_POINT('',(0.25,-3.99594893489606,1.02025534147683)); #55862=CARTESIAN_POINT('Origin',(0.25,-4.0206564794804,1.0251968503937)); #55863=CARTESIAN_POINT('',(0.,-3.99594893489606,1.02025534147683)); #55864=CARTESIAN_POINT('Origin',(0.,-3.9290510714868,1.35474465852317)); #55865=CARTESIAN_POINT('',(0.25,-3.9290510714868,1.35474465852317)); #55866=CARTESIAN_POINT('',(0.25,-3.99594893489606,1.02025534147683)); #55867=CARTESIAN_POINT('',(0.,-3.9290510714868,1.35474465852317)); #55868=CARTESIAN_POINT('Origin',(0.,-3.90434352690246,1.3498031496063)); #55869=CARTESIAN_POINT('',(0.25,-3.90434352690246,1.375)); #55870=CARTESIAN_POINT('Origin',(0.25,-3.90434352690246,1.3498031496063)); #55871=CARTESIAN_POINT('',(0.,-3.90434352690246,1.375)); #55872=CARTESIAN_POINT('Origin',(0.,-3.8456564794804,1.375)); #55873=CARTESIAN_POINT('',(0.25,-3.8456564794804,1.375)); #55874=CARTESIAN_POINT('',(0.25,-3.90434352690246,1.375)); #55875=CARTESIAN_POINT('',(0.,-3.8456564794804,1.375)); #55876=CARTESIAN_POINT('Origin',(0.,-3.8456564794804,1.3498031496063)); #55877=CARTESIAN_POINT('',(0.25,-3.82094893489607,1.35474465852317)); #55878=CARTESIAN_POINT('Origin',(0.25,-3.8456564794804,1.3498031496063)); #55879=CARTESIAN_POINT('',(0.,-3.82094893489607,1.35474465852317)); #55880=CARTESIAN_POINT('Origin',(0.,-3.7540510714868,1.02025534147683)); #55881=CARTESIAN_POINT('',(0.25,-3.7540510714868,1.02025534147683)); #55882=CARTESIAN_POINT('',(0.25,-3.82094893489607,1.35474465852317)); #55883=CARTESIAN_POINT('',(0.,-3.7540510714868,1.02025534147683)); #55884=CARTESIAN_POINT('Origin',(0.,-3.72934352690246,1.0251968503937)); #55885=CARTESIAN_POINT('',(0.25,-3.72934352690246,1.)); #55886=CARTESIAN_POINT('Origin',(0.25,-3.72934352690246,1.0251968503937)); #55887=CARTESIAN_POINT('',(0.,-3.72934352690246,1.)); #55888=CARTESIAN_POINT('Origin',(0.,-3.6706564794804,1.)); #55889=CARTESIAN_POINT('',(0.25,-3.6706564794804,1.)); #55890=CARTESIAN_POINT('',(0.25,-3.72934352690246,1.)); #55891=CARTESIAN_POINT('',(0.,-3.6706564794804,1.)); #55892=CARTESIAN_POINT('Origin',(0.,-3.6706564794804,1.0251968503937)); #55893=CARTESIAN_POINT('',(0.25,-3.64594893489606,1.02025534147683)); #55894=CARTESIAN_POINT('Origin',(0.25,-3.6706564794804,1.0251968503937)); #55895=CARTESIAN_POINT('',(0.,-3.64594893489606,1.02025534147683)); #55896=CARTESIAN_POINT('Origin',(0.,-3.5790510714868,1.35474465852317)); #55897=CARTESIAN_POINT('',(0.25,-3.5790510714868,1.35474465852317)); #55898=CARTESIAN_POINT('',(0.25,-3.64594893489606,1.02025534147683)); #55899=CARTESIAN_POINT('',(0.,-3.5790510714868,1.35474465852317)); #55900=CARTESIAN_POINT('Origin',(0.,-3.55434352690246,1.3498031496063)); #55901=CARTESIAN_POINT('',(0.25,-3.55434352690246,1.375)); #55902=CARTESIAN_POINT('Origin',(0.25,-3.55434352690246,1.3498031496063)); #55903=CARTESIAN_POINT('',(0.,-3.55434352690246,1.375)); #55904=CARTESIAN_POINT('Origin',(0.,-3.4956564794804,1.375)); #55905=CARTESIAN_POINT('',(0.25,-3.4956564794804,1.375)); #55906=CARTESIAN_POINT('',(0.25,-3.55434352690246,1.375)); #55907=CARTESIAN_POINT('',(0.,-3.4956564794804,1.375)); #55908=CARTESIAN_POINT('Origin',(0.,-3.4956564794804,1.3498031496063)); #55909=CARTESIAN_POINT('',(0.25,-3.47094893489606,1.35474465852317)); #55910=CARTESIAN_POINT('Origin',(0.25,-3.4956564794804,1.3498031496063)); #55911=CARTESIAN_POINT('',(0.,-3.47094893489606,1.35474465852317)); #55912=CARTESIAN_POINT('Origin',(0.,-3.4040510714868,1.02025534147683)); #55913=CARTESIAN_POINT('',(0.25,-3.4040510714868,1.02025534147683)); #55914=CARTESIAN_POINT('',(0.25,-3.47094893489606,1.35474465852317)); #55915=CARTESIAN_POINT('',(0.,-3.4040510714868,1.02025534147683)); #55916=CARTESIAN_POINT('Origin',(0.,-3.37934352690246,1.0251968503937)); #55917=CARTESIAN_POINT('',(0.25,-3.37934352690246,1.)); #55918=CARTESIAN_POINT('Origin',(0.25,-3.37934352690246,1.0251968503937)); #55919=CARTESIAN_POINT('',(0.,-3.37934352690246,1.)); #55920=CARTESIAN_POINT('Origin',(0.,-3.3206564794804,1.)); #55921=CARTESIAN_POINT('',(0.25,-3.3206564794804,1.)); #55922=CARTESIAN_POINT('',(0.25,-3.37934352690246,1.)); #55923=CARTESIAN_POINT('',(0.,-3.3206564794804,1.)); #55924=CARTESIAN_POINT('Origin',(0.,-3.3206564794804,1.0251968503937)); #55925=CARTESIAN_POINT('',(0.25,-3.29594893489607,1.02025534147683)); #55926=CARTESIAN_POINT('Origin',(0.25,-3.3206564794804,1.0251968503937)); #55927=CARTESIAN_POINT('',(0.,-3.29594893489607,1.02025534147683)); #55928=CARTESIAN_POINT('Origin',(0.,-3.2290510714868,1.35474465852317)); #55929=CARTESIAN_POINT('',(0.25,-3.2290510714868,1.35474465852317)); #55930=CARTESIAN_POINT('',(0.25,-3.29594893489607,1.02025534147683)); #55931=CARTESIAN_POINT('',(0.,-3.2290510714868,1.35474465852317)); #55932=CARTESIAN_POINT('Origin',(0.,-3.20434352690246,1.3498031496063)); #55933=CARTESIAN_POINT('',(0.25,-3.20434352690246,1.375)); #55934=CARTESIAN_POINT('Origin',(0.25,-3.20434352690246,1.3498031496063)); #55935=CARTESIAN_POINT('',(0.,-3.20434352690246,1.375)); #55936=CARTESIAN_POINT('Origin',(0.,-3.1456564794804,1.375)); #55937=CARTESIAN_POINT('',(0.25,-3.1456564794804,1.375)); #55938=CARTESIAN_POINT('',(0.25,-3.20434352690246,1.375)); #55939=CARTESIAN_POINT('',(0.,-3.1456564794804,1.375)); #55940=CARTESIAN_POINT('Origin',(0.,-3.1456564794804,1.3498031496063)); #55941=CARTESIAN_POINT('',(0.25,-3.12094893489606,1.35474465852317)); #55942=CARTESIAN_POINT('Origin',(0.25,-3.1456564794804,1.3498031496063)); #55943=CARTESIAN_POINT('',(0.,-3.12094893489606,1.35474465852317)); #55944=CARTESIAN_POINT('Origin',(0.,-3.0540510714868,1.02025534147683)); #55945=CARTESIAN_POINT('',(0.25,-3.0540510714868,1.02025534147683)); #55946=CARTESIAN_POINT('',(0.25,-3.12094893489606,1.35474465852317)); #55947=CARTESIAN_POINT('',(0.,-3.0540510714868,1.02025534147683)); #55948=CARTESIAN_POINT('Origin',(0.,-3.02934352690246,1.0251968503937)); #55949=CARTESIAN_POINT('',(0.25,-3.02934352690246,1.)); #55950=CARTESIAN_POINT('Origin',(0.25,-3.02934352690246,1.0251968503937)); #55951=CARTESIAN_POINT('',(0.,-3.02934352690246,1.)); #55952=CARTESIAN_POINT('Origin',(0.,-2.9706564794804,1.)); #55953=CARTESIAN_POINT('',(0.25,-2.9706564794804,1.)); #55954=CARTESIAN_POINT('',(0.25,-3.02934352690246,1.)); #55955=CARTESIAN_POINT('',(0.,-2.9706564794804,1.)); #55956=CARTESIAN_POINT('Origin',(0.,-2.9706564794804,1.0251968503937)); #55957=CARTESIAN_POINT('',(0.25,-2.94594893489607,1.02025534147683)); #55958=CARTESIAN_POINT('Origin',(0.25,-2.9706564794804,1.0251968503937)); #55959=CARTESIAN_POINT('',(0.,-2.94594893489607,1.02025534147683)); #55960=CARTESIAN_POINT('Origin',(0.,-2.8790510714868,1.35474465852317)); #55961=CARTESIAN_POINT('',(0.25,-2.8790510714868,1.35474465852317)); #55962=CARTESIAN_POINT('',(0.25,-2.94594893489607,1.02025534147683)); #55963=CARTESIAN_POINT('',(0.,-2.8790510714868,1.35474465852317)); #55964=CARTESIAN_POINT('Origin',(0.,-2.85434352690246,1.3498031496063)); #55965=CARTESIAN_POINT('',(0.25,-2.85434352690246,1.375)); #55966=CARTESIAN_POINT('Origin',(0.25,-2.85434352690246,1.3498031496063)); #55967=CARTESIAN_POINT('',(0.,-2.85434352690246,1.375)); #55968=CARTESIAN_POINT('Origin',(0.,-2.7956564794804,1.375)); #55969=CARTESIAN_POINT('',(0.25,-2.7956564794804,1.375)); #55970=CARTESIAN_POINT('',(0.25,-2.85434352690246,1.375)); #55971=CARTESIAN_POINT('',(0.,-2.7956564794804,1.375)); #55972=CARTESIAN_POINT('Origin',(0.,-2.7956564794804,1.3498031496063)); #55973=CARTESIAN_POINT('',(0.25,-2.77094893489607,1.35474465852317)); #55974=CARTESIAN_POINT('Origin',(0.25,-2.7956564794804,1.3498031496063)); #55975=CARTESIAN_POINT('',(0.,-2.77094893489607,1.35474465852317)); #55976=CARTESIAN_POINT('Origin',(0.,-2.7040510714868,1.02025534147683)); #55977=CARTESIAN_POINT('',(0.25,-2.7040510714868,1.02025534147683)); #55978=CARTESIAN_POINT('',(0.25,-2.77094893489607,1.35474465852317)); #55979=CARTESIAN_POINT('',(0.,-2.7040510714868,1.02025534147683)); #55980=CARTESIAN_POINT('Origin',(0.,-2.67934352690246,1.0251968503937)); #55981=CARTESIAN_POINT('',(0.25,-2.67934352690246,1.)); #55982=CARTESIAN_POINT('Origin',(0.25,-2.67934352690246,1.0251968503937)); #55983=CARTESIAN_POINT('',(0.,-2.67934352690246,1.)); #55984=CARTESIAN_POINT('Origin',(0.,-2.6206564794804,1.)); #55985=CARTESIAN_POINT('',(0.25,-2.6206564794804,1.)); #55986=CARTESIAN_POINT('',(0.25,-2.67934352690246,1.)); #55987=CARTESIAN_POINT('',(0.,-2.6206564794804,1.)); #55988=CARTESIAN_POINT('Origin',(0.,-2.6206564794804,1.0251968503937)); #55989=CARTESIAN_POINT('',(0.25,-2.59594893489607,1.02025534147683)); #55990=CARTESIAN_POINT('Origin',(0.25,-2.6206564794804,1.0251968503937)); #55991=CARTESIAN_POINT('',(0.,-2.59594893489607,1.02025534147683)); #55992=CARTESIAN_POINT('Origin',(0.,-2.5290510714868,1.35474465852317)); #55993=CARTESIAN_POINT('',(0.25,-2.5290510714868,1.35474465852317)); #55994=CARTESIAN_POINT('',(0.25,-2.59594893489607,1.02025534147683)); #55995=CARTESIAN_POINT('',(0.,-2.5290510714868,1.35474465852317)); #55996=CARTESIAN_POINT('Origin',(0.,-2.50434352690246,1.3498031496063)); #55997=CARTESIAN_POINT('',(0.25,-2.50434352690246,1.375)); #55998=CARTESIAN_POINT('Origin',(0.25,-2.50434352690246,1.3498031496063)); #55999=CARTESIAN_POINT('',(0.,-2.50434352690246,1.375)); #56000=CARTESIAN_POINT('Origin',(0.,-2.4456564794804,1.375)); #56001=CARTESIAN_POINT('',(0.25,-2.4456564794804,1.375)); #56002=CARTESIAN_POINT('',(0.25,-2.50434352690246,1.375)); #56003=CARTESIAN_POINT('',(0.,-2.4456564794804,1.375)); #56004=CARTESIAN_POINT('Origin',(0.,-2.4456564794804,1.3498031496063)); #56005=CARTESIAN_POINT('',(0.25,-2.42094893489607,1.35474465852317)); #56006=CARTESIAN_POINT('Origin',(0.25,-2.4456564794804,1.3498031496063)); #56007=CARTESIAN_POINT('',(0.,-2.42094893489607,1.35474465852317)); #56008=CARTESIAN_POINT('Origin',(0.,-2.3540510714868,1.02025534147683)); #56009=CARTESIAN_POINT('',(0.25,-2.3540510714868,1.02025534147683)); #56010=CARTESIAN_POINT('',(0.25,-2.42094893489607,1.35474465852317)); #56011=CARTESIAN_POINT('',(0.,-2.3540510714868,1.02025534147683)); #56012=CARTESIAN_POINT('Origin',(0.,-2.32934352690246,1.0251968503937)); #56013=CARTESIAN_POINT('',(0.25,-2.32934352690246,1.)); #56014=CARTESIAN_POINT('Origin',(0.25,-2.32934352690246,1.0251968503937)); #56015=CARTESIAN_POINT('',(0.,-2.32934352690246,1.)); #56016=CARTESIAN_POINT('Origin',(0.,-2.2706564794804,1.)); #56017=CARTESIAN_POINT('',(0.25,-2.2706564794804,1.)); #56018=CARTESIAN_POINT('',(0.25,-2.32934352690246,1.)); #56019=CARTESIAN_POINT('',(0.,-2.2706564794804,1.)); #56020=CARTESIAN_POINT('Origin',(0.,-2.2706564794804,1.0251968503937)); #56021=CARTESIAN_POINT('',(0.25,-2.24594893489607,1.02025534147683)); #56022=CARTESIAN_POINT('Origin',(0.25,-2.2706564794804,1.0251968503937)); #56023=CARTESIAN_POINT('',(0.,-2.24594893489607,1.02025534147683)); #56024=CARTESIAN_POINT('Origin',(0.,-2.1790510714868,1.35474465852317)); #56025=CARTESIAN_POINT('',(0.25,-2.1790510714868,1.35474465852317)); #56026=CARTESIAN_POINT('',(0.25,-2.24594893489607,1.02025534147683)); #56027=CARTESIAN_POINT('',(0.,-2.1790510714868,1.35474465852317)); #56028=CARTESIAN_POINT('Origin',(0.,-2.15434352690246,1.3498031496063)); #56029=CARTESIAN_POINT('',(0.25,-2.15434352690246,1.375)); #56030=CARTESIAN_POINT('Origin',(0.25,-2.15434352690246,1.3498031496063)); #56031=CARTESIAN_POINT('',(0.,-2.15434352690246,1.375)); #56032=CARTESIAN_POINT('Origin',(0.,-2.0956564794804,1.375)); #56033=CARTESIAN_POINT('',(0.25,-2.0956564794804,1.375)); #56034=CARTESIAN_POINT('',(0.25,-2.15434352690246,1.375)); #56035=CARTESIAN_POINT('',(0.,-2.0956564794804,1.375)); #56036=CARTESIAN_POINT('Origin',(0.,-2.0956564794804,1.3498031496063)); #56037=CARTESIAN_POINT('',(0.25,-2.07094893489607,1.35474465852317)); #56038=CARTESIAN_POINT('Origin',(0.25,-2.0956564794804,1.3498031496063)); #56039=CARTESIAN_POINT('',(0.,-2.07094893489607,1.35474465852317)); #56040=CARTESIAN_POINT('Origin',(0.,-2.0040510714868,1.02025534147683)); #56041=CARTESIAN_POINT('',(0.25,-2.0040510714868,1.02025534147683)); #56042=CARTESIAN_POINT('',(0.25,-2.07094893489607,1.35474465852317)); #56043=CARTESIAN_POINT('',(0.,-2.0040510714868,1.02025534147683)); #56044=CARTESIAN_POINT('Origin',(0.,-1.97934352690246,1.0251968503937)); #56045=CARTESIAN_POINT('',(0.25,-1.97934352690246,1.)); #56046=CARTESIAN_POINT('Origin',(0.25,-1.97934352690246,1.0251968503937)); #56047=CARTESIAN_POINT('',(0.,-1.97934352690246,1.)); #56048=CARTESIAN_POINT('Origin',(0.,-1.9206564794804,1.)); #56049=CARTESIAN_POINT('',(0.25,-1.9206564794804,1.)); #56050=CARTESIAN_POINT('',(0.25,-1.97934352690246,1.)); #56051=CARTESIAN_POINT('',(0.,-1.9206564794804,1.)); #56052=CARTESIAN_POINT('Origin',(0.,-1.9206564794804,1.0251968503937)); #56053=CARTESIAN_POINT('',(0.25,-1.89594893489607,1.02025534147683)); #56054=CARTESIAN_POINT('Origin',(0.25,-1.9206564794804,1.0251968503937)); #56055=CARTESIAN_POINT('',(0.,-1.89594893489607,1.02025534147683)); #56056=CARTESIAN_POINT('Origin',(0.,-1.8290510714868,1.35474465852317)); #56057=CARTESIAN_POINT('',(0.25,-1.8290510714868,1.35474465852317)); #56058=CARTESIAN_POINT('',(0.25,-1.89594893489607,1.02025534147683)); #56059=CARTESIAN_POINT('',(0.,-1.8290510714868,1.35474465852317)); #56060=CARTESIAN_POINT('Origin',(0.,-1.80434352690246,1.3498031496063)); #56061=CARTESIAN_POINT('',(0.25,-1.80434352690246,1.375)); #56062=CARTESIAN_POINT('Origin',(0.25,-1.80434352690246,1.3498031496063)); #56063=CARTESIAN_POINT('',(0.,-1.80434352690246,1.375)); #56064=CARTESIAN_POINT('Origin',(0.,-1.7456564794804,1.375)); #56065=CARTESIAN_POINT('',(0.25,-1.7456564794804,1.375)); #56066=CARTESIAN_POINT('',(0.25,-1.80434352690246,1.375)); #56067=CARTESIAN_POINT('',(0.,-1.7456564794804,1.375)); #56068=CARTESIAN_POINT('Origin',(0.,-1.7456564794804,1.3498031496063)); #56069=CARTESIAN_POINT('',(0.25,-1.72094893489607,1.35474465852317)); #56070=CARTESIAN_POINT('Origin',(0.25,-1.7456564794804,1.3498031496063)); #56071=CARTESIAN_POINT('',(0.,-1.72094893489607,1.35474465852317)); #56072=CARTESIAN_POINT('Origin',(0.,-1.6540510714868,1.02025534147683)); #56073=CARTESIAN_POINT('',(0.25,-1.6540510714868,1.02025534147683)); #56074=CARTESIAN_POINT('',(0.25,-1.72094893489607,1.35474465852317)); #56075=CARTESIAN_POINT('',(0.,-1.6540510714868,1.02025534147683)); #56076=CARTESIAN_POINT('Origin',(0.,-1.62934352690246,1.0251968503937)); #56077=CARTESIAN_POINT('',(0.25,-1.62934352690246,1.)); #56078=CARTESIAN_POINT('Origin',(0.25,-1.62934352690246,1.0251968503937)); #56079=CARTESIAN_POINT('',(0.,-1.62934352690246,1.)); #56080=CARTESIAN_POINT('Origin',(0.,-1.5706564794804,1.)); #56081=CARTESIAN_POINT('',(0.25,-1.5706564794804,1.)); #56082=CARTESIAN_POINT('',(0.25,-1.62934352690246,1.)); #56083=CARTESIAN_POINT('',(0.,-1.5706564794804,1.)); #56084=CARTESIAN_POINT('Origin',(0.,-1.5706564794804,1.0251968503937)); #56085=CARTESIAN_POINT('',(0.25,-1.54594893489607,1.02025534147683)); #56086=CARTESIAN_POINT('Origin',(0.25,-1.5706564794804,1.0251968503937)); #56087=CARTESIAN_POINT('',(0.,-1.54594893489607,1.02025534147683)); #56088=CARTESIAN_POINT('Origin',(0.,-1.4790510714868,1.35474465852317)); #56089=CARTESIAN_POINT('',(0.25,-1.4790510714868,1.35474465852317)); #56090=CARTESIAN_POINT('',(0.25,-1.54594893489607,1.02025534147683)); #56091=CARTESIAN_POINT('',(0.,-1.4790510714868,1.35474465852317)); #56092=CARTESIAN_POINT('Origin',(0.,-1.45434352690246,1.3498031496063)); #56093=CARTESIAN_POINT('',(0.25,-1.45434352690246,1.375)); #56094=CARTESIAN_POINT('Origin',(0.25,-1.45434352690246,1.3498031496063)); #56095=CARTESIAN_POINT('',(0.,-1.45434352690246,1.375)); #56096=CARTESIAN_POINT('Origin',(0.,-1.3956564794804,1.375)); #56097=CARTESIAN_POINT('',(0.25,-1.3956564794804,1.375)); #56098=CARTESIAN_POINT('',(0.25,-1.45434352690246,1.375)); #56099=CARTESIAN_POINT('',(0.,-1.3956564794804,1.375)); #56100=CARTESIAN_POINT('Origin',(0.,-1.3956564794804,1.3498031496063)); #56101=CARTESIAN_POINT('',(0.25,-1.37094893489607,1.35474465852317)); #56102=CARTESIAN_POINT('Origin',(0.25,-1.3956564794804,1.3498031496063)); #56103=CARTESIAN_POINT('',(0.,-1.37094893489607,1.35474465852317)); #56104=CARTESIAN_POINT('Origin',(0.,-1.3040510714868,1.02025534147683)); #56105=CARTESIAN_POINT('',(0.25,-1.3040510714868,1.02025534147683)); #56106=CARTESIAN_POINT('',(0.25,-1.37094893489607,1.35474465852317)); #56107=CARTESIAN_POINT('',(0.,-1.3040510714868,1.02025534147683)); #56108=CARTESIAN_POINT('Origin',(0.,-1.27934352690246,1.0251968503937)); #56109=CARTESIAN_POINT('',(0.25,-1.27934352690246,1.)); #56110=CARTESIAN_POINT('Origin',(0.25,-1.27934352690246,1.0251968503937)); #56111=CARTESIAN_POINT('',(0.,-1.27934352690246,1.)); #56112=CARTESIAN_POINT('Origin',(0.,-1.2206564794804,1.)); #56113=CARTESIAN_POINT('',(0.25,-1.2206564794804,1.)); #56114=CARTESIAN_POINT('',(0.25,-1.27934352690246,1.)); #56115=CARTESIAN_POINT('',(0.,-1.2206564794804,1.)); #56116=CARTESIAN_POINT('Origin',(0.,-1.2206564794804,1.0251968503937)); #56117=CARTESIAN_POINT('',(0.25,-1.19594893489607,1.02025534147683)); #56118=CARTESIAN_POINT('Origin',(0.25,-1.2206564794804,1.0251968503937)); #56119=CARTESIAN_POINT('',(0.,-1.19594893489607,1.02025534147683)); #56120=CARTESIAN_POINT('Origin',(0.,-1.1290510714868,1.35474465852317)); #56121=CARTESIAN_POINT('',(0.25,-1.1290510714868,1.35474465852317)); #56122=CARTESIAN_POINT('',(0.25,-1.19594893489607,1.02025534147683)); #56123=CARTESIAN_POINT('',(0.,-1.1290510714868,1.35474465852317)); #56124=CARTESIAN_POINT('Origin',(0.,-1.10434352690246,1.3498031496063)); #56125=CARTESIAN_POINT('',(0.25,-1.10434352690246,1.375)); #56126=CARTESIAN_POINT('Origin',(0.25,-1.10434352690246,1.3498031496063)); #56127=CARTESIAN_POINT('',(0.,-1.10434352690246,1.375)); #56128=CARTESIAN_POINT('Origin',(0.,-1.0456564794804,1.375)); #56129=CARTESIAN_POINT('',(0.25,-1.0456564794804,1.375)); #56130=CARTESIAN_POINT('',(0.25,-1.10434352690246,1.375)); #56131=CARTESIAN_POINT('',(0.,-1.0456564794804,1.375)); #56132=CARTESIAN_POINT('Origin',(0.,-1.04565647948041,1.3498031496063)); #56133=CARTESIAN_POINT('',(0.25,-1.02094893489607,1.35474465852317)); #56134=CARTESIAN_POINT('Origin',(0.25,-1.04565647948041,1.3498031496063)); #56135=CARTESIAN_POINT('',(0.,-1.02094893489607,1.35474465852317)); #56136=CARTESIAN_POINT('Origin',(0.,-0.9540510714868,1.02025534147683)); #56137=CARTESIAN_POINT('',(0.25,-0.9540510714868,1.02025534147683)); #56138=CARTESIAN_POINT('',(0.25,-1.02094893489607,1.35474465852317)); #56139=CARTESIAN_POINT('',(0.,-0.9540510714868,1.02025534147683)); #56140=CARTESIAN_POINT('Origin',(0.,-0.929343526902462,1.0251968503937)); #56141=CARTESIAN_POINT('',(0.25,-0.929343526902462,1.)); #56142=CARTESIAN_POINT('Origin',(0.25,-0.929343526902462,1.0251968503937)); #56143=CARTESIAN_POINT('',(0.,-0.929343526902462,1.)); #56144=CARTESIAN_POINT('Origin',(0.,0.150000012765719,1.)); #56145=CARTESIAN_POINT('',(0.25,0.150000012765719,1.)); #56146=CARTESIAN_POINT('',(0.25,-0.10000000319143,1.)); #56147=CARTESIAN_POINT('',(0.,0.150000012765719,1.)); #56148=CARTESIAN_POINT('Origin',(0.,0.150000012765719,0.75)); #56149=CARTESIAN_POINT('',(0.25,0.150000012765719,0.5)); #56150=CARTESIAN_POINT('Origin',(0.25,0.150000012765719,0.75)); #56151=CARTESIAN_POINT('',(0.,0.150000012765719,0.5)); #56152=CARTESIAN_POINT('Origin',(0.,-3.19142979759859E-9,0.5)); #56153=CARTESIAN_POINT('',(0.25,-3.19142997243686E-9,0.5)); #56154=CARTESIAN_POINT('',(0.25,0.150000012765719,0.5)); #56155=CARTESIAN_POINT('',(0.,-3.19142979759859E-9,0.5)); #56156=CARTESIAN_POINT('Origin',(0.,-3.19142972110684E-9,0.4)); #56157=CARTESIAN_POINT('',(0.25,-0.10000000319143,0.4)); #56158=CARTESIAN_POINT('Origin',(0.25,-3.19142972110684E-9,0.4)); #56159=CARTESIAN_POINT('',(0.,-0.10000000319143,0.4)); #56160=CARTESIAN_POINT('Origin',(0.,-6.10000000319143,0.997505020129945)); #56161=CARTESIAN_POINT('',(0.25,-6.10000000319143,0.)); #56162=CARTESIAN_POINT('',(0.,-6.10000000319143,0.)); #56163=CARTESIAN_POINT('',(0.25,-6.10000000319143,0.997505020129945)); #56164=CARTESIAN_POINT('',(0.25,-6.10000000319143,0.)); #56165=CARTESIAN_POINT('',(0.,-6.10000000319143,0.997505020129945)); #56166=CARTESIAN_POINT('Origin',(0.,-6.07480315279767,0.99750502012994)); #56167=CARTESIAN_POINT('',(0.25,-6.09951069738207,1.00244652904681)); #56168=CARTESIAN_POINT('Origin',(0.25,-6.07480315279767,0.99750502012994)); #56169=CARTESIAN_POINT('',(0.,-6.09951069738207,1.00244652904681)); #56170=CARTESIAN_POINT('Origin',(0.,-6.0290510714868,1.35474465852317)); #56171=CARTESIAN_POINT('',(0.25,-6.0290510714868,1.35474465852317)); #56172=CARTESIAN_POINT('',(0.25,-6.09951069738207,1.00244652904681)); #56173=CARTESIAN_POINT('',(0.,-6.0290510714868,1.35474465852317)); #56174=CARTESIAN_POINT('Origin',(0.,-6.00434352690246,1.3498031496063)); #56175=CARTESIAN_POINT('Origin',(0.25,-6.00434352690246,1.3498031496063)); #56176=CARTESIAN_POINT('Origin',(0.25,-2.84999999521285,0.6875)); #56177=CARTESIAN_POINT('',(0.25,-0.10000000319143,0.25)); #56178=CARTESIAN_POINT('',(0.25,-1.90000006063717,0.25)); #56179=CARTESIAN_POINT('',(0.25,-1.92500002792501,0.25)); #56180=CARTESIAN_POINT('',(0.25,-0.10000000319143,0.4)); #56181=CARTESIAN_POINT('',(0.25,-1.90000006063717,0.)); #56182=CARTESIAN_POINT('',(0.25,-0.10000000319143,0.)); #56183=CARTESIAN_POINT('',(0.25,-1.90000006063717,0.34375)); #56184=CARTESIAN_POINT('Origin',(0.,-1.00000006063717,0.25)); #56185=CARTESIAN_POINT('',(-1.10000004787145,-0.100000060637166,0.25)); #56186=CARTESIAN_POINT('',(-1.10000004787145,-0.100000060637166,0.25)); #56187=CARTESIAN_POINT('',(-1.50000004787145,-0.500000060637166,0.25)); #56188=CARTESIAN_POINT('Origin',(-1.10000004787145,-0.500000060637166,0.25)); #56189=CARTESIAN_POINT('',(-1.50000004787145,-0.518975054749343,0.25)); #56190=CARTESIAN_POINT('',(-1.50000004787145,-0.518975054749343,0.25)); #56191=CARTESIAN_POINT('',(-1.38411068767013,-0.839067254581583,0.25)); #56192=CARTESIAN_POINT('Origin',(-1.00000004787145,-0.518975054749343,0.25)); #56193=CARTESIAN_POINT('',(-0.649923182763616,-1.72009226046941,0.25)); #56194=CARTESIAN_POINT('',(-1.38411068767013,-0.839067254581583,0.25)); #56195=CARTESIAN_POINT('',(-0.265812542964928,-1.90000006063717,0.25)); #56196=CARTESIAN_POINT('Origin',(-0.265812542964927,-1.40000006063716,0.25)); #56197=CARTESIAN_POINT('',(0.265812542964928,-1.90000006063717,0.25)); #56198=CARTESIAN_POINT('',(-0.475000012765719,-1.40000004468002,0.25)); #56199=CARTESIAN_POINT('Origin',(-0.400000012765719,-1.40000004468002,0.25)); #56200=CARTESIAN_POINT('',(-1.27500002553144,-0.400000012765719,0.25)); #56201=CARTESIAN_POINT('Origin',(-1.20000002553144,-0.400000012765719,0.25)); #56202=CARTESIAN_POINT('',(-0.875000025531438,-0.400000012765719,0.25)); #56203=CARTESIAN_POINT('Origin',(-0.800000025531438,-0.400000012765719, 0.25)); #56204=CARTESIAN_POINT('',(-0.475000012765722,-0.600000019148579,0.25)); #56205=CARTESIAN_POINT('Origin',(-0.400000012765722,-0.600000019148579, 0.25)); #56206=CARTESIAN_POINT('Origin',(-0.265812542964928,-1.90000006063717,0.)); #56207=CARTESIAN_POINT('',(-0.265812542964928,-1.90000006063717,0.)); #56208=CARTESIAN_POINT('',(-0.265812542964928,-1.90000006063717,0.)); #56209=CARTESIAN_POINT('',(0.265812542964928,-1.90000006063717,0.)); #56210=CARTESIAN_POINT('Origin',(-0.265812542964928,-1.90000006063717,0.)); #56211=CARTESIAN_POINT('',(0.265812542964928,-1.90000006063717,0.)); #56212=CARTESIAN_POINT('',(0.265812542964928,-1.90000006063717,0.)); #56213=CARTESIAN_POINT('',(0.265812542964928,-1.90000006063717,0.25)); #56214=CARTESIAN_POINT('',(0.265812542964928,-1.90000006063717,0.)); #56215=CARTESIAN_POINT('',(0.265812542964928,-1.90000006063717,0.25)); #56216=CARTESIAN_POINT('Origin',(0.400000012765722,-0.600000019148579,0.)); #56217=CARTESIAN_POINT('',(0.325000012765722,-0.600000019148579,0.25)); #56218=CARTESIAN_POINT('Origin',(0.400000012765722,-0.600000019148579,0.25)); #56219=CARTESIAN_POINT('',(0.325000012765722,-0.600000019148579,0.)); #56220=CARTESIAN_POINT('',(0.325000012765722,-0.600000019148579,0.)); #56221=CARTESIAN_POINT('Origin',(0.400000012765722,-0.600000019148579,0.)); #56222=CARTESIAN_POINT('Origin',(0.400000012765719,-1.40000001914858,0.)); #56223=CARTESIAN_POINT('',(0.325000012765719,-1.40000001914858,0.25)); #56224=CARTESIAN_POINT('Origin',(0.400000012765719,-1.40000001914858,0.25)); #56225=CARTESIAN_POINT('',(0.325000012765719,-1.40000001914858,0.)); #56226=CARTESIAN_POINT('',(0.325000012765719,-1.40000001914858,0.)); #56227=CARTESIAN_POINT('Origin',(0.400000012765719,-1.40000001914858,0.)); #56228=CARTESIAN_POINT('Origin',(-0.400000012765722,-0.600000019148579, 0.)); #56229=CARTESIAN_POINT('',(-0.475000012765722,-0.600000019148579,0.)); #56230=CARTESIAN_POINT('',(-0.475000012765722,-0.600000019148579,0.)); #56231=CARTESIAN_POINT('Origin',(-0.400000012765722,-0.600000019148579, 0.)); #56232=CARTESIAN_POINT('Origin',(1.20000002553144,-0.400000012765719,0.)); #56233=CARTESIAN_POINT('',(1.12500002553144,-0.400000012765719,0.25)); #56234=CARTESIAN_POINT('Origin',(1.20000002553144,-0.400000012765719,0.25)); #56235=CARTESIAN_POINT('',(1.12500002553144,-0.400000012765719,0.)); #56236=CARTESIAN_POINT('',(1.12500002553144,-0.400000012765719,0.)); #56237=CARTESIAN_POINT('Origin',(1.20000002553144,-0.400000012765719,0.)); #56238=CARTESIAN_POINT('Origin',(-0.800000025531438,-0.400000012765719, 0.)); #56239=CARTESIAN_POINT('',(-0.875000025531438,-0.400000012765719,0.)); #56240=CARTESIAN_POINT('',(-0.875000025531438,-0.400000012765719,0.)); #56241=CARTESIAN_POINT('Origin',(-0.800000025531438,-0.400000012765719, 0.)); #56242=CARTESIAN_POINT('Origin',(0.800000025531438,-0.400000012765719,0.)); #56243=CARTESIAN_POINT('',(0.725000025531438,-0.400000012765719,0.25)); #56244=CARTESIAN_POINT('Origin',(0.800000025531438,-0.400000012765719,0.25)); #56245=CARTESIAN_POINT('',(0.725000025531438,-0.400000012765719,0.)); #56246=CARTESIAN_POINT('',(0.725000025531438,-0.400000012765719,0.)); #56247=CARTESIAN_POINT('Origin',(0.800000025531438,-0.400000012765719,0.)); #56248=CARTESIAN_POINT('Origin',(-1.20000002553144,-0.400000012765719,0.)); #56249=CARTESIAN_POINT('',(-1.27500002553144,-0.400000012765719,0.)); #56250=CARTESIAN_POINT('',(-1.27500002553144,-0.400000012765719,0.)); #56251=CARTESIAN_POINT('Origin',(-1.20000002553144,-0.400000012765719,0.)); #56252=CARTESIAN_POINT('Origin',(-0.400000012765719,-1.40000004468002,0.)); #56253=CARTESIAN_POINT('',(-0.475000012765719,-1.40000004468002,0.)); #56254=CARTESIAN_POINT('',(-0.475000012765719,-1.40000004468002,0.)); #56255=CARTESIAN_POINT('Origin',(-0.400000012765719,-1.40000004468002,0.)); #56256=CARTESIAN_POINT('Origin',(-1.50000004787145,-0.500000060637166,0.)); #56257=CARTESIAN_POINT('',(-1.50000004787145,-0.518975054749343,0.)); #56258=CARTESIAN_POINT('',(-1.50000004787145,-0.500000060637166,0.)); #56259=CARTESIAN_POINT('',(-1.50000004787145,-0.518975054749343,0.)); #56260=CARTESIAN_POINT('',(-1.50000004787145,-0.518975054749343,0.)); #56261=CARTESIAN_POINT('',(-1.50000004787145,-0.500000060637166,0.)); #56262=CARTESIAN_POINT('Origin',(-1.10000004787145,-0.500000060637166,0.)); #56263=CARTESIAN_POINT('',(-1.10000004787145,-0.100000060637166,0.)); #56264=CARTESIAN_POINT('Origin',(-1.10000004787145,-0.500000060637166,0.)); #56265=CARTESIAN_POINT('',(-1.10000004787145,-0.100000060637166,0.)); #56266=CARTESIAN_POINT('Origin',(1.10000004787145,-0.100000060637166,0.)); #56267=CARTESIAN_POINT('',(1.10000004787145,-0.100000060637166,0.25)); #56268=CARTESIAN_POINT('',(-1.10000004787145,-0.100000060637166,0.25)); #56269=CARTESIAN_POINT('',(1.10000004787145,-0.100000060637166,0.)); #56270=CARTESIAN_POINT('',(1.10000004787145,-0.100000060637166,0.)); #56271=CARTESIAN_POINT('',(-1.10000004787145,-0.100000060637166,0.)); #56272=CARTESIAN_POINT('Origin',(1.10000004787145,-0.500000060637166,0.)); #56273=CARTESIAN_POINT('',(1.50000004787145,-0.500000060637166,0.)); #56274=CARTESIAN_POINT('Origin',(1.10000004787145,-0.500000060637166,0.)); #56275=CARTESIAN_POINT('',(1.50000004787145,-0.500000060637166,0.25)); #56276=CARTESIAN_POINT('Origin',(1.10000004787145,-0.500000060637166,0.25)); #56277=CARTESIAN_POINT('',(1.50000004787145,-0.500000060637166,0.)); #56278=CARTESIAN_POINT('Origin',(1.50000004787145,-0.518975054749343,0.)); #56279=CARTESIAN_POINT('',(1.50000004787145,-0.518975054749343,0.)); #56280=CARTESIAN_POINT('',(1.50000004787145,-0.500000060637166,0.)); #56281=CARTESIAN_POINT('',(1.50000004787145,-0.518975054749343,0.25)); #56282=CARTESIAN_POINT('',(1.50000004787145,-0.500000060637166,0.25)); #56283=CARTESIAN_POINT('',(1.50000004787145,-0.518975054749343,0.)); #56284=CARTESIAN_POINT('Origin',(1.00000004787145,-0.518975054749343,0.)); #56285=CARTESIAN_POINT('',(1.38411068767013,-0.839067254581583,0.)); #56286=CARTESIAN_POINT('Origin',(1.00000004787145,-0.518975054749343,0.)); #56287=CARTESIAN_POINT('',(1.38411068767013,-0.839067254581583,0.25)); #56288=CARTESIAN_POINT('Origin',(1.00000004787145,-0.518975054749343,0.25)); #56289=CARTESIAN_POINT('',(1.38411068767013,-0.839067254581583,0.)); #56290=CARTESIAN_POINT('Origin',(0.649923182763616,-1.72009226046941,0.)); #56291=CARTESIAN_POINT('',(0.649923182763616,-1.72009226046941,0.)); #56292=CARTESIAN_POINT('',(1.38411068767013,-0.839067254581583,0.)); #56293=CARTESIAN_POINT('',(0.649923182763616,-1.72009226046941,0.25)); #56294=CARTESIAN_POINT('',(1.38411068767013,-0.839067254581583,0.25)); #56295=CARTESIAN_POINT('',(0.649923182763616,-1.72009226046941,0.)); #56296=CARTESIAN_POINT('Origin',(0.265812542964927,-1.40000006063716,0.)); #56297=CARTESIAN_POINT('Origin',(0.265812542964927,-1.40000006063716,0.)); #56298=CARTESIAN_POINT('Origin',(0.265812542964927,-1.40000006063716,0.25)); #56299=CARTESIAN_POINT('Origin',(-0.265812542964927,-1.40000006063716,0.)); #56300=CARTESIAN_POINT('',(-0.649923182763616,-1.72009226046941,0.)); #56301=CARTESIAN_POINT('Origin',(-0.265812542964927,-1.40000006063716,0.)); #56302=CARTESIAN_POINT('',(-0.649923182763616,-1.72009226046941,0.)); #56303=CARTESIAN_POINT('Origin',(-1.38411068767013,-0.839067254581583,0.)); #56304=CARTESIAN_POINT('',(-1.38411068767013,-0.839067254581583,0.)); #56305=CARTESIAN_POINT('',(-1.38411068767013,-0.839067254581583,0.)); #56306=CARTESIAN_POINT('',(-1.38411068767013,-0.839067254581583,0.)); #56307=CARTESIAN_POINT('Origin',(-1.00000004787145,-0.518975054749343,0.)); #56308=CARTESIAN_POINT('Origin',(-1.00000004787145,-0.518975054749343,0.)); #56309=CARTESIAN_POINT('Origin',(0.,-1.00000006063717,0.25)); #56310=CARTESIAN_POINT('Origin',(0.,-1.00000006063717,0.)); #56311=CARTESIAN_POINT('',(0.,0.,0.)); #56312=CARTESIAN_POINT('',(0.,0.,0.)); #56313=CARTESIAN_POINT('Origin',(2.49999992490753,-3.50000014549175,6.75000024780583)); #56314=CARTESIAN_POINT('',(2.74999992490753,-3.62000014549175,6.75000024780583)); #56315=CARTESIAN_POINT('Origin',(2.74999992490753,-3.50000014549175,6.75000024780583)); #56316=CARTESIAN_POINT('',(2.49999992490753,-3.62000014549175,6.75000024780583)); #56317=CARTESIAN_POINT('',(2.49999992490753,-3.62000014549175,6.75000024780583)); #56318=CARTESIAN_POINT('Origin',(2.49999992490753,-3.50000014549175,6.75000024780583)); #56319=CARTESIAN_POINT('Origin',(2.49999992490753,-3.99999993992603,6.24999990988904)); #56320=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,5.99999990988904)); #56321=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,6.24999990988904)); #56322=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,5.99999990988904)); #56323=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,5.99999990988904)); #56324=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,5.99999990988904)); #56325=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,6.24999990988904)); #56326=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,5.99999990988904)); #56327=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,6.24999990988904)); #56328=CARTESIAN_POINT('Origin',(2.49999992490753,-4.49999993241678,6.24999990988904)); #56329=CARTESIAN_POINT('',(2.49999992490753,-4.49999993241678,6.24999990988904)); #56330=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,6.24999990988904)); #56331=CARTESIAN_POINT('',(2.74999992490753,-4.49999993241678,6.24999990988904)); #56332=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,6.24999990988904)); #56333=CARTESIAN_POINT('',(2.49999992490753,-4.49999993241678,6.24999990988904)); #56334=CARTESIAN_POINT('Origin',(2.49999992490753,-4.49999993241678,10.249999909889)); #56335=CARTESIAN_POINT('',(2.49999992490753,-4.49999993241678,10.249999909889)); #56336=CARTESIAN_POINT('',(2.49999992490753,-4.49999993241678,6.24999990988904)); #56337=CARTESIAN_POINT('',(2.74999992490753,-4.49999993241678,10.249999909889)); #56338=CARTESIAN_POINT('',(2.74999992490753,-4.49999993241678,6.24999990988904)); #56339=CARTESIAN_POINT('',(2.49999992490753,-4.49999993241678,10.249999909889)); #56340=CARTESIAN_POINT('Origin',(2.49999992490753,-3.99999993992603,10.249999909889)); #56341=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,10.249999909889)); #56342=CARTESIAN_POINT('',(2.49999992490753,-4.49999993241678,10.249999909889)); #56343=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,10.249999909889)); #56344=CARTESIAN_POINT('',(2.74999992490753,-4.49999993241678,10.249999909889)); #56345=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,10.249999909889)); #56346=CARTESIAN_POINT('Origin',(2.49999992490753,-3.99999993992603,10.4999998423058)); #56347=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,10.4999998423058)); #56348=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,10.249999909889)); #56349=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,10.4999998423058)); #56350=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,10.249999909889)); #56351=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,10.4999998423058)); #56352=CARTESIAN_POINT('Origin',(2.49999992490753,-2.99999993992603,10.4999998423058)); #56353=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,10.4999998423058)); #56354=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,10.4999998423058)); #56355=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,10.4999998423058)); #56356=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,10.4999998423058)); #56357=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,10.4999998423058)); #56358=CARTESIAN_POINT('Origin',(2.49999992490753,-2.99999993992603,10.2499998423058)); #56359=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,10.2499998423058)); #56360=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,10.4999998423058)); #56361=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,10.2499998423058)); #56362=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,10.4999998423058)); #56363=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,10.2499998423058)); #56364=CARTESIAN_POINT('Origin',(2.49999992490753,-2.49999996245377,10.249999909889)); #56365=CARTESIAN_POINT('',(2.49999992490753,-2.49999996245377,10.249999909889)); #56366=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,10.2499998423058)); #56367=CARTESIAN_POINT('',(2.74999992490753,-2.49999996245377,10.249999909889)); #56368=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,10.2499998423058)); #56369=CARTESIAN_POINT('',(2.49999992490753,-2.49999996245377,10.249999909889)); #56370=CARTESIAN_POINT('Origin',(2.49999992490753,-2.49999996245377,6.24999990988904)); #56371=CARTESIAN_POINT('',(2.49999992490753,-2.49999996245377,6.24999990988904)); #56372=CARTESIAN_POINT('',(2.49999992490753,-2.49999996245377,6.24999990988904)); #56373=CARTESIAN_POINT('',(2.74999992490753,-2.49999996245377,6.24999990988904)); #56374=CARTESIAN_POINT('',(2.74999992490753,-2.49999996245377,6.24999990988904)); #56375=CARTESIAN_POINT('',(2.49999992490753,-2.49999996245377,6.24999990988904)); #56376=CARTESIAN_POINT('Origin',(2.49999992490753,-2.99999993992603,6.24999990988904)); #56377=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,6.24999990988904)); #56378=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,6.24999990988904)); #56379=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,6.24999990988904)); #56380=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,6.24999990988904)); #56381=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,6.24999990988904)); #56382=CARTESIAN_POINT('Origin',(2.49999992490753,-2.99999993992603,5.99999990988904)); #56383=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,5.99999990988904)); #56384=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,5.99999990988904)); #56385=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,5.99999990988904)); #56386=CARTESIAN_POINT('',(2.74999992490753,-2.99999993992603,5.99999990988904)); #56387=CARTESIAN_POINT('',(2.49999992490753,-2.99999993992603,5.99999990988904)); #56388=CARTESIAN_POINT('Origin',(2.49999992490753,-3.99999993992603,5.99999990988904)); #56389=CARTESIAN_POINT('',(2.49999992490753,-3.99999993992603,5.99999990988904)); #56390=CARTESIAN_POINT('',(2.74999992490753,-3.99999993992603,5.99999990988904)); #56391=CARTESIAN_POINT('Origin',(2.74999992490753,-3.49999994743527,8.24999987609743)); #56392=CARTESIAN_POINT('Origin',(2.49999992490753,-3.49999994743527,8.24999987609743)); #56393=CARTESIAN_POINT('Origin',(2.74999992490753,5.2499996358015,8.74999970713935)); #56394=CARTESIAN_POINT('',(2.49999992490753,5.37499963580153,8.74999970713933)); #56395=CARTESIAN_POINT('',(2.49999992490753,5.2499996358015,8.74999970713935)); #56396=CARTESIAN_POINT('',(2.49999992490753,4.62499963580155,8.74999970713946)); #56397=CARTESIAN_POINT('',(2.74999992490753,5.37499963580153,8.74999970713933)); #56398=CARTESIAN_POINT('',(2.74999992490753,5.37499963580153,8.74999970713933)); #56399=CARTESIAN_POINT('',(2.74999992490753,5.2499996358015,8.74999970713935)); #56400=CARTESIAN_POINT('',(2.74999992490753,4.62499963580155,8.74999970713946)); #56401=CARTESIAN_POINT('',(2.74999992490753,5.2499996358015,8.74999970713935)); #56402=CARTESIAN_POINT('Origin',(2.74999992490753,5.24999963580154,7.74999970713935)); #56403=CARTESIAN_POINT('',(2.49999992490753,5.24999963580154,7.74999970713935)); #56404=CARTESIAN_POINT('',(2.49999992490753,5.24999963580153,7.99999970713938)); #56405=CARTESIAN_POINT('',(2.74999992490753,5.24999963580154,7.74999970713935)); #56406=CARTESIAN_POINT('',(2.74999992490753,5.24999963580153,7.99999970713938)); #56407=CARTESIAN_POINT('',(2.74999992490753,5.24999963580154,7.74999970713935)); #56408=CARTESIAN_POINT('Origin',(2.74999992490753,5.37499963580153,7.74999970713933)); #56409=CARTESIAN_POINT('',(2.49999992490753,5.37499963580153,7.74999970713933)); #56410=CARTESIAN_POINT('',(2.49999992490753,4.68749963580148,7.74999970713946)); #56411=CARTESIAN_POINT('',(2.74999992490753,5.37499963580153,7.74999970713933)); #56412=CARTESIAN_POINT('',(2.74999992490753,4.68749963580148,7.74999970713946)); #56413=CARTESIAN_POINT('',(2.74999992490753,5.37499963580153,7.74999970713933)); #56414=CARTESIAN_POINT('Origin',(2.74999992490753,5.37499963580153,8.74999970713933)); #56415=CARTESIAN_POINT('',(2.49999992490753,5.37499963580153,8.49999970713934)); #56416=CARTESIAN_POINT('',(2.74999992490753,5.37499963580153,8.49999970713934)); #56417=CARTESIAN_POINT('Origin',(2.49999992490753,3.50000011845843,9.74999984230588)); #56418=CARTESIAN_POINT('',(2.74999992490753,3.38000011845843,9.74999984230588)); #56419=CARTESIAN_POINT('Origin',(2.74999992490753,3.50000011845843,9.74999984230588)); #56420=CARTESIAN_POINT('',(2.49999992490753,3.38000011845843,9.74999984230588)); #56421=CARTESIAN_POINT('',(2.49999992490753,3.38000011845843,9.74999984230588)); #56422=CARTESIAN_POINT('Origin',(2.49999992490753,3.50000011845843,9.74999984230588)); #56423=CARTESIAN_POINT('Origin',(2.49999992490753,3.50000014549175,6.75000024780583)); #56424=CARTESIAN_POINT('',(2.74999992490753,3.38000014549175,6.75000024780583)); #56425=CARTESIAN_POINT('Origin',(2.74999992490753,3.50000014549175,6.75000024780583)); #56426=CARTESIAN_POINT('',(2.49999992490753,3.38000014549175,6.75000024780583)); #56427=CARTESIAN_POINT('',(2.49999992490753,3.38000014549175,6.75000024780583)); #56428=CARTESIAN_POINT('Origin',(2.49999992490753,3.50000014549175,6.75000024780583)); #56429=CARTESIAN_POINT('Origin',(2.49999992490753,2.99999993992604,5.9999997747226)); #56430=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,5.99999990988904)); #56431=CARTESIAN_POINT('',(2.49999992490753,2.99999993992604,5.9999997747226)); #56432=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,5.99999990988904)); #56433=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,5.99999990988904)); #56434=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,5.99999990988904)); #56435=CARTESIAN_POINT('',(2.74999992490753,2.99999993992604,5.9999997747226)); #56436=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,5.99999990988904)); #56437=CARTESIAN_POINT('',(2.49999992490753,2.99999993992604,5.9999997747226)); #56438=CARTESIAN_POINT('Origin',(2.49999992490753,2.99999990613443,6.24999977472259)); #56439=CARTESIAN_POINT('',(2.49999992490753,2.99999990613443,6.24999977472259)); #56440=CARTESIAN_POINT('',(2.49999992490753,2.99999993992604,5.9999997747226)); #56441=CARTESIAN_POINT('',(2.74999992490753,2.99999990613443,6.24999977472259)); #56442=CARTESIAN_POINT('',(2.74999992490753,2.99999993992604,5.9999997747226)); #56443=CARTESIAN_POINT('',(2.49999992490753,2.99999990613443,6.24999977472259)); #56444=CARTESIAN_POINT('Origin',(2.49999992490753,2.49999990613443,6.24999970713937)); #56445=CARTESIAN_POINT('',(2.49999992490753,2.49999990613443,6.24999970713937)); #56446=CARTESIAN_POINT('',(2.49999992490753,2.99999990613443,6.24999977472259)); #56447=CARTESIAN_POINT('',(2.74999992490753,2.49999990613443,6.24999970713937)); #56448=CARTESIAN_POINT('',(2.74999992490753,2.99999990613443,6.24999977472259)); #56449=CARTESIAN_POINT('',(2.49999992490753,2.49999990613443,6.24999970713937)); #56450=CARTESIAN_POINT('Origin',(2.49999992490753,2.49999936546865,10.2499997071393)); #56451=CARTESIAN_POINT('',(2.49999992490753,2.49999936546865,10.2499997071393)); #56452=CARTESIAN_POINT('',(2.49999992490753,2.49999990613443,6.24999970713937)); #56453=CARTESIAN_POINT('',(2.74999992490753,2.49999936546865,10.2499997071393)); #56454=CARTESIAN_POINT('',(2.74999992490753,2.49999990613443,6.24999970713937)); #56455=CARTESIAN_POINT('',(2.49999992490753,2.49999936546865,10.2499997071393)); #56456=CARTESIAN_POINT('Origin',(2.49999992490753,2.99999936546865,10.2499997747226)); #56457=CARTESIAN_POINT('',(2.49999992490753,2.99999936546865,10.2499997747226)); #56458=CARTESIAN_POINT('',(2.49999992490753,2.49999936546865,10.2499997071393)); #56459=CARTESIAN_POINT('',(2.74999992490753,2.99999936546865,10.2499997747226)); #56460=CARTESIAN_POINT('',(2.74999992490753,2.49999936546865,10.2499997071393)); #56461=CARTESIAN_POINT('',(2.49999992490753,2.99999936546865,10.2499997747226)); #56462=CARTESIAN_POINT('Origin',(2.49999992490753,2.99999933167707,10.4999995043897)); #56463=CARTESIAN_POINT('',(2.49999992490753,2.99999933167707,10.4999995043897)); #56464=CARTESIAN_POINT('',(2.49999992490753,2.99999936546865,10.2499997747226)); #56465=CARTESIAN_POINT('',(2.74999992490753,2.99999933167707,10.4999995043897)); #56466=CARTESIAN_POINT('',(2.74999992490753,2.99999936546865,10.2499997747226)); #56467=CARTESIAN_POINT('',(2.49999992490753,2.99999933167707,10.4999995043897)); #56468=CARTESIAN_POINT('Origin',(2.49999992490753,3.99999933167702,10.4999996395561)); #56469=CARTESIAN_POINT('',(2.49999992490753,3.99999933167702,10.4999996395561)); #56470=CARTESIAN_POINT('',(2.49999992490753,2.99999933167707,10.4999995043897)); #56471=CARTESIAN_POINT('',(2.74999992490753,3.99999933167702,10.4999996395561)); #56472=CARTESIAN_POINT('',(2.74999992490753,2.99999933167707,10.4999995043897)); #56473=CARTESIAN_POINT('',(2.49999992490753,3.99999933167702,10.4999996395561)); #56474=CARTESIAN_POINT('Origin',(2.49999992490753,3.99999936546864,10.2499996395561)); #56475=CARTESIAN_POINT('',(2.49999992490753,3.99999936546864,10.2499996395561)); #56476=CARTESIAN_POINT('',(2.49999992490753,3.99999933167702,10.4999996395561)); #56477=CARTESIAN_POINT('',(2.74999992490753,3.99999936546864,10.2499996395561)); #56478=CARTESIAN_POINT('',(2.74999992490753,3.99999933167702,10.4999996395561)); #56479=CARTESIAN_POINT('',(2.49999992490753,3.99999936546864,10.2499996395561)); #56480=CARTESIAN_POINT('Origin',(2.49999992490753,5.49999936546862,10.2499998423058)); #56481=CARTESIAN_POINT('',(2.49999992490753,5.49999936546862,10.2499998423058)); #56482=CARTESIAN_POINT('',(2.49999992490753,3.99999936546864,10.2499996395561)); #56483=CARTESIAN_POINT('',(2.74999992490753,5.49999936546862,10.2499998423058)); #56484=CARTESIAN_POINT('',(2.74999992490753,3.99999936546864,10.2499996395561)); #56485=CARTESIAN_POINT('',(2.49999992490753,5.49999936546862,10.2499998423058)); #56486=CARTESIAN_POINT('Origin',(2.49999992490753,5.4999999061344,6.24999984230582)); #56487=CARTESIAN_POINT('',(2.49999992490753,5.4999999061344,6.24999984230582)); #56488=CARTESIAN_POINT('',(2.49999992490753,5.49999936546862,10.2499998423058)); #56489=CARTESIAN_POINT('',(2.74999992490753,5.4999999061344,6.24999984230582)); #56490=CARTESIAN_POINT('',(2.74999992490753,5.49999936546862,10.2499998423058)); #56491=CARTESIAN_POINT('',(2.49999992490753,5.4999999061344,6.24999984230582)); #56492=CARTESIAN_POINT('Origin',(2.49999992490753,3.99999990613442,6.24999990988904)); #56493=CARTESIAN_POINT('',(2.49999992490753,3.99999990613442,6.24999990988904)); #56494=CARTESIAN_POINT('',(2.49999992490753,5.4999999061344,6.24999984230582)); #56495=CARTESIAN_POINT('',(2.74999992490753,3.99999990613442,6.24999990988904)); #56496=CARTESIAN_POINT('',(2.74999992490753,5.4999999061344,6.24999984230582)); #56497=CARTESIAN_POINT('',(2.49999992490753,3.99999990613442,6.24999990988904)); #56498=CARTESIAN_POINT('Origin',(2.49999992490753,3.99999993992603,5.99999990988904)); #56499=CARTESIAN_POINT('',(2.49999992490753,3.99999993992603,5.99999990988904)); #56500=CARTESIAN_POINT('',(2.74999992490753,3.99999993992603,5.99999990988904)); #56501=CARTESIAN_POINT('Origin',(2.74999992490753,3.99999963580153,8.24999970713935)); #56502=CARTESIAN_POINT('Origin',(2.49999992490753,3.99999963580153,8.24999970713935)); #56503=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.50000014549175,6.75000024780583)); #56504=CARTESIAN_POINT('',(-2.74999992490753,-3.62000014549175,6.75000024780583)); #56505=CARTESIAN_POINT('Origin',(-2.74999992490753,-3.50000014549175,6.75000024780583)); #56506=CARTESIAN_POINT('',(-2.49999992490753,-3.62000014549175,6.75000024780583)); #56507=CARTESIAN_POINT('',(-2.49999992490753,-3.62000014549175,6.75000024780583)); #56508=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.50000014549175,6.75000024780583)); #56509=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.99999993992603,6.24999990988904)); #56510=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #56511=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,6.24999990988904)); #56512=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #56513=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,6.24999990988904)); #56514=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,6.24999990988904)); #56515=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,5.99999990988904)); #56516=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,5.99999990988904)); #56517=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #56518=CARTESIAN_POINT('Origin',(-2.49999992490753,-4.49999993241678,6.24999990988904)); #56519=CARTESIAN_POINT('',(-2.49999992490753,-4.49999993241678,6.24999990988904)); #56520=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,6.24999990988904)); #56521=CARTESIAN_POINT('',(-2.74999992490753,-4.49999993241678,6.24999990988904)); #56522=CARTESIAN_POINT('',(-2.49999992490753,-4.49999993241678,6.24999990988904)); #56523=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,6.24999990988904)); #56524=CARTESIAN_POINT('Origin',(-2.49999992490753,-4.49999993241678,10.249999909889)); #56525=CARTESIAN_POINT('',(-2.49999992490753,-4.49999993241678,10.249999909889)); #56526=CARTESIAN_POINT('',(-2.49999992490753,-4.49999993241678,6.24999990988904)); #56527=CARTESIAN_POINT('',(-2.74999992490753,-4.49999993241678,10.249999909889)); #56528=CARTESIAN_POINT('',(-2.49999992490753,-4.49999993241678,10.249999909889)); #56529=CARTESIAN_POINT('',(-2.74999992490753,-4.49999993241678,6.24999990988904)); #56530=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.99999993992603,10.249999909889)); #56531=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,10.249999909889)); #56532=CARTESIAN_POINT('',(-2.49999992490753,-4.49999993241678,10.249999909889)); #56533=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,10.249999909889)); #56534=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,10.249999909889)); #56535=CARTESIAN_POINT('',(-2.74999992490753,-4.49999993241678,10.249999909889)); #56536=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.99999993992603,10.4999998423058)); #56537=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,10.4999998423058)); #56538=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,10.249999909889)); #56539=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,10.4999998423058)); #56540=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,10.4999998423058)); #56541=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,10.249999909889)); #56542=CARTESIAN_POINT('Origin',(-2.49999992490753,-2.99999993992603,10.4999998423058)); #56543=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,10.4999998423058)); #56544=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,10.4999998423058)); #56545=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,10.4999998423058)); #56546=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,10.4999998423058)); #56547=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,10.4999998423058)); #56548=CARTESIAN_POINT('Origin',(-2.49999992490753,-2.99999993992603,10.2499998423058)); #56549=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,10.2499998423058)); #56550=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,10.4999998423058)); #56551=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,10.2499998423058)); #56552=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,10.2499998423058)); #56553=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,10.4999998423058)); #56554=CARTESIAN_POINT('Origin',(-2.49999992490753,-2.49999996245377,10.249999909889)); #56555=CARTESIAN_POINT('',(-2.49999992490753,-2.49999996245377,10.249999909889)); #56556=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,10.2499998423058)); #56557=CARTESIAN_POINT('',(-2.74999992490753,-2.49999996245377,10.249999909889)); #56558=CARTESIAN_POINT('',(-2.49999992490753,-2.49999996245377,10.249999909889)); #56559=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,10.2499998423058)); #56560=CARTESIAN_POINT('Origin',(-2.49999992490753,-2.49999996245377,6.24999990988904)); #56561=CARTESIAN_POINT('',(-2.49999992490753,-2.49999996245377,6.24999990988904)); #56562=CARTESIAN_POINT('',(-2.49999992490753,-2.49999996245377,6.24999990988904)); #56563=CARTESIAN_POINT('',(-2.74999992490753,-2.49999996245377,6.24999990988904)); #56564=CARTESIAN_POINT('',(-2.49999992490753,-2.49999996245377,6.24999990988904)); #56565=CARTESIAN_POINT('',(-2.74999992490753,-2.49999996245377,6.24999990988904)); #56566=CARTESIAN_POINT('Origin',(-2.49999992490753,-2.99999993992603,6.24999990988904)); #56567=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,6.24999990988904)); #56568=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,6.24999990988904)); #56569=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,6.24999990988904)); #56570=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,6.24999990988904)); #56571=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,6.24999990988904)); #56572=CARTESIAN_POINT('Origin',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #56573=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #56574=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #56575=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,5.99999990988904)); #56576=CARTESIAN_POINT('',(-2.49999992490753,-2.99999993992603,5.99999990988904)); #56577=CARTESIAN_POINT('',(-2.74999992490753,-2.99999993992603,5.99999990988904)); #56578=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #56579=CARTESIAN_POINT('',(-2.49999992490753,-3.99999993992603,5.99999990988904)); #56580=CARTESIAN_POINT('',(-2.74999992490753,-3.99999993992603,5.99999990988904)); #56581=CARTESIAN_POINT('Origin',(-2.74999992490753,-3.49999994743527,8.24999987609743)); #56582=CARTESIAN_POINT('Origin',(-2.49999992490753,-3.49999994743527,8.24999987609743)); #56583=CARTESIAN_POINT('Origin',(2.74999992490753,5.2499996358015,8.74999970713935)); #56584=CARTESIAN_POINT('',(-2.49999992490753,5.2499996358015,8.74999970713935)); #56585=CARTESIAN_POINT('',(-2.49999992490753,5.37499963580153,8.74999970713933)); #56586=CARTESIAN_POINT('',(-2.49999992490753,4.62499963580155,8.74999970713946)); #56587=CARTESIAN_POINT('',(-2.74999992490753,5.2499996358015,8.74999970713935)); #56588=CARTESIAN_POINT('',(2.74999992490753,5.2499996358015,8.74999970713935)); #56589=CARTESIAN_POINT('',(-2.74999992490753,5.37499963580153,8.74999970713933)); #56590=CARTESIAN_POINT('',(-2.74999992490753,4.62499963580155,8.74999970713946)); #56591=CARTESIAN_POINT('',(2.74999992490753,5.37499963580153,8.74999970713933)); #56592=CARTESIAN_POINT('Origin',(2.74999992490753,5.37499963580153,8.74999970713933)); #56593=CARTESIAN_POINT('',(-2.49999992490753,5.37499963580153,7.74999970713933)); #56594=CARTESIAN_POINT('',(-2.49999992490753,5.37499963580153,8.49999970713934)); #56595=CARTESIAN_POINT('',(-2.74999992490753,5.37499963580153,7.74999970713933)); #56596=CARTESIAN_POINT('',(-2.74999992490753,5.37499963580153,8.49999970713934)); #56597=CARTESIAN_POINT('',(2.74999992490753,5.37499963580153,7.74999970713933)); #56598=CARTESIAN_POINT('Origin',(2.74999992490753,5.37499963580153,7.74999970713933)); #56599=CARTESIAN_POINT('',(-2.49999992490753,5.24999963580154,7.74999970713935)); #56600=CARTESIAN_POINT('',(-2.49999992490753,4.68749963580148,7.74999970713946)); #56601=CARTESIAN_POINT('',(-2.74999992490753,5.24999963580154,7.74999970713935)); #56602=CARTESIAN_POINT('',(-2.74999992490753,4.68749963580148,7.74999970713946)); #56603=CARTESIAN_POINT('',(2.74999992490753,5.24999963580154,7.74999970713935)); #56604=CARTESIAN_POINT('Origin',(2.74999992490753,5.24999963580154,7.74999970713935)); #56605=CARTESIAN_POINT('',(-2.49999992490753,5.24999963580153,7.99999970713938)); #56606=CARTESIAN_POINT('',(-2.74999992490753,5.24999963580153,7.99999970713938)); #56607=CARTESIAN_POINT('Origin',(-2.49999992490753,3.50000011845843,9.74999984230588)); #56608=CARTESIAN_POINT('',(-2.74999992490753,3.38000011845843,9.74999984230588)); #56609=CARTESIAN_POINT('Origin',(-2.74999992490753,3.50000011845843,9.74999984230588)); #56610=CARTESIAN_POINT('',(-2.49999992490753,3.38000011845843,9.74999984230588)); #56611=CARTESIAN_POINT('',(-2.49999992490753,3.38000011845843,9.74999984230588)); #56612=CARTESIAN_POINT('Origin',(-2.49999992490753,3.50000011845843,9.74999984230588)); #56613=CARTESIAN_POINT('Origin',(-2.49999992490753,3.50000014549175,6.75000024780583)); #56614=CARTESIAN_POINT('',(-2.74999992490753,3.38000014549175,6.75000024780583)); #56615=CARTESIAN_POINT('Origin',(-2.74999992490753,3.50000014549175,6.75000024780583)); #56616=CARTESIAN_POINT('',(-2.49999992490753,3.38000014549175,6.75000024780583)); #56617=CARTESIAN_POINT('',(-2.49999992490753,3.38000014549175,6.75000024780583)); #56618=CARTESIAN_POINT('Origin',(-2.49999992490753,3.50000014549175,6.75000024780583)); #56619=CARTESIAN_POINT('Origin',(-2.49999992490753,2.99999993992604,5.9999997747226)); #56620=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,5.99999990988904)); #56621=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992604,5.9999997747226)); #56622=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,5.99999990988904)); #56623=CARTESIAN_POINT('',(-2.74999992490753,2.99999993992604,5.9999997747226)); #56624=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992604,5.9999997747226)); #56625=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,5.99999990988904)); #56626=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,5.99999990988904)); #56627=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,5.99999990988904)); #56628=CARTESIAN_POINT('Origin',(-2.49999992490753,2.99999990613443,6.24999977472259)); #56629=CARTESIAN_POINT('',(-2.49999992490753,2.99999990613443,6.24999977472259)); #56630=CARTESIAN_POINT('',(-2.49999992490753,2.99999993992604,5.9999997747226)); #56631=CARTESIAN_POINT('',(-2.74999992490753,2.99999990613443,6.24999977472259)); #56632=CARTESIAN_POINT('',(-2.49999992490753,2.99999990613443,6.24999977472259)); #56633=CARTESIAN_POINT('',(-2.74999992490753,2.99999993992604,5.9999997747226)); #56634=CARTESIAN_POINT('Origin',(-2.49999992490753,2.49999990613443,6.24999970713937)); #56635=CARTESIAN_POINT('',(-2.49999992490753,2.49999990613443,6.24999970713937)); #56636=CARTESIAN_POINT('',(-2.49999992490753,2.99999990613443,6.24999977472259)); #56637=CARTESIAN_POINT('',(-2.74999992490753,2.49999990613443,6.24999970713937)); #56638=CARTESIAN_POINT('',(-2.49999992490753,2.49999990613443,6.24999970713937)); #56639=CARTESIAN_POINT('',(-2.74999992490753,2.99999990613443,6.24999977472259)); #56640=CARTESIAN_POINT('Origin',(-2.49999992490753,2.49999936546865,10.2499997071393)); #56641=CARTESIAN_POINT('',(-2.49999992490753,2.49999936546865,10.2499997071393)); #56642=CARTESIAN_POINT('',(-2.49999992490753,2.49999990613443,6.24999970713937)); #56643=CARTESIAN_POINT('',(-2.74999992490753,2.49999936546865,10.2499997071393)); #56644=CARTESIAN_POINT('',(-2.49999992490753,2.49999936546865,10.2499997071393)); #56645=CARTESIAN_POINT('',(-2.74999992490753,2.49999990613443,6.24999970713937)); #56646=CARTESIAN_POINT('Origin',(-2.49999992490753,2.99999936546865,10.2499997747226)); #56647=CARTESIAN_POINT('',(-2.49999992490753,2.99999936546865,10.2499997747226)); #56648=CARTESIAN_POINT('',(-2.49999992490753,2.49999936546865,10.2499997071393)); #56649=CARTESIAN_POINT('',(-2.74999992490753,2.99999936546865,10.2499997747226)); #56650=CARTESIAN_POINT('',(-2.49999992490753,2.99999936546865,10.2499997747226)); #56651=CARTESIAN_POINT('',(-2.74999992490753,2.49999936546865,10.2499997071393)); #56652=CARTESIAN_POINT('Origin',(-2.49999992490753,2.99999933167707,10.4999995043897)); #56653=CARTESIAN_POINT('',(-2.49999992490753,2.99999933167707,10.4999995043897)); #56654=CARTESIAN_POINT('',(-2.49999992490753,2.99999936546865,10.2499997747226)); #56655=CARTESIAN_POINT('',(-2.74999992490753,2.99999933167707,10.4999995043897)); #56656=CARTESIAN_POINT('',(-2.49999992490753,2.99999933167707,10.4999995043897)); #56657=CARTESIAN_POINT('',(-2.74999992490753,2.99999936546865,10.2499997747226)); #56658=CARTESIAN_POINT('Origin',(-2.49999992490753,3.99999933167702,10.4999996395561)); #56659=CARTESIAN_POINT('',(-2.49999992490753,3.99999933167702,10.4999996395561)); #56660=CARTESIAN_POINT('',(-2.49999992490753,2.99999933167707,10.4999995043897)); #56661=CARTESIAN_POINT('',(-2.74999992490753,3.99999933167702,10.4999996395561)); #56662=CARTESIAN_POINT('',(-2.49999992490753,3.99999933167702,10.4999996395561)); #56663=CARTESIAN_POINT('',(-2.74999992490753,2.99999933167707,10.4999995043897)); #56664=CARTESIAN_POINT('Origin',(-2.49999992490753,3.99999936546864,10.2499996395561)); #56665=CARTESIAN_POINT('',(-2.49999992490753,3.99999936546864,10.2499996395561)); #56666=CARTESIAN_POINT('',(-2.49999992490753,3.99999933167702,10.4999996395561)); #56667=CARTESIAN_POINT('',(-2.74999992490753,3.99999936546864,10.2499996395561)); #56668=CARTESIAN_POINT('',(-2.49999992490753,3.99999936546864,10.2499996395561)); #56669=CARTESIAN_POINT('',(-2.74999992490753,3.99999933167702,10.4999996395561)); #56670=CARTESIAN_POINT('Origin',(-2.49999992490753,5.49999936546862,10.2499998423058)); #56671=CARTESIAN_POINT('',(-2.49999992490753,5.49999936546862,10.2499998423058)); #56672=CARTESIAN_POINT('',(-2.49999992490753,3.99999936546864,10.2499996395561)); #56673=CARTESIAN_POINT('',(-2.74999992490753,5.49999936546862,10.2499998423058)); #56674=CARTESIAN_POINT('',(-2.49999992490753,5.49999936546862,10.2499998423058)); #56675=CARTESIAN_POINT('',(-2.74999992490753,3.99999936546864,10.2499996395561)); #56676=CARTESIAN_POINT('Origin',(-2.49999992490753,5.4999999061344,6.24999984230582)); #56677=CARTESIAN_POINT('',(-2.49999992490753,5.4999999061344,6.24999984230582)); #56678=CARTESIAN_POINT('',(-2.49999992490753,5.49999936546862,10.2499998423058)); #56679=CARTESIAN_POINT('',(-2.74999992490753,5.4999999061344,6.24999984230582)); #56680=CARTESIAN_POINT('',(-2.49999992490753,5.4999999061344,6.24999984230582)); #56681=CARTESIAN_POINT('',(-2.74999992490753,5.49999936546862,10.2499998423058)); #56682=CARTESIAN_POINT('Origin',(-2.49999992490753,3.99999990613442,6.24999990988904)); #56683=CARTESIAN_POINT('',(-2.49999992490753,3.99999990613442,6.24999990988904)); #56684=CARTESIAN_POINT('',(-2.49999992490753,5.4999999061344,6.24999984230582)); #56685=CARTESIAN_POINT('',(-2.74999992490753,3.99999990613442,6.24999990988904)); #56686=CARTESIAN_POINT('',(-2.49999992490753,3.99999990613442,6.24999990988904)); #56687=CARTESIAN_POINT('',(-2.74999992490753,5.4999999061344,6.24999984230582)); #56688=CARTESIAN_POINT('Origin',(-2.49999992490753,3.99999993992603,5.99999990988904)); #56689=CARTESIAN_POINT('',(-2.49999992490753,3.99999993992603,5.99999990988904)); #56690=CARTESIAN_POINT('',(-2.74999992490753,3.99999993992603,5.99999990988904)); #56691=CARTESIAN_POINT('Origin',(-2.74999992490753,3.99999963580153,8.24999970713935)); #56692=CARTESIAN_POINT('Origin',(-2.49999992490753,3.99999963580153,8.24999970713935)); #56693=CARTESIAN_POINT('Origin',(-1.400000074717,5.25000015619282,7.6999999247198)); #56694=CARTESIAN_POINT('',(-0.857500074716996,5.37500015619282,7.6999999162719)); #56695=CARTESIAN_POINT('Origin',(-1.400000074717,5.37500015619282,7.69999991627189)); #56696=CARTESIAN_POINT('',(-0.857500074716996,5.25000015619282,7.6999999247198)); #56697=CARTESIAN_POINT('',(-0.857500074716996,5.25000015619282,7.6999999247198)); #56698=CARTESIAN_POINT('Origin',(-1.400000074717,5.25000015619282,7.6999999247198)); #56699=CARTESIAN_POINT('Origin',(-0.427500015018491,5.25000027784265,9.49999998216554)); #56700=CARTESIAN_POINT('',(-0.427500015018491,5.25000027784265,9.49999998216554)); #56701=CARTESIAN_POINT('',(-0.427500015018491,5.25000019437735,8.26499998216554)); #56702=CARTESIAN_POINT('',(-0.427500015018491,5.25000027784265,9.49999998216554)); #56703=CARTESIAN_POINT('',(-0.427500015018491,5.37500019437735,8.26499997371763)); #56704=CARTESIAN_POINT('',(-0.427500015018491,5.25000019437735,8.26499998216554)); #56705=CARTESIAN_POINT('',(-0.427500015018491,5.37500027784265,9.49999997371763)); #56706=CARTESIAN_POINT('',(-0.427500015018491,5.37500027784265,9.49999997371763)); #56707=CARTESIAN_POINT('',(-0.427500015018491,5.25000027784265,9.49999998216554)); #56708=CARTESIAN_POINT('Origin',(0.427499984981508,5.25000027784265,9.49999998216554)); #56709=CARTESIAN_POINT('',(0.427499984981508,5.25000027784265,9.49999998216554)); #56710=CARTESIAN_POINT('',(0.427499984981508,5.25000027784265,9.49999998216554)); #56711=CARTESIAN_POINT('',(0.427499984981508,5.37500027784265,9.49999997371763)); #56712=CARTESIAN_POINT('',(0.427499984981508,5.37500027784265,9.49999997371763)); #56713=CARTESIAN_POINT('',(0.427499984981508,5.25000027784265,9.49999998216554)); #56714=CARTESIAN_POINT('Origin',(0.427499984981508,5.25000019437735,8.26499998216554)); #56715=CARTESIAN_POINT('',(0.427499984981508,5.25000019437735,8.26499998216554)); #56716=CARTESIAN_POINT('',(0.427499984981508,5.25000019437735,8.26499998216554)); #56717=CARTESIAN_POINT('',(0.427499984981508,5.37500019437735,8.26499997371763)); #56718=CARTESIAN_POINT('',(0.427499984981508,5.37500019437735,8.26499997371763)); #56719=CARTESIAN_POINT('',(0.427499984981508,5.25000019437735,8.26499998216554)); #56720=CARTESIAN_POINT('Origin',(-0.427500015018491,5.25000019437735,8.26499998216554)); #56721=CARTESIAN_POINT('',(-0.427500015018491,5.25000019437735,8.26499998216554)); #56722=CARTESIAN_POINT('',(-0.427500015018491,5.37500019437735,8.26499997371763)); #56723=CARTESIAN_POINT('Origin',(1.40000004468002,5.25000015619282,7.6999999247198)); #56724=CARTESIAN_POINT('',(1.94250004468002,5.37500015619282,7.6999999162719)); #56725=CARTESIAN_POINT('Origin',(1.40000004468002,5.37500015619282,7.6999999162719)); #56726=CARTESIAN_POINT('',(1.94250004468002,5.25000015619282,7.6999999247198)); #56727=CARTESIAN_POINT('',(1.94250004468002,5.25000015619282,7.6999999247198)); #56728=CARTESIAN_POINT('Origin',(1.40000004468002,5.25000015619282,7.6999999247198)); #56729=CARTESIAN_POINT('Origin',(2.49999992490753,5.25000022715519,8.74999955507712)); #56730=CARTESIAN_POINT('',(2.74999992490753,5.25000022715519,8.74999955507712)); #56731=CARTESIAN_POINT('',(2.49999992490753,5.25000022715519,8.74999955507712)); #56732=CARTESIAN_POINT('',(2.74999992490753,5.25000022715519,8.74999955507712)); #56733=CARTESIAN_POINT('',(2.74999992490753,5.37500022715519,8.74999954662921)); #56734=CARTESIAN_POINT('',(2.74999992490753,5.25000022715519,8.74999955507712)); #56735=CARTESIAN_POINT('',(2.49999992490753,5.37500022715519,8.74999954662921)); #56736=CARTESIAN_POINT('',(2.74999992490753,5.3750002271552,8.74999954662921)); #56737=CARTESIAN_POINT('',(2.49999992490753,5.25000022715519,8.74999955507712)); #56738=CARTESIAN_POINT('Origin',(2.49999992490753,5.25000032853005,10.2499995550771)); #56739=CARTESIAN_POINT('',(2.49999992490753,5.25000032853005,10.2499995550771)); #56740=CARTESIAN_POINT('',(2.49999992490753,5.25000022715519,8.74999955507712)); #56741=CARTESIAN_POINT('',(2.49999992490753,5.37500032853005,10.2499995466292)); #56742=CARTESIAN_POINT('',(2.49999992490753,5.3750002271552,8.74999954662921)); #56743=CARTESIAN_POINT('',(2.49999992490753,5.25000032853005,10.2499995550771)); #56744=CARTESIAN_POINT('Origin',(-2.49999995494452,5.25000032853005,10.2499995550771)); #56745=CARTESIAN_POINT('',(-2.49999995494452,5.25000032853005,10.2499995550771)); #56746=CARTESIAN_POINT('',(2.49999992490753,5.25000032853005,10.2499995550771)); #56747=CARTESIAN_POINT('',(-2.49999995494452,5.37500032853005,10.2499995466292)); #56748=CARTESIAN_POINT('',(2.49999992490753,5.37500032853006,10.2499995466292)); #56749=CARTESIAN_POINT('',(-2.49999995494452,5.25000032853005,10.2499995550771)); #56750=CARTESIAN_POINT('Origin',(-2.49999995494452,5.25000022715519,8.74999955507712)); #56751=CARTESIAN_POINT('',(-2.49999995494452,5.25000022715519,8.74999955507712)); #56752=CARTESIAN_POINT('',(-2.49999995494452,5.25000032853005,10.2499995550771)); #56753=CARTESIAN_POINT('',(-2.49999995494452,5.37500022715519,8.74999954662921)); #56754=CARTESIAN_POINT('',(-2.49999995494452,5.37500032853006,10.2499995466292)); #56755=CARTESIAN_POINT('',(-2.49999995494452,5.25000022715519,8.74999955507712)); #56756=CARTESIAN_POINT('Origin',(-2.74999995494452,5.25000022715519,8.74999955507712)); #56757=CARTESIAN_POINT('',(-2.74999995494452,5.25000022715519,8.74999955507712)); #56758=CARTESIAN_POINT('',(-2.49999995494452,5.25000022715519,8.74999955507712)); #56759=CARTESIAN_POINT('',(-2.74999995494452,5.37500022715519,8.74999954662921)); #56760=CARTESIAN_POINT('',(-2.49999995494452,5.3750002271552,8.74999954662921)); #56761=CARTESIAN_POINT('',(-2.74999995494452,5.25000022715519,8.74999955507712)); #56762=CARTESIAN_POINT('Origin',(-2.74999995494452,5.25000015957195,7.74999955507712)); #56763=CARTESIAN_POINT('',(-2.74999995494452,5.25000015957195,7.74999955507712)); #56764=CARTESIAN_POINT('',(-2.74999995494452,5.25000022715519,8.74999955507712)); #56765=CARTESIAN_POINT('',(-2.74999995494452,5.37500015957195,7.74999954662922)); #56766=CARTESIAN_POINT('',(-2.74999995494452,5.3750002271552,8.74999954662921)); #56767=CARTESIAN_POINT('',(-2.74999995494452,5.25000015957195,7.74999955507712)); #56768=CARTESIAN_POINT('Origin',(-2.49999995494453,5.25000015957195,7.74999955507712)); #56769=CARTESIAN_POINT('',(-2.49999995494453,5.25000015957195,7.74999955507712)); #56770=CARTESIAN_POINT('',(-2.49999995494453,5.25000015957195,7.74999955507712)); #56771=CARTESIAN_POINT('',(-2.49999995494453,5.37500015957195,7.74999954662922)); #56772=CARTESIAN_POINT('',(-2.49999995494453,5.37500015957196,7.74999954662921)); #56773=CARTESIAN_POINT('',(-2.49999995494453,5.25000015957195,7.74999955507712)); #56774=CARTESIAN_POINT('Origin',(-2.49999995494452,5.25000005819709,6.24999955507709)); #56775=CARTESIAN_POINT('',(-2.49999995494452,5.25000005819709,6.24999955507709)); #56776=CARTESIAN_POINT('',(-2.49999995494452,5.25000005819709,6.24999955507709)); #56777=CARTESIAN_POINT('',(-2.49999995494452,5.37500005819709,6.24999954662918)); #56778=CARTESIAN_POINT('',(-2.49999995494452,5.3750000581971,6.24999954662918)); #56779=CARTESIAN_POINT('',(-2.49999995494452,5.25000005819709,6.24999955507709)); #56780=CARTESIAN_POINT('Origin',(2.49999992490754,5.25000005819709,6.24999955507712)); #56781=CARTESIAN_POINT('',(2.49999992490754,5.25000005819709,6.24999955507712)); #56782=CARTESIAN_POINT('',(2.49999992490754,5.25000005819709,6.24999955507712)); #56783=CARTESIAN_POINT('',(2.49999992490754,5.37500005819709,6.24999954662922)); #56784=CARTESIAN_POINT('',(2.49999992490754,5.3750000581971,6.24999954662922)); #56785=CARTESIAN_POINT('',(2.49999992490754,5.25000005819709,6.24999955507712)); #56786=CARTESIAN_POINT('Origin',(2.49999992490753,5.25000015957195,7.74999955507712)); #56787=CARTESIAN_POINT('',(2.49999992490753,5.25000015957195,7.74999955507712)); #56788=CARTESIAN_POINT('',(2.49999992490753,5.25000015957195,7.74999955507712)); #56789=CARTESIAN_POINT('',(2.49999992490753,5.37500015957195,7.74999954662921)); #56790=CARTESIAN_POINT('',(2.49999992490753,5.37500015957196,7.74999954662921)); #56791=CARTESIAN_POINT('',(2.49999992490753,5.25000015957195,7.74999955507712)); #56792=CARTESIAN_POINT('Origin',(2.74999992490753,5.25000015957195,7.74999955507712)); #56793=CARTESIAN_POINT('',(2.74999992490753,5.25000015957195,7.74999955507712)); #56794=CARTESIAN_POINT('',(2.74999992490753,5.25000015957195,7.74999955507712)); #56795=CARTESIAN_POINT('',(2.74999992490753,5.37500015957195,7.74999954662922)); #56796=CARTESIAN_POINT('',(2.74999992490753,5.37500015957196,7.74999954662921)); #56797=CARTESIAN_POINT('',(2.74999992490753,5.25000015957195,7.74999955507712)); #56798=CARTESIAN_POINT('Origin',(2.74999992490753,5.25000022715519,8.74999955507712)); #56799=CARTESIAN_POINT('',(2.74999992490753,5.25000022715519,8.74999955507712)); #56800=CARTESIAN_POINT('',(2.74999992490753,5.3750002271552,8.74999954662921)); #56801=CARTESIAN_POINT('Origin',(-1.50184917870329E-8,5.37500019336358, 8.24999954662919)); #56802=CARTESIAN_POINT('Origin',(-1.50184917870329E-8,5.25000019336357, 8.2499995550771)); #56803=CARTESIAN_POINT('Origin',(2.00000004787145,2.00000004787145,9.74999990988904)); #56804=CARTESIAN_POINT('',(1.75000004787145,2.12000004787145,9.74999990988904)); #56805=CARTESIAN_POINT('Origin',(1.75000004787145,2.00000004787145,9.74999990988904)); #56806=CARTESIAN_POINT('',(2.00000004787145,2.12000004787145,9.74999990988904)); #56807=CARTESIAN_POINT('',(2.00000004787145,2.12000004787145,9.74999990988904)); #56808=CARTESIAN_POINT('Origin',(2.00000004787145,2.00000004787145,9.74999990988904)); #56809=CARTESIAN_POINT('Origin',(2.00000004787145,-2.69165380833743,9.64621415681669)); #56810=CARTESIAN_POINT('',(1.75000004787145,-2.61665380833743,9.64621415681669)); #56811=CARTESIAN_POINT('Origin',(1.75000004787145,-2.69165380833743,9.64621415681669)); #56812=CARTESIAN_POINT('',(2.00000004787145,-2.61665380833743,9.64621415681669)); #56813=CARTESIAN_POINT('',(2.00000004787145,-2.61665380833743,9.64621415681669)); #56814=CARTESIAN_POINT('Origin',(2.00000004787145,-2.69165380833743,9.64621415681669)); #56815=CARTESIAN_POINT('Origin',(2.00000004787145,-3.50000011170004,6.50000020744294)); #56816=CARTESIAN_POINT('',(1.75000004787145,-3.42500011170004,6.50000020744294)); #56817=CARTESIAN_POINT('Origin',(1.75000004787145,-3.50000011170004,6.50000020744294)); #56818=CARTESIAN_POINT('',(2.00000004787145,-3.42500011170004,6.50000020744294)); #56819=CARTESIAN_POINT('',(2.00000004787145,-3.42500011170004,6.50000020744294)); #56820=CARTESIAN_POINT('Origin',(2.00000004787145,-3.50000011170004,6.50000020744294)); #56821=CARTESIAN_POINT('Origin',(2.00000004787145,-2.84837979228663,9.31793017429627)); #56822=CARTESIAN_POINT('',(1.75000004787145,-2.77337979228663,9.31793017429627)); #56823=CARTESIAN_POINT('Origin',(1.75000004787145,-2.84837979228663,9.31793017429627)); #56824=CARTESIAN_POINT('',(2.00000004787145,-2.77337979228663,9.31793017429627)); #56825=CARTESIAN_POINT('',(2.00000004787145,-2.77337979228663,9.31793017429627)); #56826=CARTESIAN_POINT('Origin',(2.00000004787145,-2.84837979228663,9.31793017429627)); #56827=CARTESIAN_POINT('Origin',(2.00000004787145,2.00000007623894,6.74999986852114)); #56828=CARTESIAN_POINT('',(1.75000004787145,2.12000007623894,6.74999986852114)); #56829=CARTESIAN_POINT('Origin',(1.75000004787145,2.00000007623894,6.74999986852114)); #56830=CARTESIAN_POINT('',(2.00000004787145,2.12000007623894,6.74999986852114)); #56831=CARTESIAN_POINT('',(2.00000004787145,2.12000007623894,6.74999986852114)); #56832=CARTESIAN_POINT('Origin',(2.00000004787145,2.00000007623894,6.74999986852114)); #56833=CARTESIAN_POINT('Origin',(2.00000004787145,-2.50000007978574,6.74999986852114)); #56834=CARTESIAN_POINT('',(1.75000004787145,-2.38000007978574,6.74999986852114)); #56835=CARTESIAN_POINT('Origin',(1.75000004787145,-2.50000007978574,6.74999986852114)); #56836=CARTESIAN_POINT('',(2.00000004787145,-2.38000007978574,6.74999986852114)); #56837=CARTESIAN_POINT('',(2.00000004787145,-2.38000007978574,6.74999986852114)); #56838=CARTESIAN_POINT('Origin',(2.00000004787145,-2.50000007978574,6.74999986852114)); #56839=CARTESIAN_POINT('Origin',(2.00000004787145,-3.11322055384534,8.64052186412022)); #56840=CARTESIAN_POINT('',(1.75000004787145,-3.03822055384534,8.64052186412022)); #56841=CARTESIAN_POINT('Origin',(1.75000004787145,-3.11322055384534,8.64052186412022)); #56842=CARTESIAN_POINT('',(2.00000004787145,-3.03822055384534,8.64052186412022)); #56843=CARTESIAN_POINT('',(2.00000004787145,-3.03822055384534,8.64052186412022)); #56844=CARTESIAN_POINT('Origin',(2.00000004787145,-3.11322055384534,8.64052186412022)); #56845=CARTESIAN_POINT('Origin',(2.00000004787145,-2.98901220203297,8.98243660870484)); #56846=CARTESIAN_POINT('',(1.75000004787145,-2.91401220203297,8.98243660870484)); #56847=CARTESIAN_POINT('Origin',(1.75000004787145,-2.98901220203297,8.98243660870484)); #56848=CARTESIAN_POINT('',(2.00000004787145,-2.91401220203297,8.98243660870484)); #56849=CARTESIAN_POINT('',(2.00000004787145,-2.91401220203297,8.98243660870484)); #56850=CARTESIAN_POINT('Origin',(2.00000004787145,-2.98901220203297,8.98243660870484)); #56851=CARTESIAN_POINT('Origin',(2.00000004787145,-3.22071296024478,8.29298943427982)); #56852=CARTESIAN_POINT('',(1.75000004787145,-3.14571296024478,8.29298943427982)); #56853=CARTESIAN_POINT('Origin',(1.75000004787145,-3.22071296024478,8.29298943427982)); #56854=CARTESIAN_POINT('',(2.00000004787145,-3.14571296024478,8.29298943427982)); #56855=CARTESIAN_POINT('',(2.00000004787145,-3.14571296024478,8.29298943427982)); #56856=CARTESIAN_POINT('Origin',(2.00000004787145,-3.22071296024478,8.29298943427982)); #56857=CARTESIAN_POINT('Origin',(2.00000004787145,-3.311236815935,7.94065601438428)); #56858=CARTESIAN_POINT('',(1.75000004787145,-3.236236815935,7.94065601438428)); #56859=CARTESIAN_POINT('Origin',(1.75000004787145,-3.311236815935,7.94065601438428)); #56860=CARTESIAN_POINT('',(2.00000004787145,-3.236236815935,7.94065601438428)); #56861=CARTESIAN_POINT('',(2.00000004787145,-3.236236815935,7.94065601438428)); #56862=CARTESIAN_POINT('Origin',(2.00000004787145,-3.311236815935,7.94065601438428)); #56863=CARTESIAN_POINT('Origin',(2.00000004787145,-3.38457939142046,7.58434958187784)); #56864=CARTESIAN_POINT('',(1.75000004787145,-3.30957939142046,7.58434958187784)); #56865=CARTESIAN_POINT('Origin',(1.75000004787145,-3.38457939142046,7.58434958187784)); #56866=CARTESIAN_POINT('',(2.00000004787145,-3.30957939142046,7.58434958187784)); #56867=CARTESIAN_POINT('',(2.00000004787145,-3.30957939142046,7.58434958187784)); #56868=CARTESIAN_POINT('Origin',(2.00000004787145,-3.38457939142046,7.58434958187784)); #56869=CARTESIAN_POINT('Origin',(2.00000004787145,-3.440568332917,7.22490745071565)); #56870=CARTESIAN_POINT('',(1.75000004787145,-3.365568332917,7.22490745071565)); #56871=CARTESIAN_POINT('Origin',(1.75000004787145,-3.440568332917,7.22490745071565)); #56872=CARTESIAN_POINT('',(2.00000004787145,-3.365568332917,7.22490745071565)); #56873=CARTESIAN_POINT('',(2.00000004787145,-3.365568332917,7.22490745071565)); #56874=CARTESIAN_POINT('Origin',(2.00000004787145,-3.440568332917,7.22490745071565)); #56875=CARTESIAN_POINT('Origin',(2.00000004787145,-3.47907206738026,6.86317430369054)); #56876=CARTESIAN_POINT('',(1.75000004787145,-3.40407206738026,6.86317430369054)); #56877=CARTESIAN_POINT('Origin',(1.75000004787145,-3.47907206738026,6.86317430369054)); #56878=CARTESIAN_POINT('',(2.00000004787145,-3.40407206738026,6.86317430369054)); #56879=CARTESIAN_POINT('',(2.00000004787145,-3.40407206738026,6.86317430369054)); #56880=CARTESIAN_POINT('Origin',(2.00000004787145,-3.47907206738026,6.86317430369054)); #56881=CARTESIAN_POINT('Origin',(2.00000004787145,-3.00000009574289,5.99999990988904)); #56882=CARTESIAN_POINT('',(2.00000004787145,-3.00000009574289,6.25000019946436)); #56883=CARTESIAN_POINT('',(2.00000004787145,-3.00000009574289,5.99999990988904)); #56884=CARTESIAN_POINT('',(2.00000004787145,-3.00000009574289,6.25000019946436)); #56885=CARTESIAN_POINT('',(1.75000004787145,-3.00000009574289,6.25000019946436)); #56886=CARTESIAN_POINT('',(2.00000004787145,-3.00000009574289,6.25000019946436)); #56887=CARTESIAN_POINT('',(1.75000004787145,-3.00000009574289,5.99999990988904)); #56888=CARTESIAN_POINT('',(1.75000004787145,-3.00000009574289,6.25000019946436)); #56889=CARTESIAN_POINT('',(2.00000004787145,-3.00000009574289,5.99999990988904)); #56890=CARTESIAN_POINT('Origin',(2.00000004787145,-2.0000000638286,5.99999990988904)); #56891=CARTESIAN_POINT('',(2.00000004787145,-2.0000000638286,5.99999990988904)); #56892=CARTESIAN_POINT('',(2.00000004787145,-2.0000000638286,5.99999990988904)); #56893=CARTESIAN_POINT('',(1.75000004787145,-2.0000000638286,5.99999990988904)); #56894=CARTESIAN_POINT('',(1.75000004787145,-2.0000000638286,5.99999990988904)); #56895=CARTESIAN_POINT('',(2.00000004787145,-2.0000000638286,5.99999990988904)); #56896=CARTESIAN_POINT('Origin',(2.00000004787145,-2.0000000638286,6.25000019946436)); #56897=CARTESIAN_POINT('',(2.00000004787145,-2.0000000638286,6.25000019946436)); #56898=CARTESIAN_POINT('',(2.00000004787145,-2.0000000638286,5.99999990988904)); #56899=CARTESIAN_POINT('',(1.75000004787145,-2.0000000638286,6.25000019946436)); #56900=CARTESIAN_POINT('',(1.75000004787145,-2.0000000638286,5.99999990988904)); #56901=CARTESIAN_POINT('',(2.00000004787145,-2.0000000638286,6.25000019946436)); #56902=CARTESIAN_POINT('Origin',(2.00000004787145,1.50000004787145,6.24999990988904)); #56903=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,6.24999990988904)); #56904=CARTESIAN_POINT('',(2.00000004787145,-2.0000000638286,6.25000019946436)); #56905=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,6.24999990988904)); #56906=CARTESIAN_POINT('',(1.75000004787145,-2.0000000638286,6.25000019946436)); #56907=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,6.24999990988904)); #56908=CARTESIAN_POINT('Origin',(2.00000004787145,1.50000004787145,5.99999990988904)); #56909=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,5.99999990988904)); #56910=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,5.99999990988904)); #56911=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,5.99999990988904)); #56912=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,5.99999990988904)); #56913=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,5.99999990988904)); #56914=CARTESIAN_POINT('Origin',(2.00000004787145,2.50000004787145,5.99999990988904)); #56915=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,5.99999990988904)); #56916=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,5.99999990988904)); #56917=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,5.99999990988904)); #56918=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,5.99999990988904)); #56919=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,5.99999990988904)); #56920=CARTESIAN_POINT('Origin',(2.00000004787145,2.50000004787145,10.499999909889)); #56921=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,10.499999909889)); #56922=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,10.499999909889)); #56923=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,10.499999909889)); #56924=CARTESIAN_POINT('',(1.75000004787145,2.50000004787145,10.499999909889)); #56925=CARTESIAN_POINT('',(2.00000004787145,2.50000004787145,10.499999909889)); #56926=CARTESIAN_POINT('Origin',(2.00000004787145,1.50000004787145,10.499999909889)); #56927=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,10.499999909889)); #56928=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,10.499999909889)); #56929=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,10.499999909889)); #56930=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,10.499999909889)); #56931=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,10.499999909889)); #56932=CARTESIAN_POINT('Origin',(2.00000004787145,1.50000004787145,10.249999909889)); #56933=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,10.249999909889)); #56934=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,10.249999909889)); #56935=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,10.249999909889)); #56936=CARTESIAN_POINT('',(1.75000004787145,1.50000004787145,10.249999909889)); #56937=CARTESIAN_POINT('',(2.00000004787145,1.50000004787145,10.249999909889)); #56938=CARTESIAN_POINT('Origin',(2.00000004787145,-3.49999995212855,10.249999909889)); #56939=CARTESIAN_POINT('',(2.00000004787145,-3.49999995212855,10.249999909889)); #56940=CARTESIAN_POINT('',(2.00000004787145,-3.49999995212855,10.249999909889)); #56941=CARTESIAN_POINT('',(1.75000004787145,-3.49999995212855,10.249999909889)); #56942=CARTESIAN_POINT('',(1.75000004787145,-3.49999995212855,10.249999909889)); #56943=CARTESIAN_POINT('',(2.00000004787145,-3.49999995212855,10.249999909889)); #56944=CARTESIAN_POINT('Origin',(2.00000004787145,-3.49999995212855,10.499999909889)); #56945=CARTESIAN_POINT('',(2.00000004787145,-3.49999995212855,10.499999909889)); #56946=CARTESIAN_POINT('',(2.00000004787145,-3.49999995212855,10.499999909889)); #56947=CARTESIAN_POINT('',(1.75000004787145,-3.49999995212855,10.499999909889)); #56948=CARTESIAN_POINT('',(1.75000004787145,-3.49999995212855,10.499999909889)); #56949=CARTESIAN_POINT('',(2.00000004787145,-3.49999995212855,10.499999909889)); #56950=CARTESIAN_POINT('Origin',(2.00000004787145,-4.49999995212855,10.499999909889)); #56951=CARTESIAN_POINT('',(2.00000004787145,-4.49999995212855,10.499999909889)); #56952=CARTESIAN_POINT('',(2.00000004787145,-4.49999995212855,10.499999909889)); #56953=CARTESIAN_POINT('',(1.75000004787145,-4.49999995212855,10.499999909889)); #56954=CARTESIAN_POINT('',(1.75000004787145,-4.49999995212855,10.499999909889)); #56955=CARTESIAN_POINT('',(2.00000004787145,-4.49999995212855,10.499999909889)); #56956=CARTESIAN_POINT('Origin',(2.00000004787145,-4.5,5.99999990988904)); #56957=CARTESIAN_POINT('',(2.00000004787145,-4.5,5.99999990988904)); #56958=CARTESIAN_POINT('',(2.00000004787145,-4.5,5.99999990988904)); #56959=CARTESIAN_POINT('',(1.75000004787145,-4.5,5.99999990988904)); #56960=CARTESIAN_POINT('',(1.75000004787145,-4.5,5.99999990988904)); #56961=CARTESIAN_POINT('',(2.00000004787145,-4.5,5.99999990988904)); #56962=CARTESIAN_POINT('Origin',(2.00000004787145,-3.4999999680857,5.99999990988904)); #56963=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,5.99999990988904)); #56964=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,5.99999990988904)); #56965=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,5.99999990988904)); #56966=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,5.99999990988904)); #56967=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,5.99999990988904)); #56968=CARTESIAN_POINT('Origin',(2.00000004787145,-3.4999999680857,6.24999990988904)); #56969=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,6.24999990988904)); #56970=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,6.24999990988904)); #56971=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,6.24999990988904)); #56972=CARTESIAN_POINT('',(1.75000004787145,-3.4999999680857,6.24999990988904)); #56973=CARTESIAN_POINT('',(2.00000004787145,-3.4999999680857,6.24999990988904)); #56974=CARTESIAN_POINT('Origin',(2.00000004787145,-3.00000009574289,6.25000019946436)); #56975=CARTESIAN_POINT('',(2.00000004787145,-3.49999995212855,6.25000019946436)); #56976=CARTESIAN_POINT('',(1.75000004787145,-3.49999995212855,6.25000019946436)); #56977=CARTESIAN_POINT('Origin',(1.75000004787145,-0.999999976064277,8.24999990988904)); #56978=CARTESIAN_POINT('Origin',(2.00000004787145,-0.999999976064277,8.24999990988904)); #56979=CARTESIAN_POINT('Origin',(-2.00000004787145,2.00000004787145,9.74999990988904)); #56980=CARTESIAN_POINT('',(-1.75000004787145,2.12000004787145,9.74999990988904)); #56981=CARTESIAN_POINT('Origin',(-1.75000004787145,2.00000004787145,9.74999990988904)); #56982=CARTESIAN_POINT('',(-2.00000004787145,2.12000004787145,9.74999990988904)); #56983=CARTESIAN_POINT('',(-2.00000004787145,2.12000004787145,9.74999990988904)); #56984=CARTESIAN_POINT('Origin',(-2.00000004787145,2.00000004787145,9.74999990988904)); #56985=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.69165380833743,9.64621415681669)); #56986=CARTESIAN_POINT('',(-1.75000004787145,-2.61665380833743,9.64621415681669)); #56987=CARTESIAN_POINT('Origin',(-1.75000004787145,-2.69165380833743,9.64621415681669)); #56988=CARTESIAN_POINT('',(-2.00000004787145,-2.61665380833743,9.64621415681669)); #56989=CARTESIAN_POINT('',(-2.00000004787145,-2.61665380833743,9.64621415681669)); #56990=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.69165380833743,9.64621415681669)); #56991=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.50000011170004,6.50000020744294)); #56992=CARTESIAN_POINT('',(-1.75000004787145,-3.42500011170004,6.50000020744294)); #56993=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.50000011170004,6.50000020744294)); #56994=CARTESIAN_POINT('',(-2.00000004787145,-3.42500011170004,6.50000020744294)); #56995=CARTESIAN_POINT('',(-2.00000004787145,-3.42500011170004,6.50000020744294)); #56996=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.50000011170004,6.50000020744294)); #56997=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.84837979228663,9.31793017429627)); #56998=CARTESIAN_POINT('',(-1.75000004787145,-2.77337979228663,9.31793017429627)); #56999=CARTESIAN_POINT('Origin',(-1.75000004787145,-2.84837979228663,9.31793017429627)); #57000=CARTESIAN_POINT('',(-2.00000004787145,-2.77337979228663,9.31793017429627)); #57001=CARTESIAN_POINT('',(-2.00000004787145,-2.77337979228663,9.31793017429627)); #57002=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.84837979228663,9.31793017429627)); #57003=CARTESIAN_POINT('Origin',(-2.00000004787145,2.00000007623894,6.74999986852114)); #57004=CARTESIAN_POINT('',(-1.75000004787145,2.12000007623894,6.74999986852114)); #57005=CARTESIAN_POINT('Origin',(-1.75000004787145,2.00000007623894,6.74999986852114)); #57006=CARTESIAN_POINT('',(-2.00000004787145,2.12000007623894,6.74999986852114)); #57007=CARTESIAN_POINT('',(-2.00000004787145,2.12000007623894,6.74999986852114)); #57008=CARTESIAN_POINT('Origin',(-2.00000004787145,2.00000007623894,6.74999986852114)); #57009=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.50000007978574,6.74999986852114)); #57010=CARTESIAN_POINT('',(-1.75000004787145,-2.38000007978574,6.74999986852114)); #57011=CARTESIAN_POINT('Origin',(-1.75000004787145,-2.50000007978574,6.74999986852114)); #57012=CARTESIAN_POINT('',(-2.00000004787145,-2.38000007978574,6.74999986852114)); #57013=CARTESIAN_POINT('',(-2.00000004787145,-2.38000007978574,6.74999986852114)); #57014=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.50000007978574,6.74999986852114)); #57015=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.11322055384534,8.64052186412022)); #57016=CARTESIAN_POINT('',(-1.75000004787145,-3.03822055384534,8.64052186412022)); #57017=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.11322055384534,8.64052186412022)); #57018=CARTESIAN_POINT('',(-2.00000004787145,-3.03822055384534,8.64052186412022)); #57019=CARTESIAN_POINT('',(-2.00000004787145,-3.03822055384534,8.64052186412022)); #57020=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.11322055384534,8.64052186412022)); #57021=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.98901220203297,8.98243660870484)); #57022=CARTESIAN_POINT('',(-1.75000004787145,-2.91401220203297,8.98243660870484)); #57023=CARTESIAN_POINT('Origin',(-1.75000004787145,-2.98901220203297,8.98243660870484)); #57024=CARTESIAN_POINT('',(-2.00000004787145,-2.91401220203297,8.98243660870484)); #57025=CARTESIAN_POINT('',(-2.00000004787145,-2.91401220203297,8.98243660870484)); #57026=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.98901220203297,8.98243660870484)); #57027=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.22071296024478,8.29298943427982)); #57028=CARTESIAN_POINT('',(-1.75000004787145,-3.14571296024478,8.29298943427982)); #57029=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.22071296024478,8.29298943427982)); #57030=CARTESIAN_POINT('',(-2.00000004787145,-3.14571296024478,8.29298943427982)); #57031=CARTESIAN_POINT('',(-2.00000004787145,-3.14571296024478,8.29298943427982)); #57032=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.22071296024478,8.29298943427982)); #57033=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.311236815935,7.94065601438428)); #57034=CARTESIAN_POINT('',(-1.75000004787145,-3.236236815935,7.94065601438428)); #57035=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.311236815935,7.94065601438428)); #57036=CARTESIAN_POINT('',(-2.00000004787145,-3.236236815935,7.94065601438428)); #57037=CARTESIAN_POINT('',(-2.00000004787145,-3.236236815935,7.94065601438428)); #57038=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.311236815935,7.94065601438428)); #57039=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.38457939142046,7.58434958187784)); #57040=CARTESIAN_POINT('',(-1.75000004787145,-3.30957939142046,7.58434958187784)); #57041=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.38457939142046,7.58434958187784)); #57042=CARTESIAN_POINT('',(-2.00000004787145,-3.30957939142046,7.58434958187784)); #57043=CARTESIAN_POINT('',(-2.00000004787145,-3.30957939142046,7.58434958187784)); #57044=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.38457939142046,7.58434958187784)); #57045=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.440568332917,7.22490745071565)); #57046=CARTESIAN_POINT('',(-1.75000004787145,-3.365568332917,7.22490745071565)); #57047=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.440568332917,7.22490745071565)); #57048=CARTESIAN_POINT('',(-2.00000004787145,-3.365568332917,7.22490745071565)); #57049=CARTESIAN_POINT('',(-2.00000004787145,-3.365568332917,7.22490745071565)); #57050=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.440568332917,7.22490745071565)); #57051=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.47907206738026,6.86317430369054)); #57052=CARTESIAN_POINT('',(-1.75000004787145,-3.40407206738026,6.86317430369054)); #57053=CARTESIAN_POINT('Origin',(-1.75000004787145,-3.47907206738026,6.86317430369054)); #57054=CARTESIAN_POINT('',(-2.00000004787145,-3.40407206738026,6.86317430369054)); #57055=CARTESIAN_POINT('',(-2.00000004787145,-3.40407206738026,6.86317430369054)); #57056=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.47907206738026,6.86317430369054)); #57057=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.00000009574289,5.99999990988904)); #57058=CARTESIAN_POINT('',(-2.00000004787145,-3.00000009574289,6.25000019946436)); #57059=CARTESIAN_POINT('',(-2.00000004787145,-3.00000009574289,5.99999990988904)); #57060=CARTESIAN_POINT('',(-2.00000004787145,-3.00000009574289,6.25000019946436)); #57061=CARTESIAN_POINT('',(-1.75000004787145,-3.00000009574289,5.99999990988904)); #57062=CARTESIAN_POINT('',(-2.00000004787145,-3.00000009574289,5.99999990988904)); #57063=CARTESIAN_POINT('',(-1.75000004787145,-3.00000009574289,6.25000019946436)); #57064=CARTESIAN_POINT('',(-1.75000004787145,-3.00000009574289,6.25000019946436)); #57065=CARTESIAN_POINT('',(-2.00000004787145,-3.00000009574289,6.25000019946436)); #57066=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.0000000638286,5.99999990988904)); #57067=CARTESIAN_POINT('',(-2.00000004787145,-2.0000000638286,5.99999990988904)); #57068=CARTESIAN_POINT('',(-2.00000004787145,-2.0000000638286,5.99999990988904)); #57069=CARTESIAN_POINT('',(-1.75000004787145,-2.0000000638286,5.99999990988904)); #57070=CARTESIAN_POINT('',(-2.00000004787145,-2.0000000638286,5.99999990988904)); #57071=CARTESIAN_POINT('',(-1.75000004787145,-2.0000000638286,5.99999990988904)); #57072=CARTESIAN_POINT('Origin',(-2.00000004787145,-2.0000000638286,6.25000019946436)); #57073=CARTESIAN_POINT('',(-2.00000004787145,-2.0000000638286,6.25000019946436)); #57074=CARTESIAN_POINT('',(-2.00000004787145,-2.0000000638286,5.99999990988904)); #57075=CARTESIAN_POINT('',(-1.75000004787145,-2.0000000638286,6.25000019946436)); #57076=CARTESIAN_POINT('',(-2.00000004787145,-2.0000000638286,6.25000019946436)); #57077=CARTESIAN_POINT('',(-1.75000004787145,-2.0000000638286,5.99999990988904)); #57078=CARTESIAN_POINT('Origin',(-2.00000004787145,1.50000004787145,6.24999990988904)); #57079=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,6.24999990988904)); #57080=CARTESIAN_POINT('',(-2.00000004787145,-2.0000000638286,6.25000019946436)); #57081=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,6.24999990988904)); #57082=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,6.24999990988904)); #57083=CARTESIAN_POINT('',(-1.75000004787145,-2.0000000638286,6.25000019946436)); #57084=CARTESIAN_POINT('Origin',(-2.00000004787145,1.50000004787145,5.99999990988904)); #57085=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,5.99999990988904)); #57086=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,5.99999990988904)); #57087=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,5.99999990988904)); #57088=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,5.99999990988904)); #57089=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,5.99999990988904)); #57090=CARTESIAN_POINT('Origin',(-2.00000004787145,2.50000004787145,5.99999990988904)); #57091=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,5.99999990988904)); #57092=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,5.99999990988904)); #57093=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,5.99999990988904)); #57094=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,5.99999990988904)); #57095=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,5.99999990988904)); #57096=CARTESIAN_POINT('Origin',(-2.00000004787145,2.50000004787145,10.499999909889)); #57097=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,10.499999909889)); #57098=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,10.499999909889)); #57099=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,10.499999909889)); #57100=CARTESIAN_POINT('',(-2.00000004787145,2.50000004787145,10.499999909889)); #57101=CARTESIAN_POINT('',(-1.75000004787145,2.50000004787145,10.499999909889)); #57102=CARTESIAN_POINT('Origin',(-2.00000004787145,1.50000004787145,10.499999909889)); #57103=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,10.499999909889)); #57104=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,10.499999909889)); #57105=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,10.499999909889)); #57106=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,10.499999909889)); #57107=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,10.499999909889)); #57108=CARTESIAN_POINT('Origin',(-2.00000004787145,1.50000004787145,10.249999909889)); #57109=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,10.249999909889)); #57110=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,10.249999909889)); #57111=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,10.249999909889)); #57112=CARTESIAN_POINT('',(-2.00000004787145,1.50000004787145,10.249999909889)); #57113=CARTESIAN_POINT('',(-1.75000004787145,1.50000004787145,10.249999909889)); #57114=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.49999995212855,10.249999909889)); #57115=CARTESIAN_POINT('',(-2.00000004787145,-3.49999995212855,10.249999909889)); #57116=CARTESIAN_POINT('',(-2.00000004787145,-3.49999995212855,10.249999909889)); #57117=CARTESIAN_POINT('',(-1.75000004787145,-3.49999995212855,10.249999909889)); #57118=CARTESIAN_POINT('',(-2.00000004787145,-3.49999995212855,10.249999909889)); #57119=CARTESIAN_POINT('',(-1.75000004787145,-3.49999995212855,10.249999909889)); #57120=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.49999995212855,10.499999909889)); #57121=CARTESIAN_POINT('',(-2.00000004787145,-3.49999995212855,10.499999909889)); #57122=CARTESIAN_POINT('',(-2.00000004787145,-3.49999995212855,10.499999909889)); #57123=CARTESIAN_POINT('',(-1.75000004787145,-3.49999995212855,10.499999909889)); #57124=CARTESIAN_POINT('',(-2.00000004787145,-3.49999995212855,10.499999909889)); #57125=CARTESIAN_POINT('',(-1.75000004787145,-3.49999995212855,10.499999909889)); #57126=CARTESIAN_POINT('Origin',(-2.00000004787145,-4.49999995212855,10.499999909889)); #57127=CARTESIAN_POINT('',(-2.00000004787145,-4.49999995212855,10.499999909889)); #57128=CARTESIAN_POINT('',(-2.00000004787145,-4.49999995212855,10.499999909889)); #57129=CARTESIAN_POINT('',(-1.75000004787145,-4.49999995212855,10.499999909889)); #57130=CARTESIAN_POINT('',(-2.00000004787145,-4.49999995212855,10.499999909889)); #57131=CARTESIAN_POINT('',(-1.75000004787145,-4.49999995212855,10.499999909889)); #57132=CARTESIAN_POINT('Origin',(-2.00000004787145,-4.5,5.99999990988904)); #57133=CARTESIAN_POINT('',(-2.00000004787145,-4.5,5.99999990988904)); #57134=CARTESIAN_POINT('',(-2.00000004787145,-4.5,5.99999990988904)); #57135=CARTESIAN_POINT('',(-1.75000004787145,-4.5,5.99999990988904)); #57136=CARTESIAN_POINT('',(-2.00000004787145,-4.5,5.99999990988904)); #57137=CARTESIAN_POINT('',(-1.75000004787145,-4.5,5.99999990988904)); #57138=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #57139=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #57140=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #57141=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,5.99999990988904)); #57142=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,5.99999990988904)); #57143=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,5.99999990988904)); #57144=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.4999999680857,6.24999990988904)); #57145=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,6.24999990988904)); #57146=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,6.24999990988904)); #57147=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,6.24999990988904)); #57148=CARTESIAN_POINT('',(-2.00000004787145,-3.4999999680857,6.24999990988904)); #57149=CARTESIAN_POINT('',(-1.75000004787145,-3.4999999680857,6.24999990988904)); #57150=CARTESIAN_POINT('Origin',(-2.00000004787145,-3.00000009574289,6.25000019946436)); #57151=CARTESIAN_POINT('',(-2.00000004787145,-3.49999995212855,6.25000019946436)); #57152=CARTESIAN_POINT('',(-1.75000004787145,-3.49999995212855,6.25000019946436)); #57153=CARTESIAN_POINT('Origin',(-1.75000004787145,-0.999999976064277,8.24999990988904)); #57154=CARTESIAN_POINT('Origin',(-2.00000004787145,-0.999999976064277,8.24999990988904)); #57155=CARTESIAN_POINT('Origin',(0.462499984981511,4.92548617389274,6.3811116749837)); #57156=CARTESIAN_POINT('',(0.55499998498151,4.97231199806973,6.49700965680455)); #57157=CARTESIAN_POINT('Origin',(0.46249998498151,4.97231199806973,6.49700965680455)); #57158=CARTESIAN_POINT('',(0.55499998498151,4.92548617389274,6.3811116749837)); #57159=CARTESIAN_POINT('',(0.55499998498151,4.92548617389274,6.3811116749837)); #57160=CARTESIAN_POINT('Origin',(0.462499984981511,4.92548617389274,6.3811116749837)); #57161=CARTESIAN_POINT('Origin',(-1.50184976510328E-8,1.91213864655068, 7.59858310358541)); #57162=CARTESIAN_POINT('',(0.0749999849815016,1.95896447072767,7.71448108540626)); #57163=CARTESIAN_POINT('Origin',(-1.50184984159502E-8,1.95896447072767, 7.71448108540626)); #57164=CARTESIAN_POINT('',(0.0749999849815023,1.91213864655068,7.59858310358542)); #57165=CARTESIAN_POINT('',(0.0749999849815023,1.91213864655068,7.59858310358541)); #57166=CARTESIAN_POINT('Origin',(-1.50184976510328E-8,1.91213864655068, 7.59858310358541)); #57167=CARTESIAN_POINT('Origin',(-0.46250001501849,4.92548617389274,6.3811116749837)); #57168=CARTESIAN_POINT('',(-0.37000001501849,4.97231199806973,6.49700965680455)); #57169=CARTESIAN_POINT('Origin',(-0.46250001501849,4.97231199806973,6.49700965680455)); #57170=CARTESIAN_POINT('',(-0.37000001501849,4.92548617389274,6.3811116749837)); #57171=CARTESIAN_POINT('',(-0.37000001501849,4.92548617389274,6.3811116749837)); #57172=CARTESIAN_POINT('Origin',(-0.46250001501849,4.92548617389274,6.3811116749837)); #57173=CARTESIAN_POINT('Origin',(-1.50184926209729E-8,3.76650635568426, 6.84936991675359)); #57174=CARTESIAN_POINT('',(0.0749999849815066,3.81333217986125,6.96526789857444)); #57175=CARTESIAN_POINT('Origin',(-1.50184933858904E-8,3.81333217986125, 6.96526789857444)); #57176=CARTESIAN_POINT('',(0.0749999849815074,3.76650635568426,6.84936991675359)); #57177=CARTESIAN_POINT('',(0.0749999849815074,3.76650635568426,6.84936991675359)); #57178=CARTESIAN_POINT('Origin',(-1.50184926209729E-8,3.76650635568426, 6.84936991675359)); #57179=CARTESIAN_POINT('Origin',(-1.50184951360028E-8,2.83932250111747, 7.2239765101695)); #57180=CARTESIAN_POINT('',(0.0749999849815041,2.88614832529446,7.33987449199035)); #57181=CARTESIAN_POINT('Origin',(-1.50184959009203E-8,2.88614832529446, 7.33987449199035)); #57182=CARTESIAN_POINT('',(0.0749999849815049,2.83932250111747,7.2239765101695)); #57183=CARTESIAN_POINT('',(0.0749999849815049,2.83932250111747,7.2239765101695)); #57184=CARTESIAN_POINT('Origin',(-1.50184951360028E-8,2.83932250111747, 7.2239765101695)); #57185=CARTESIAN_POINT('Origin',(-1.50185077111525E-8,-1.79659677171647, 9.09700947724906)); #57186=CARTESIAN_POINT('',(0.0749999849814915,-1.74977094753948,9.21290745906991)); #57187=CARTESIAN_POINT('Origin',(-1.50185084760699E-8,-1.74977094753948, 9.21290745906991)); #57188=CARTESIAN_POINT('',(0.0749999849814923,-1.79659677171647,9.09700947724907)); #57189=CARTESIAN_POINT('',(0.0749999849814923,-1.79659677171647,9.09700947724906)); #57190=CARTESIAN_POINT('Origin',(-1.50185077111525E-8,-1.79659677171647, 9.09700947724906)); #57191=CARTESIAN_POINT('Origin',(-1.50185026810926E-8,0.0577709374171053, 8.34779629041724)); #57192=CARTESIAN_POINT('',(0.0749999849814965,0.104596761594094,8.46369427223809)); #57193=CARTESIAN_POINT('Origin',(-1.501850344601E-8,0.104596761594094,8.46369427223809)); #57194=CARTESIAN_POINT('',(0.0749999849814973,0.0577709374171053,8.34779629041724)); #57195=CARTESIAN_POINT('',(0.0749999849814973,0.0577709374171053,8.34779629041724)); #57196=CARTESIAN_POINT('Origin',(-1.50185026810926E-8,0.0577709374171053, 8.34779629041724)); #57197=CARTESIAN_POINT('Origin',(-1.50185127412123E-8,-3.65096448085004, 9.84622266408089)); #57198=CARTESIAN_POINT('',(0.0749999849814865,-3.60413865667306,9.96212064590174)); #57199=CARTESIAN_POINT('Origin',(-1.50185135061297E-8,-3.60413865667306, 9.96212064590174)); #57200=CARTESIAN_POINT('',(0.0749999849814872,-3.65096448085004,9.84622266408089)); #57201=CARTESIAN_POINT('',(0.0749999849814872,-3.65096448085004,9.84622266408089)); #57202=CARTESIAN_POINT('Origin',(-1.50185127412123E-8,-3.65096448085004, 9.84622266408089)); #57203=CARTESIAN_POINT('Origin',(-1.50185102261824E-8,-2.72378062628326, 9.47161607066498)); #57204=CARTESIAN_POINT('',(0.074999984981489,-2.67695480210627,9.58751405248583)); #57205=CARTESIAN_POINT('Origin',(-1.50185109910998E-8,-2.67695480210627, 9.58751405248582)); #57206=CARTESIAN_POINT('',(0.0749999849814898,-2.72378062628326,9.47161607066498)); #57207=CARTESIAN_POINT('',(0.0749999849814898,-2.72378062628326,9.47161607066498)); #57208=CARTESIAN_POINT('Origin',(-1.50185102261824E-8,-2.72378062628326, 9.47161607066498)); #57209=CARTESIAN_POINT('Origin',(-1.50185051961225E-8,-0.869412917149682, 8.72240288383315)); #57210=CARTESIAN_POINT('',(0.074999984981494,-0.822587092972693,8.838300865654)); #57211=CARTESIAN_POINT('Origin',(-1.501850596104E-8,-0.822587092972693, 8.838300865654)); #57212=CARTESIAN_POINT('',(0.0749999849814948,-0.869412917149682,8.72240288383315)); #57213=CARTESIAN_POINT('',(0.0749999849814948,-0.869412917149682,8.72240288383315)); #57214=CARTESIAN_POINT('Origin',(-1.50185051961225E-8,-0.869412917149682, 8.72240288383315)); #57215=CARTESIAN_POINT('Origin',(-1.50185001660627E-8,0.984954791983893, 7.97318969700133)); #57216=CARTESIAN_POINT('',(0.0749999849814991,1.03178061616088,8.08908767882218)); #57217=CARTESIAN_POINT('Origin',(-1.50185009309801E-8,1.03178061616088, 8.08908767882217)); #57218=CARTESIAN_POINT('',(0.0749999849814998,0.984954791983893,7.97318969700133)); #57219=CARTESIAN_POINT('',(0.0749999849814998,0.984954791983893,7.97318969700133)); #57220=CARTESIAN_POINT('Origin',(-1.50185001660627E-8,0.984954791983893, 7.97318969700133)); #57221=CARTESIAN_POINT('Origin',(1.74999998498151,5.15728213753444,6.28746002662974)); #57222=CARTESIAN_POINT('',(-1.75000001501849,5.15728213753444,6.28746002662971)); #57223=CARTESIAN_POINT('',(1.74999998498151,5.15728213753444,6.28746002662974)); #57224=CARTESIAN_POINT('',(-1.75000001501849,5.15728213753444,6.28746002662971)); #57225=CARTESIAN_POINT('',(-1.75000001501849,5.20410796171143,6.40335800845056)); #57226=CARTESIAN_POINT('',(-1.75000001501849,5.15728213753444,6.28746002662971)); #57227=CARTESIAN_POINT('',(1.74999998498151,5.20410796171143,6.40335800845058)); #57228=CARTESIAN_POINT('',(-1.75000001501849,5.20410796171143,6.40335800845056)); #57229=CARTESIAN_POINT('',(1.74999998498151,5.15728213753444,6.28746002662974)); #57230=CARTESIAN_POINT('Origin',(1.74999998498149,-3.18737255356665,9.65891936737294)); #57231=CARTESIAN_POINT('',(1.74999998498149,-3.18737255356665,9.65891936737294)); #57232=CARTESIAN_POINT('',(1.74999998498151,5.15728213753444,6.28746002662974)); #57233=CARTESIAN_POINT('',(1.74999998498149,-3.14054672938966,9.77481734919379)); #57234=CARTESIAN_POINT('',(1.74999998498151,5.20410796171143,6.40335800845058)); #57235=CARTESIAN_POINT('',(1.74999998498149,-3.18737255356665,9.65891936737294)); #57236=CARTESIAN_POINT('Origin',(0.749999984981489,-3.18737255356665,9.65891936737294)); #57237=CARTESIAN_POINT('',(0.749999984981486,-4.11455640813343,10.0335259607888)); #57238=CARTESIAN_POINT('Origin',(0.749999984981489,-3.18737255356665,9.65891936737294)); #57239=CARTESIAN_POINT('',(0.749999984981486,-4.06773058395645,10.1494239426097)); #57240=CARTESIAN_POINT('Origin',(0.749999984981488,-3.14054672938966,9.77481734919378)); #57241=CARTESIAN_POINT('',(0.749999984981486,-4.11455640813343,10.0335259607888)); #57242=CARTESIAN_POINT('Origin',(-0.750000015018514,-4.11455640813343,10.0335259607888)); #57243=CARTESIAN_POINT('',(-0.750000015018514,-4.11455640813343,10.0335259607888)); #57244=CARTESIAN_POINT('',(0.749999984981486,-4.11455640813343,10.0335259607888)); #57245=CARTESIAN_POINT('',(-0.750000015018515,-4.06773058395645,10.1494239426097)); #57246=CARTESIAN_POINT('',(0.749999984981486,-4.06773058395645,10.1494239426097)); #57247=CARTESIAN_POINT('',(-0.750000015018514,-4.11455640813343,10.0335259607888)); #57248=CARTESIAN_POINT('Origin',(-0.750000015018512,-3.18737255356665,9.65891936737293)); #57249=CARTESIAN_POINT('',(-1.75000001501851,-3.18737255356665,9.65891936737292)); #57250=CARTESIAN_POINT('Origin',(-0.750000015018512,-3.18737255356665,9.65891936737293)); #57251=CARTESIAN_POINT('',(-1.75000001501851,-3.14054672938966,9.77481734919377)); #57252=CARTESIAN_POINT('Origin',(-0.750000015018512,-3.14054672938966,9.77481734919377)); #57253=CARTESIAN_POINT('',(-1.75000001501851,-3.18737255356665,9.65891936737292)); #57254=CARTESIAN_POINT('Origin',(-1.75000001501849,5.15728213753444,6.28746002662971)); #57255=CARTESIAN_POINT('',(-1.75000001501851,-3.18737255356665,9.65891936737292)); #57256=CARTESIAN_POINT('',(-1.75000001501851,-3.14054672938966,9.77481734919377)); #57257=CARTESIAN_POINT('Origin',(-1.50185021884951E-8,0.56818868887749, 8.27639097553013)); #57258=CARTESIAN_POINT('Origin',(-1.50185014235777E-8,0.521362864700501, 8.16049299370928)); #57259=CARTESIAN_POINT('Origin',(-0.462500015018314,-6.06117587127506,10.9548281184539)); #57260=CARTESIAN_POINT('',(-0.462500015018314,-6.06117587127506,10.9548281184539)); #57261=CARTESIAN_POINT('',(0.462499984981686,-6.06117587127504,10.9548281184539)); #57262=CARTESIAN_POINT('',(-0.462500015018314,-6.06117587127506,10.9548281184539)); #57263=CARTESIAN_POINT('',(0.462499984981682,-5.86944808724328,11.4293710361456)); #57264=CARTESIAN_POINT('',(0.462499984981686,-6.06117587127504,10.9548281184539)); #57265=CARTESIAN_POINT('',(-0.462500015018318,-5.86944808724329,11.4293710361456)); #57266=CARTESIAN_POINT('',(-0.462500015018318,-5.86944808724329,11.4293710361456)); #57267=CARTESIAN_POINT('',(-0.462500015018314,-6.06117587127506,10.9548281184539)); #57268=CARTESIAN_POINT('Origin',(-0.462500015018499,5.06503038352639,6.45954899746296)); #57269=CARTESIAN_POINT('',(-0.462500015018499,5.06503038352639,6.45954899746296)); #57270=CARTESIAN_POINT('',(-0.462500015018499,5.06503038352639,6.45954899746296)); #57271=CARTESIAN_POINT('',(-0.462500015018503,5.25675816755816,6.93409191515462)); #57272=CARTESIAN_POINT('',(-0.462500015018503,5.25675816755816,6.93409191515462)); #57273=CARTESIAN_POINT('',(-0.462500015018499,5.06503038352639,6.45954899746296)); #57274=CARTESIAN_POINT('Origin',(0.462499984981501,5.06503038352641,6.45954899746296)); #57275=CARTESIAN_POINT('',(0.462499984981501,5.06503038352641,6.45954899746296)); #57276=CARTESIAN_POINT('',(0.462499984981501,5.06503038352641,6.45954899746296)); #57277=CARTESIAN_POINT('',(0.462499984981497,5.25675816755818,6.93409191515462)); #57278=CARTESIAN_POINT('',(0.462499984981497,5.25675816755817,6.93409191515462)); #57279=CARTESIAN_POINT('',(0.462499984981501,5.06503038352641,6.45954899746296)); #57280=CARTESIAN_POINT('Origin',(0.462499984981686,-6.06117587127504,10.9548281184539)); #57281=CARTESIAN_POINT('',(0.462499984981686,-6.06117587127504,10.9548281184539)); #57282=CARTESIAN_POINT('',(0.462499984981682,-5.86944808724328,11.4293710361456)); #57283=CARTESIAN_POINT('Origin',(-1.50184104763092E-8,-0.306344959842557, 9.18173147565009)); #57284=CARTESIAN_POINT('Origin',(-1.50184065653243E-8,-0.498072743874324, 8.70718855795843)); #57285=CARTESIAN_POINT('Origin',(-1.2500000638286,5.00000015957149,10.249999909889)); #57286=CARTESIAN_POINT('',(-1.2500000638286,5.00000015957149,10.249999909889)); #57287=CARTESIAN_POINT('',(-1.2500000638286,4.00000012765719,10.249999909889)); #57288=CARTESIAN_POINT('',(-1.2500000638286,5.00000015957149,10.249999909889)); #57289=CARTESIAN_POINT('',(-1.2500000638286,4.00000012765719,10.499999909889)); #57290=CARTESIAN_POINT('',(-1.2500000638286,4.00000012765719,10.249999909889)); #57291=CARTESIAN_POINT('',(-1.2500000638286,5.00000015957149,10.499999909889)); #57292=CARTESIAN_POINT('',(-1.2500000638286,5.00000015957149,10.499999909889)); #57293=CARTESIAN_POINT('',(-1.2500000638286,5.00000015957149,10.249999909889)); #57294=CARTESIAN_POINT('Origin',(-1.5000000638286,5.00000015957149,10.249999909889)); #57295=CARTESIAN_POINT('',(-1.5000000638286,5.00000015957149,10.249999909889)); #57296=CARTESIAN_POINT('',(-1.5000000638286,5.00000015957149,10.249999909889)); #57297=CARTESIAN_POINT('',(-1.5000000638286,5.00000015957149,10.499999909889)); #57298=CARTESIAN_POINT('',(-1.5000000638286,5.00000015957149,10.499999909889)); #57299=CARTESIAN_POINT('',(-1.5000000638286,5.00000015957149,10.249999909889)); #57300=CARTESIAN_POINT('Origin',(-1.5000000638286,4.00000012765719,10.249999909889)); #57301=CARTESIAN_POINT('',(-1.5000000638286,4.00000012765719,10.249999909889)); #57302=CARTESIAN_POINT('',(-1.5000000638286,4.00000012765719,10.249999909889)); #57303=CARTESIAN_POINT('',(-1.5000000638286,4.00000012765719,10.499999909889)); #57304=CARTESIAN_POINT('',(-1.5000000638286,4.00000012765719,10.499999909889)); #57305=CARTESIAN_POINT('',(-1.5000000638286,4.00000012765719,10.249999909889)); #57306=CARTESIAN_POINT('Origin',(-1.2500000638286,4.00000012765719,10.249999909889)); #57307=CARTESIAN_POINT('',(-1.2500000638286,4.00000012765719,10.249999909889)); #57308=CARTESIAN_POINT('',(-1.2500000638286,4.00000012765719,10.499999909889)); #57309=CARTESIAN_POINT('Origin',(-0.750000063828596,4.50000014361434,10.249999909889)); #57310=CARTESIAN_POINT('',(-0.630000063828596,4.50000014361434,10.499999909889)); #57311=CARTESIAN_POINT('Origin',(-0.750000063828596,4.50000014361434,10.499999909889)); #57312=CARTESIAN_POINT('',(-0.630000063828596,4.50000014361434,10.249999909889)); #57313=CARTESIAN_POINT('',(-0.630000063828596,4.50000014361434,10.249999909889)); #57314=CARTESIAN_POINT('Origin',(-0.750000063828596,4.50000014361434,10.249999909889)); #57315=CARTESIAN_POINT('Origin',(-2.2500000638286,5.00000015957149,10.249999909889)); #57316=CARTESIAN_POINT('',(-2.2500000638286,5.00000015957149,10.249999909889)); #57317=CARTESIAN_POINT('',(-2.0000000638286,5.00000015957149,10.249999909889)); #57318=CARTESIAN_POINT('',(-2.0000000638286,5.00000015957149,10.249999909889)); #57319=CARTESIAN_POINT('',(-2.0000000638286,5.00000015957149,10.499999909889)); #57320=CARTESIAN_POINT('',(-2.0000000638286,5.00000015957149,10.249999909889)); #57321=CARTESIAN_POINT('',(-2.2500000638286,5.00000015957149,10.499999909889)); #57322=CARTESIAN_POINT('',(-2.0000000638286,5.00000015957149,10.499999909889)); #57323=CARTESIAN_POINT('',(-2.2500000638286,5.00000015957149,10.249999909889)); #57324=CARTESIAN_POINT('Origin',(-2.2500000638286,4.00000012765719,10.249999909889)); #57325=CARTESIAN_POINT('',(-2.2500000638286,4.00000012765719,10.249999909889)); #57326=CARTESIAN_POINT('',(-2.2500000638286,5.00000015957149,10.249999909889)); #57327=CARTESIAN_POINT('',(-2.2500000638286,4.00000012765719,10.499999909889)); #57328=CARTESIAN_POINT('',(-2.2500000638286,5.00000015957149,10.499999909889)); #57329=CARTESIAN_POINT('',(-2.2500000638286,4.00000012765719,10.249999909889)); #57330=CARTESIAN_POINT('Origin',(-2.0000000638286,4.00000012765719,10.249999909889)); #57331=CARTESIAN_POINT('',(-2.0000000638286,4.00000012765719,10.249999909889)); #57332=CARTESIAN_POINT('',(-2.2500000638286,4.00000012765719,10.249999909889)); #57333=CARTESIAN_POINT('',(-2.0000000638286,4.00000012765719,10.499999909889)); #57334=CARTESIAN_POINT('',(-2.2500000638286,4.00000012765719,10.499999909889)); #57335=CARTESIAN_POINT('',(-2.0000000638286,4.00000012765719,10.249999909889)); #57336=CARTESIAN_POINT('Origin',(-2.0000000638286,5.00000015957149,10.249999909889)); #57337=CARTESIAN_POINT('',(-2.0000000638286,4.00000012765719,10.249999909889)); #57338=CARTESIAN_POINT('',(-2.0000000638286,4.00000012765719,10.499999909889)); #57339=CARTESIAN_POINT('Origin',(-2.2500000638286,4.78714467891405E-8,10.249999909889)); #57340=CARTESIAN_POINT('',(-2.2500000638286,4.78714467891405E-8,10.249999909889)); #57341=CARTESIAN_POINT('',(-2.0000000638286,4.78714467891405E-8,10.249999909889)); #57342=CARTESIAN_POINT('',(-2.0000000638286,4.78714467891405E-8,10.249999909889)); #57343=CARTESIAN_POINT('',(-2.0000000638286,4.78714467891405E-8,10.499999909889)); #57344=CARTESIAN_POINT('',(-2.0000000638286,4.78714467891405E-8,10.249999909889)); #57345=CARTESIAN_POINT('',(-2.2500000638286,4.78714467891405E-8,10.499999909889)); #57346=CARTESIAN_POINT('',(-2.0000000638286,4.78714467891405E-8,10.499999909889)); #57347=CARTESIAN_POINT('',(-2.2500000638286,4.78714467891405E-8,10.249999909889)); #57348=CARTESIAN_POINT('Origin',(-2.2500000638286,-0.999999952128553,10.249999909889)); #57349=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.249999909889)); #57350=CARTESIAN_POINT('',(-2.2500000638286,4.78714467891405E-8,10.249999909889)); #57351=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.499999909889)); #57352=CARTESIAN_POINT('',(-2.2500000638286,4.78714467891405E-8,10.499999909889)); #57353=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.249999909889)); #57354=CARTESIAN_POINT('Origin',(-2.0000000638286,-0.999999952128553,10.249999909889)); #57355=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.249999909889)); #57356=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.249999909889)); #57357=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.499999909889)); #57358=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.499999909889)); #57359=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.249999909889)); #57360=CARTESIAN_POINT('Origin',(-2.0000000638286,4.78714467891405E-8,10.249999909889)); #57361=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.249999909889)); #57362=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.499999909889)); #57363=CARTESIAN_POINT('Origin',(1.74999995494452,2.49999996245377,10.249999909889)); #57364=CARTESIAN_POINT('',(1.74999995494452,2.49999996245377,10.249999909889)); #57365=CARTESIAN_POINT('',(1.99999996996301,2.49999996245377,10.249999909889)); #57366=CARTESIAN_POINT('',(1.74999995494452,2.49999996245377,10.249999909889)); #57367=CARTESIAN_POINT('',(1.99999996996301,2.49999996245377,10.499999909889)); #57368=CARTESIAN_POINT('',(1.99999996996301,2.49999996245377,10.249999909889)); #57369=CARTESIAN_POINT('',(1.74999995494452,2.49999996245377,10.499999909889)); #57370=CARTESIAN_POINT('',(1.74999995494452,2.49999996245377,10.499999909889)); #57371=CARTESIAN_POINT('',(1.74999995494452,2.49999996245377,10.249999909889)); #57372=CARTESIAN_POINT('Origin',(1.74999995494452,3.00000054499375,10.249999909889)); #57373=CARTESIAN_POINT('',(1.74999995494452,3.00000054499375,10.249999909889)); #57374=CARTESIAN_POINT('',(1.74999995494452,2.49999996245377,10.249999909889)); #57375=CARTESIAN_POINT('',(1.74999995494452,3.00000054499375,10.499999909889)); #57376=CARTESIAN_POINT('',(1.74999995494452,2.49999996245377,10.499999909889)); #57377=CARTESIAN_POINT('',(1.74999995494452,3.00000054499375,10.249999909889)); #57378=CARTESIAN_POINT('Origin',(-1.75000004505548,3.00000054499375,10.249999909889)); #57379=CARTESIAN_POINT('',(-1.75000004505548,3.00000054499375,10.249999909889)); #57380=CARTESIAN_POINT('',(1.74999995494452,3.00000054499375,10.249999909889)); #57381=CARTESIAN_POINT('',(-1.75000004505548,3.00000054499375,10.499999909889)); #57382=CARTESIAN_POINT('',(1.74999995494452,3.00000054499375,10.499999909889)); #57383=CARTESIAN_POINT('',(-1.75000004505548,3.00000054499375,10.249999909889)); #57384=CARTESIAN_POINT('Origin',(-1.75000004505548,2.49999996245377,10.249999909889)); #57385=CARTESIAN_POINT('',(-1.75000004505548,2.49999996245377,10.249999909889)); #57386=CARTESIAN_POINT('',(-1.75000004505548,3.00000054499375,10.249999909889)); #57387=CARTESIAN_POINT('',(-1.75000004505548,2.49999996245377,10.499999909889)); #57388=CARTESIAN_POINT('',(-1.75000004505548,3.00000054499375,10.499999909889)); #57389=CARTESIAN_POINT('',(-1.75000004505548,2.49999996245377,10.249999909889)); #57390=CARTESIAN_POINT('Origin',(-1.99999996996301,2.49999996245377,10.249999909889)); #57391=CARTESIAN_POINT('',(-1.99999996996301,2.49999996245377,10.249999909889)); #57392=CARTESIAN_POINT('',(-1.99999996996301,2.49999996245377,10.249999909889)); #57393=CARTESIAN_POINT('',(-1.99999996996301,2.49999996245377,10.499999909889)); #57394=CARTESIAN_POINT('',(-1.99999996996301,2.49999996245377,10.499999909889)); #57395=CARTESIAN_POINT('',(-1.99999996996301,2.49999996245377,10.249999909889)); #57396=CARTESIAN_POINT('Origin',(-1.99999996996301,1.49999997747226,10.249999909889)); #57397=CARTESIAN_POINT('',(-1.99999996996301,1.49999997747226,10.249999909889)); #57398=CARTESIAN_POINT('',(-1.99999996996301,1.49999997747226,10.249999909889)); #57399=CARTESIAN_POINT('',(-1.99999996996301,1.49999997747226,10.499999909889)); #57400=CARTESIAN_POINT('',(-1.99999996996301,1.49999997747226,10.499999909889)); #57401=CARTESIAN_POINT('',(-1.99999996996301,1.49999997747226,10.249999909889)); #57402=CARTESIAN_POINT('Origin',(-1.75000004505548,1.49999997747226,10.249999909889)); #57403=CARTESIAN_POINT('',(-1.75000004505548,1.49999997747226,10.249999909889)); #57404=CARTESIAN_POINT('',(-1.99999996996301,1.49999997747226,10.249999909889)); #57405=CARTESIAN_POINT('',(-1.75000004505548,1.49999997747226,10.499999909889)); #57406=CARTESIAN_POINT('',(-1.99999996996301,1.49999997747226,10.499999909889)); #57407=CARTESIAN_POINT('',(-1.75000004505548,1.49999997747226,10.249999909889)); #57408=CARTESIAN_POINT('Origin',(-1.75000004505548,-3.50000012765719,10.249999909889)); #57409=CARTESIAN_POINT('',(-1.75000004505548,-3.50000012765719,10.249999909889)); #57410=CARTESIAN_POINT('',(-1.75000004505548,1.49999997747226,10.249999909889)); #57411=CARTESIAN_POINT('',(-1.75000004505548,-3.50000012765719,10.499999909889)); #57412=CARTESIAN_POINT('',(-1.75000004505548,1.49999997747226,10.499999909889)); #57413=CARTESIAN_POINT('',(-1.75000004505548,-3.50000012765719,10.249999909889)); #57414=CARTESIAN_POINT('Origin',(-2.00000004505548,-3.50000012765719,10.249999909889)); #57415=CARTESIAN_POINT('',(-2.00000004505548,-3.50000012765719,10.249999909889)); #57416=CARTESIAN_POINT('',(-1.75000004505548,-3.50000012765719,10.249999909889)); #57417=CARTESIAN_POINT('',(-2.00000004505548,-3.50000012765719,10.499999909889)); #57418=CARTESIAN_POINT('',(-1.75000004505548,-3.50000012765719,10.499999909889)); #57419=CARTESIAN_POINT('',(-2.00000004505548,-3.50000012765719,10.249999909889)); #57420=CARTESIAN_POINT('Origin',(-2.00000004505548,-4.49999993241678,10.249999909889)); #57421=CARTESIAN_POINT('',(-2.00000004505548,-4.49999993241678,10.249999909889)); #57422=CARTESIAN_POINT('',(-2.00000004505548,-3.50000012765719,10.249999909889)); #57423=CARTESIAN_POINT('',(-2.00000004505548,-4.49999993241678,10.499999909889)); #57424=CARTESIAN_POINT('',(-2.00000004505548,-3.50000012765719,10.499999909889)); #57425=CARTESIAN_POINT('',(-2.00000004505548,-4.49999993241678,10.249999909889)); #57426=CARTESIAN_POINT('Origin',(-2.75,-4.49999993241678,10.249999909889)); #57427=CARTESIAN_POINT('',(-2.75,-4.49999993241678,10.249999909889)); #57428=CARTESIAN_POINT('',(-2.00000004505548,-4.49999993241678,10.249999909889)); #57429=CARTESIAN_POINT('',(-2.75,-4.49999993241678,10.499999909889)); #57430=CARTESIAN_POINT('',(-2.00000004505548,-4.49999993241678,10.499999909889)); #57431=CARTESIAN_POINT('',(-2.75,-4.49999993241678,10.249999909889)); #57432=CARTESIAN_POINT('Origin',(-2.75,-4.00000012765719,10.249999909889)); #57433=CARTESIAN_POINT('',(-2.75,-4.00000012765719,10.249999909889)); #57434=CARTESIAN_POINT('',(-2.75,-4.49999993241678,10.249999909889)); #57435=CARTESIAN_POINT('',(-2.75,-4.00000012765719,10.499999909889)); #57436=CARTESIAN_POINT('',(-2.75,-4.49999993241678,10.499999909889)); #57437=CARTESIAN_POINT('',(-2.75,-4.00000012765719,10.249999909889)); #57438=CARTESIAN_POINT('Origin',(-2.49999995494452,-4.00000012765719,10.249999909889)); #57439=CARTESIAN_POINT('',(-2.49999995494452,-4.00000012765719,10.249999909889)); #57440=CARTESIAN_POINT('',(-2.75,-4.00000012765719,10.249999909889)); #57441=CARTESIAN_POINT('',(-2.49999995494452,-4.00000012765719,10.499999909889)); #57442=CARTESIAN_POINT('',(-2.75,-4.00000012765719,10.499999909889)); #57443=CARTESIAN_POINT('',(-2.49999995494452,-4.00000012765719,10.249999909889)); #57444=CARTESIAN_POINT('Origin',(-2.49999995494452,-3.00000012765719,10.249999909889)); #57445=CARTESIAN_POINT('',(-2.49999995494452,-3.00000012765719,10.249999909889)); #57446=CARTESIAN_POINT('',(-2.49999995494452,-3.00000012765719,10.249999909889)); #57447=CARTESIAN_POINT('',(-2.49999995494452,-3.00000012765719,10.499999909889)); #57448=CARTESIAN_POINT('',(-2.49999995494452,-3.00000012765719,10.499999909889)); #57449=CARTESIAN_POINT('',(-2.49999995494452,-3.00000012765719,10.249999909889)); #57450=CARTESIAN_POINT('Origin',(-2.75,-3.00000012765719,10.249999909889)); #57451=CARTESIAN_POINT('',(-2.75,-3.00000012765719,10.249999909889)); #57452=CARTESIAN_POINT('',(-2.49999995494452,-3.00000012765719,10.249999909889)); #57453=CARTESIAN_POINT('',(-2.75,-3.00000012765719,10.499999909889)); #57454=CARTESIAN_POINT('',(-2.49999995494452,-3.00000012765719,10.499999909889)); #57455=CARTESIAN_POINT('',(-2.75,-3.00000012765719,10.249999909889)); #57456=CARTESIAN_POINT('Origin',(-2.75,2.99999987234281,10.249999909889)); #57457=CARTESIAN_POINT('',(-2.75,2.99999987234281,10.249999909889)); #57458=CARTESIAN_POINT('',(-2.75,-3.00000012765719,10.249999909889)); #57459=CARTESIAN_POINT('',(-2.75,2.99999987234281,10.499999909889)); #57460=CARTESIAN_POINT('',(-2.75,-3.00000012765719,10.499999909889)); #57461=CARTESIAN_POINT('',(-2.75,2.99999987234281,10.249999909889)); #57462=CARTESIAN_POINT('Origin',(-2.49999995494452,2.99999987234281,10.249999909889)); #57463=CARTESIAN_POINT('',(-2.49999995494452,2.99999987234281,10.249999909889)); #57464=CARTESIAN_POINT('',(-2.75,2.99999987234281,10.249999909889)); #57465=CARTESIAN_POINT('',(-2.49999995494452,2.99999987234281,10.499999909889)); #57466=CARTESIAN_POINT('',(-2.75,2.99999987234281,10.499999909889)); #57467=CARTESIAN_POINT('',(-2.49999995494452,2.99999987234281,10.249999909889)); #57468=CARTESIAN_POINT('Origin',(-2.49999995494452,4.00000054499375,10.249999909889)); #57469=CARTESIAN_POINT('',(-2.49999995494452,4.00000054499375,10.249999909889)); #57470=CARTESIAN_POINT('',(-2.49999995494452,4.00000054499375,10.249999909889)); #57471=CARTESIAN_POINT('',(-2.49999995494452,4.00000054499375,10.499999909889)); #57472=CARTESIAN_POINT('',(-2.49999995494452,4.00000054499375,10.499999909889)); #57473=CARTESIAN_POINT('',(-2.49999995494452,4.00000054499375,10.249999909889)); #57474=CARTESIAN_POINT('Origin',(-2.75,4.00000054499375,10.249999909889)); #57475=CARTESIAN_POINT('',(-2.75,4.00000054499375,10.249999909889)); #57476=CARTESIAN_POINT('',(-2.75,4.00000054499375,10.249999909889)); #57477=CARTESIAN_POINT('',(-2.75,4.00000054499375,10.499999909889)); #57478=CARTESIAN_POINT('',(-2.75,4.00000054499375,10.499999909889)); #57479=CARTESIAN_POINT('',(-2.75,4.00000054499375,10.249999909889)); #57480=CARTESIAN_POINT('Origin',(-2.75,5.50000054499375,10.249999909889)); #57481=CARTESIAN_POINT('',(-2.75,5.50000054499375,10.249999909889)); #57482=CARTESIAN_POINT('',(-2.75,5.50000054499375,10.249999909889)); #57483=CARTESIAN_POINT('',(-2.75,5.50000054499375,10.499999909889)); #57484=CARTESIAN_POINT('',(-2.75,5.50000054499375,10.499999909889)); #57485=CARTESIAN_POINT('',(-2.75,5.50000054499375,10.249999909889)); #57486=CARTESIAN_POINT('Origin',(2.75,5.50000054499375,10.249999909889)); #57487=CARTESIAN_POINT('',(2.75,5.50000054499375,10.249999909889)); #57488=CARTESIAN_POINT('',(-2.75,5.50000054499375,10.249999909889)); #57489=CARTESIAN_POINT('',(2.75,5.50000054499375,10.499999909889)); #57490=CARTESIAN_POINT('',(-2.75,5.50000054499375,10.499999909889)); #57491=CARTESIAN_POINT('',(2.75,5.50000054499375,10.249999909889)); #57492=CARTESIAN_POINT('Origin',(2.75,4.00000054499375,10.249999909889)); #57493=CARTESIAN_POINT('',(2.75,4.00000054499375,10.249999909889)); #57494=CARTESIAN_POINT('',(2.75,5.50000054499375,10.249999909889)); #57495=CARTESIAN_POINT('',(2.75,4.00000054499375,10.499999909889)); #57496=CARTESIAN_POINT('',(2.75,5.50000054499375,10.499999909889)); #57497=CARTESIAN_POINT('',(2.75,4.00000054499375,10.249999909889)); #57498=CARTESIAN_POINT('Origin',(2.49999995494452,4.00000054499375,10.249999909889)); #57499=CARTESIAN_POINT('',(2.49999995494452,4.00000054499375,10.249999909889)); #57500=CARTESIAN_POINT('',(2.75,4.00000054499375,10.249999909889)); #57501=CARTESIAN_POINT('',(2.49999995494452,4.00000054499375,10.499999909889)); #57502=CARTESIAN_POINT('',(2.75,4.00000054499375,10.499999909889)); #57503=CARTESIAN_POINT('',(2.49999995494452,4.00000054499375,10.249999909889)); #57504=CARTESIAN_POINT('Origin',(2.49999995494452,2.99999987234281,10.249999909889)); #57505=CARTESIAN_POINT('',(2.49999995494452,2.99999987234281,10.249999909889)); #57506=CARTESIAN_POINT('',(2.49999995494452,4.00000054499375,10.249999909889)); #57507=CARTESIAN_POINT('',(2.49999995494452,2.99999987234281,10.499999909889)); #57508=CARTESIAN_POINT('',(2.49999995494452,4.00000054499375,10.499999909889)); #57509=CARTESIAN_POINT('',(2.49999995494452,2.99999987234281,10.249999909889)); #57510=CARTESIAN_POINT('Origin',(2.75,2.99999987234281,10.249999909889)); #57511=CARTESIAN_POINT('',(2.75,2.99999987234281,10.249999909889)); #57512=CARTESIAN_POINT('',(2.75,2.99999987234281,10.249999909889)); #57513=CARTESIAN_POINT('',(2.75,2.99999987234281,10.499999909889)); #57514=CARTESIAN_POINT('',(2.75,2.99999987234281,10.499999909889)); #57515=CARTESIAN_POINT('',(2.75,2.99999987234281,10.249999909889)); #57516=CARTESIAN_POINT('Origin',(2.75,-3.00000012765719,10.249999909889)); #57517=CARTESIAN_POINT('',(2.75,-3.00000012765719,10.249999909889)); #57518=CARTESIAN_POINT('',(2.75,-3.00000012765719,10.249999909889)); #57519=CARTESIAN_POINT('',(2.75,-3.00000012765719,10.499999909889)); #57520=CARTESIAN_POINT('',(2.75,-3.00000012765719,10.499999909889)); #57521=CARTESIAN_POINT('',(2.75,-3.00000012765719,10.249999909889)); #57522=CARTESIAN_POINT('Origin',(2.49999995494452,-3.00000012765719,10.249999909889)); #57523=CARTESIAN_POINT('',(2.49999995494452,-3.00000012765719,10.249999909889)); #57524=CARTESIAN_POINT('',(2.49999995494452,-3.00000012765719,10.249999909889)); #57525=CARTESIAN_POINT('',(2.49999995494452,-3.00000012765719,10.499999909889)); #57526=CARTESIAN_POINT('',(2.49999995494452,-3.00000012765719,10.499999909889)); #57527=CARTESIAN_POINT('',(2.49999995494452,-3.00000012765719,10.249999909889)); #57528=CARTESIAN_POINT('Origin',(2.49999995494452,-4.00000012765719,10.249999909889)); #57529=CARTESIAN_POINT('',(2.49999995494452,-4.00000012765719,10.249999909889)); #57530=CARTESIAN_POINT('',(2.49999995494452,-3.00000012765719,10.249999909889)); #57531=CARTESIAN_POINT('',(2.49999995494452,-4.00000012765719,10.499999909889)); #57532=CARTESIAN_POINT('',(2.49999995494452,-3.00000012765719,10.499999909889)); #57533=CARTESIAN_POINT('',(2.49999995494452,-4.00000012765719,10.249999909889)); #57534=CARTESIAN_POINT('Origin',(2.75,-4.00000012765719,10.249999909889)); #57535=CARTESIAN_POINT('',(2.75,-4.00000012765719,10.249999909889)); #57536=CARTESIAN_POINT('',(2.75,-4.00000012765719,10.249999909889)); #57537=CARTESIAN_POINT('',(2.75,-4.00000012765719,10.499999909889)); #57538=CARTESIAN_POINT('',(2.75,-4.00000012765719,10.499999909889)); #57539=CARTESIAN_POINT('',(2.75,-4.00000012765719,10.249999909889)); #57540=CARTESIAN_POINT('Origin',(2.75,-4.49999993241678,10.249999909889)); #57541=CARTESIAN_POINT('',(2.75,-4.49999993241678,10.249999909889)); #57542=CARTESIAN_POINT('',(2.75,-4.49999993241678,10.249999909889)); #57543=CARTESIAN_POINT('',(2.75,-4.49999993241678,10.499999909889)); #57544=CARTESIAN_POINT('',(2.75,-4.49999993241678,10.499999909889)); #57545=CARTESIAN_POINT('',(2.75,-4.49999993241678,10.249999909889)); #57546=CARTESIAN_POINT('Origin',(1.99999995494452,-4.49999993241678,10.249999909889)); #57547=CARTESIAN_POINT('',(1.99999995494452,-4.49999993241678,10.249999909889)); #57548=CARTESIAN_POINT('',(2.75,-4.49999993241678,10.249999909889)); #57549=CARTESIAN_POINT('',(1.99999995494452,-4.49999993241678,10.499999909889)); #57550=CARTESIAN_POINT('',(2.75,-4.49999993241678,10.499999909889)); #57551=CARTESIAN_POINT('',(1.99999995494452,-4.49999993241678,10.249999909889)); #57552=CARTESIAN_POINT('Origin',(1.99999995494452,-3.50000012765719,10.249999909889)); #57553=CARTESIAN_POINT('',(1.99999995494452,-3.50000012765719,10.249999909889)); #57554=CARTESIAN_POINT('',(1.99999995494452,-4.49999993241678,10.249999909889)); #57555=CARTESIAN_POINT('',(1.99999995494452,-3.50000012765719,10.499999909889)); #57556=CARTESIAN_POINT('',(1.99999995494452,-4.49999993241678,10.499999909889)); #57557=CARTESIAN_POINT('',(1.99999995494452,-3.50000012765719,10.249999909889)); #57558=CARTESIAN_POINT('Origin',(1.74999995494452,-3.50000012765719,10.249999909889)); #57559=CARTESIAN_POINT('',(1.74999995494452,-3.50000012765719,10.249999909889)); #57560=CARTESIAN_POINT('',(1.99999995494452,-3.50000012765719,10.249999909889)); #57561=CARTESIAN_POINT('',(1.74999995494452,-3.50000012765719,10.499999909889)); #57562=CARTESIAN_POINT('',(1.99999995494452,-3.50000012765719,10.499999909889)); #57563=CARTESIAN_POINT('',(1.74999995494452,-3.50000012765719,10.249999909889)); #57564=CARTESIAN_POINT('Origin',(1.74999995494452,1.49999997747226,10.249999909889)); #57565=CARTESIAN_POINT('',(1.74999995494452,1.49999997747226,10.249999909889)); #57566=CARTESIAN_POINT('',(1.74999995494452,-3.50000012765719,10.249999909889)); #57567=CARTESIAN_POINT('',(1.74999995494452,1.49999997747226,10.499999909889)); #57568=CARTESIAN_POINT('',(1.74999995494452,-3.50000012765719,10.499999909889)); #57569=CARTESIAN_POINT('',(1.74999995494452,1.49999997747226,10.249999909889)); #57570=CARTESIAN_POINT('Origin',(1.99999996996301,1.49999997747226,10.249999909889)); #57571=CARTESIAN_POINT('',(1.99999996996301,1.49999997747226,10.249999909889)); #57572=CARTESIAN_POINT('',(1.99999996996301,1.49999997747226,10.249999909889)); #57573=CARTESIAN_POINT('',(1.99999996996301,1.49999997747226,10.499999909889)); #57574=CARTESIAN_POINT('',(1.99999996996301,1.49999997747226,10.499999909889)); #57575=CARTESIAN_POINT('',(1.99999996996301,1.49999997747226,10.249999909889)); #57576=CARTESIAN_POINT('Origin',(1.99999996996301,2.49999996245377,10.249999909889)); #57577=CARTESIAN_POINT('',(1.99999996996301,2.49999996245377,10.249999909889)); #57578=CARTESIAN_POINT('',(1.99999996996301,2.49999996245377,10.499999909889)); #57579=CARTESIAN_POINT('Origin',(0.,0.500000306288484,10.499999909889)); #57580=CARTESIAN_POINT('Origin',(0.,0.500000306288484,10.249999909889)); #57581=CARTESIAN_POINT('Origin',(-1.2500000638286,-0.999999952128553,10.6000003382916)); #57582=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,10.6000003382916)); #57583=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57584=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57585=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.6000003382916)); #57586=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57587=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,10.6000003382916)); #57588=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.6000003382916)); #57589=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,10.6000003382916)); #57590=CARTESIAN_POINT('Origin',(-1.2500000638286,-0.999999952128553,11.6000003382916)); #57591=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,11.6000003382916)); #57592=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,10.6000003382916)); #57593=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,11.6000003382916)); #57594=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,10.6000003382916)); #57595=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,11.6000003382916)); #57596=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57597=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57598=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,11.6000003382916)); #57599=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,11.6000003382916)); #57600=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,11.6000003382916)); #57601=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57602=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57603=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57604=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,11.6000003382916)); #57605=CARTESIAN_POINT('Origin',(-1.7500000638286,-0.999999952128553,10.6000003382916)); #57606=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.6000003382916)); #57607=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,11.6000003382916)); #57608=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.6000003382916)); #57609=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,11.6000003382916)); #57610=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,11.6000003382916)); #57611=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,10.6000003382916)); #57612=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,10.6000003382916)); #57613=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.6000003382916)); #57614=CARTESIAN_POINT('Origin',(-2.0000000638286,-0.999999952128553,10.6000003382916)); #57615=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.6000003382916)); #57616=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.6000003382916)); #57617=CARTESIAN_POINT('',(-2.0000000638286,-0.749999952128553,10.6000003382916)); #57618=CARTESIAN_POINT('',(-2.0000000638286,-0.749999952128553,10.6000003382916)); #57619=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.6000003382916)); #57620=CARTESIAN_POINT('Origin',(-2.0000000638286,-0.999999952128553,11.6000003382916)); #57621=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,11.6000003382916)); #57622=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,11.6000003382916)); #57623=CARTESIAN_POINT('',(-2.0000000638286,-0.749999952128553,11.6000003382916)); #57624=CARTESIAN_POINT('',(-2.0000000638286,-0.749999952128553,11.6000003382916)); #57625=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,11.6000003382916)); #57626=CARTESIAN_POINT('Origin',(-1.7500000638286,-0.999999952128553,11.6000003382916)); #57627=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,11.6000003382916)); #57628=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,11.6000003382916)); #57629=CARTESIAN_POINT('Origin',(-0.750000063828596,-0.999999952128553, 11.149999909889)); #57630=CARTESIAN_POINT('',(-0.750000063828596,-0.999999952128553,10.649999909889)); #57631=CARTESIAN_POINT('',(-0.750000063828596,-0.999999952128553,11.149999909889)); #57632=CARTESIAN_POINT('',(-0.750000063828596,-0.999999952128553,10.649999909889)); #57633=CARTESIAN_POINT('',(-0.750000063828596,-0.749999952128553,10.649999909889)); #57634=CARTESIAN_POINT('',(-0.750000063828596,-0.999999952128553,10.649999909889)); #57635=CARTESIAN_POINT('',(-0.750000063828596,-0.749999952128553,11.149999909889)); #57636=CARTESIAN_POINT('',(-0.750000063828596,-0.749999952128553,10.649999909889)); #57637=CARTESIAN_POINT('',(-0.750000063828596,-0.999999952128553,11.149999909889)); #57638=CARTESIAN_POINT('Origin',(-0.650000063828594,-0.999999952128553, 11.149999909889)); #57639=CARTESIAN_POINT('',(-0.650000063828596,-0.999999952128553,11.249999909889)); #57640=CARTESIAN_POINT('Origin',(-0.650000063828594,-0.999999952128553, 11.149999909889)); #57641=CARTESIAN_POINT('',(-0.650000063828596,-0.749999952128553,11.249999909889)); #57642=CARTESIAN_POINT('Origin',(-0.650000063828594,-0.749999952128553, 11.149999909889)); #57643=CARTESIAN_POINT('',(-0.650000063828596,-0.999999952128553,11.249999909889)); #57644=CARTESIAN_POINT('Origin',(0.649999936171404,-0.999999952128553,11.249999909889)); #57645=CARTESIAN_POINT('',(0.649999936171404,-0.999999952128553,11.249999909889)); #57646=CARTESIAN_POINT('',(-0.650000063828596,-0.999999952128553,11.249999909889)); #57647=CARTESIAN_POINT('',(0.649999936171404,-0.749999952128553,11.249999909889)); #57648=CARTESIAN_POINT('',(-0.650000063828596,-0.749999952128553,11.249999909889)); #57649=CARTESIAN_POINT('',(0.649999936171404,-0.999999952128553,11.249999909889)); #57650=CARTESIAN_POINT('Origin',(0.649999936171402,-0.999999952128553,11.149999909889)); #57651=CARTESIAN_POINT('',(0.749999936171405,-0.999999952128553,11.149999909889)); #57652=CARTESIAN_POINT('Origin',(0.649999936171402,-0.999999952128553,11.149999909889)); #57653=CARTESIAN_POINT('',(0.749999936171405,-0.749999952128553,11.149999909889)); #57654=CARTESIAN_POINT('Origin',(0.649999936171402,-0.749999952128553,11.149999909889)); #57655=CARTESIAN_POINT('',(0.749999936171405,-0.999999952128553,11.149999909889)); #57656=CARTESIAN_POINT('Origin',(0.749999936171404,-0.999999952128553,10.649999909889)); #57657=CARTESIAN_POINT('',(0.749999936171404,-0.999999952128553,10.649999909889)); #57658=CARTESIAN_POINT('',(0.749999936171405,-0.999999952128553,11.149999909889)); #57659=CARTESIAN_POINT('',(0.749999936171404,-0.749999952128553,10.649999909889)); #57660=CARTESIAN_POINT('',(0.749999936171405,-0.749999952128553,11.149999909889)); #57661=CARTESIAN_POINT('',(0.749999936171404,-0.999999952128553,10.649999909889)); #57662=CARTESIAN_POINT('Origin',(1.1499999361714,-0.999999952128553,10.649999909889)); #57663=CARTESIAN_POINT('',(1.1499999361714,-0.999999952128553,10.249999909889)); #57664=CARTESIAN_POINT('Origin',(1.1499999361714,-0.999999952128553,10.649999909889)); #57665=CARTESIAN_POINT('',(1.1499999361714,-0.749999952128553,10.249999909889)); #57666=CARTESIAN_POINT('Origin',(1.1499999361714,-0.749999952128553,10.649999909889)); #57667=CARTESIAN_POINT('',(1.1499999361714,-0.999999952128553,10.249999909889)); #57668=CARTESIAN_POINT('Origin',(1.7499999361714,-0.999999952128553,10.249999909889)); #57669=CARTESIAN_POINT('',(1.7499999361714,-0.999999952128553,10.249999909889)); #57670=CARTESIAN_POINT('',(1.1499999361714,-0.999999952128553,10.249999909889)); #57671=CARTESIAN_POINT('',(1.7499999361714,-0.749999952128553,10.249999909889)); #57672=CARTESIAN_POINT('',(1.1499999361714,-0.749999952128553,10.249999909889)); #57673=CARTESIAN_POINT('',(1.7499999361714,-0.999999952128553,10.249999909889)); #57674=CARTESIAN_POINT('Origin',(1.7499999361714,-0.999999952128553,10.5000003351001)); #57675=CARTESIAN_POINT('',(1.7499999361714,-0.999999952128553,10.5000003351001)); #57676=CARTESIAN_POINT('',(1.7499999361714,-0.999999952128553,10.249999909889)); #57677=CARTESIAN_POINT('',(1.7499999361714,-0.749999952128553,10.5000003351001)); #57678=CARTESIAN_POINT('',(1.7499999361714,-0.749999952128553,10.249999909889)); #57679=CARTESIAN_POINT('',(1.7499999361714,-0.999999952128553,10.5000003351001)); #57680=CARTESIAN_POINT('Origin',(2.2499999361714,-0.999999952128553,10.5000003351001)); #57681=CARTESIAN_POINT('',(2.2499999361714,-0.999999952128553,10.5000003351001)); #57682=CARTESIAN_POINT('',(1.7499999361714,-0.999999952128553,10.5000003351001)); #57683=CARTESIAN_POINT('',(2.2499999361714,-0.749999952128553,10.5000003351001)); #57684=CARTESIAN_POINT('',(1.7499999361714,-0.749999952128553,10.5000003351001)); #57685=CARTESIAN_POINT('',(2.2499999361714,-0.999999952128553,10.5000003351001)); #57686=CARTESIAN_POINT('Origin',(2.2499999361714,-0.999999952128553,11.8500002973036)); #57687=CARTESIAN_POINT('',(2.2499999361714,-0.999999952128553,11.8500002973036)); #57688=CARTESIAN_POINT('',(2.2499999361714,-0.999999952128553,10.5000003351001)); #57689=CARTESIAN_POINT('',(2.2499999361714,-0.749999952128553,11.8500002973036)); #57690=CARTESIAN_POINT('',(2.2499999361714,-0.749999952128553,10.5000003351001)); #57691=CARTESIAN_POINT('',(2.2499999361714,-0.999999952128553,11.8500002973036)); #57692=CARTESIAN_POINT('Origin',(1.8499999361714,-0.999999952128553,11.8500002973036)); #57693=CARTESIAN_POINT('',(1.84999989837486,-0.999999952128553,12.2500002973036)); #57694=CARTESIAN_POINT('Origin',(1.8499999361714,-0.999999952128553,11.8500002973036)); #57695=CARTESIAN_POINT('',(1.84999989837486,-0.749999952128553,12.2500002973036)); #57696=CARTESIAN_POINT('Origin',(1.8499999361714,-0.749999952128553,11.8500002973036)); #57697=CARTESIAN_POINT('',(1.84999989837486,-0.999999952128553,12.2500002973036)); #57698=CARTESIAN_POINT('Origin',(-1.85000010162514,-0.999999952128553,12.2499999476856)); #57699=CARTESIAN_POINT('',(-1.85000010162514,-0.999999952128553,12.2499999476856)); #57700=CARTESIAN_POINT('',(1.84999989837486,-0.999999952128553,12.2500002973036)); #57701=CARTESIAN_POINT('',(-1.85000010162514,-0.749999952128553,12.2499999476856)); #57702=CARTESIAN_POINT('',(1.84999989837486,-0.749999952128553,12.2500002973036)); #57703=CARTESIAN_POINT('',(-1.85000010162514,-0.999999952128553,12.2499999476856)); #57704=CARTESIAN_POINT('Origin',(-1.8500000638286,-0.999999952128553,11.8499999476856)); #57705=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,11.8499999476856)); #57706=CARTESIAN_POINT('Origin',(-1.8500000638286,-0.999999952128553,11.8499999476856)); #57707=CARTESIAN_POINT('',(-2.2500000638286,-0.749999952128553,11.8499999476856)); #57708=CARTESIAN_POINT('Origin',(-1.8500000638286,-0.749999952128553,11.8499999476856)); #57709=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,11.8499999476856)); #57710=CARTESIAN_POINT('Origin',(-2.2500000638286,-0.999999952128553,10.499999909889)); #57711=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.499999909889)); #57712=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.249999909889)); #57713=CARTESIAN_POINT('',(-2.2500000638286,-0.749999952128553,10.499999909889)); #57714=CARTESIAN_POINT('',(-2.2500000638286,-0.749999952128553,10.249999909889)); #57715=CARTESIAN_POINT('',(-2.2500000638286,-0.999999952128553,10.499999909889)); #57716=CARTESIAN_POINT('Origin',(-1.7500000638286,-0.999999952128553,10.499999909889)); #57717=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.499999909889)); #57718=CARTESIAN_POINT('',(-2.0000000638286,-0.999999952128553,10.499999909889)); #57719=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,10.499999909889)); #57720=CARTESIAN_POINT('',(-2.0000000638286,-0.749999952128553,10.499999909889)); #57721=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.499999909889)); #57722=CARTESIAN_POINT('Origin',(-1.7500000638286,-0.999999952128553,10.249999909889)); #57723=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.249999909889)); #57724=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.499999909889)); #57725=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,10.249999909889)); #57726=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,10.499999909889)); #57727=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.249999909889)); #57728=CARTESIAN_POINT('Origin',(-1.1500000638286,-0.999999952128553,10.249999909889)); #57729=CARTESIAN_POINT('',(-1.1500000638286,-0.999999952128553,10.249999909889)); #57730=CARTESIAN_POINT('',(-1.7500000638286,-0.999999952128553,10.249999909889)); #57731=CARTESIAN_POINT('',(-1.1500000638286,-0.749999952128553,10.249999909889)); #57732=CARTESIAN_POINT('',(-1.7500000638286,-0.749999952128553,10.249999909889)); #57733=CARTESIAN_POINT('',(-1.1500000638286,-0.999999952128553,10.249999909889)); #57734=CARTESIAN_POINT('Origin',(-1.1500000638286,-0.999999952128553,10.649999909889)); #57735=CARTESIAN_POINT('Origin',(-1.1500000638286,-0.999999952128553,10.649999909889)); #57736=CARTESIAN_POINT('Origin',(-1.1500000638286,-0.749999952128553,10.649999909889)); #57737=CARTESIAN_POINT('Origin',(-6.38285959519717E-8,-0.749999952128553, 11.2500001035963)); #57738=CARTESIAN_POINT('Origin',(-6.38285959519717E-8,-0.999999952128553, 11.2500001035963)); #57739=CARTESIAN_POINT('Origin',(-1.0000000638286,0.0745546920900775,11.2394389196316)); #57740=CARTESIAN_POINT('',(-1.2500000638286,0.802054692090077,11.2394389196316)); #57741=CARTESIAN_POINT('Origin',(-1.2500000638286,0.0745546920900775,11.2394389196316)); #57742=CARTESIAN_POINT('',(-1.0000000638286,0.802054692090077,11.2394389196316)); #57743=CARTESIAN_POINT('',(-1.0000000638286,0.802054692090077,11.2394389196316)); #57744=CARTESIAN_POINT('Origin',(-1.0000000638286,0.0745546920900775,11.2394389196316)); #57745=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.749999952128553,10.2500003271216)); #57746=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.6000003382916)); #57747=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.2500003271216)); #57748=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.6000003382916)); #57749=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,10.6000003382916)); #57750=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.6000003382916)); #57751=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,10.2500003271216)); #57752=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,10.6000003382916)); #57753=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.2500003271216)); #57754=CARTESIAN_POINT('Origin',(-1.0000000638286,1.25000004787145,10.2500003271216)); #57755=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.2500003271216)); #57756=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.2500003271216)); #57757=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,10.2500003271216)); #57758=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,10.2500003271216)); #57759=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.2500003271216)); #57760=CARTESIAN_POINT('Origin',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57761=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57762=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57763=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,10.6000003382916)); #57764=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,10.6000003382916)); #57765=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57766=CARTESIAN_POINT('Origin',(-1.0000000638286,1.50000004787145,10.6000003382916)); #57767=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,10.6000003382916)); #57768=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,10.6000003382916)); #57769=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,10.6000003382916)); #57770=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,10.6000003382916)); #57771=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,10.6000003382916)); #57772=CARTESIAN_POINT('Origin',(-1.0000000638286,1.50000004787145,11.6000003382916)); #57773=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,11.6000003382916)); #57774=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,11.6000003382916)); #57775=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,11.6000003382916)); #57776=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,11.6000003382916)); #57777=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,11.6000003382916)); #57778=CARTESIAN_POINT('Origin',(-1.0000000638286,1.25000004787145,11.6000003382916)); #57779=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,11.6000003382916)); #57780=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,11.6000003382916)); #57781=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,11.6000003382916)); #57782=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,11.6000003382916)); #57783=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,11.6000003382916)); #57784=CARTESIAN_POINT('Origin',(-1.0000000638286,1.25000004787145,12.2499998519564)); #57785=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,12.2499998519564)); #57786=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,12.2499998519564)); #57787=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,12.2499998519564)); #57788=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,12.2499998519564)); #57789=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,12.2499998519564)); #57790=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.749999952128553,12.2499998519564)); #57791=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,12.2499998519564)); #57792=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,12.2499998519564)); #57793=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,12.2499998519564)); #57794=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,12.2499998519564)); #57795=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,12.2499998519564)); #57796=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.749999952128553,11.6000003382916)); #57797=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,11.6000003382916)); #57798=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,11.6000003382916)); #57799=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,11.6000003382916)); #57800=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,11.6000003382916)); #57801=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,11.6000003382916)); #57802=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57803=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57804=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57805=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,11.6000003382916)); #57806=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,11.6000003382916)); #57807=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,11.6000003382916)); #57808=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57809=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57810=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57811=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,10.6000003382916)); #57812=CARTESIAN_POINT('',(-1.2500000638286,-0.999999952128553,10.6000003382916)); #57813=CARTESIAN_POINT('',(-1.0000000638286,-0.999999952128553,10.6000003382916)); #57814=CARTESIAN_POINT('Origin',(-1.0000000638286,-0.749999952128553,10.6000003382916)); #57815=CARTESIAN_POINT('',(-1.0000000638286,-0.749999952128553,10.6000003382916)); #57816=CARTESIAN_POINT('',(-1.2500000638286,-0.749999952128553,10.6000003382916)); #57817=CARTESIAN_POINT('Origin',(-1.2500000638286,0.250000047871447,11.250000089539)); #57818=CARTESIAN_POINT('Origin',(-1.0000000638286,0.250000047871447,11.250000089539)); #57819=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25000004787145,10.6000003382916)); #57820=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.6000003382916)); #57821=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,11.6000003382916)); #57822=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.6000003382916)); #57823=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,11.6000003382916)); #57824=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,11.6000003382916)); #57825=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.6000003382916)); #57826=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.6000003382916)); #57827=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.6000003382916)); #57828=CARTESIAN_POINT('Origin',(-2.0000000638286,1.25000004787145,10.6000003382916)); #57829=CARTESIAN_POINT('',(-2.0000000638286,1.25000004787145,10.6000003382916)); #57830=CARTESIAN_POINT('',(-2.0000000638286,1.25000004787145,10.6000003382916)); #57831=CARTESIAN_POINT('',(-2.0000000638286,1.50000004787145,10.6000003382916)); #57832=CARTESIAN_POINT('',(-2.0000000638286,1.50000004787145,10.6000003382916)); #57833=CARTESIAN_POINT('',(-2.0000000638286,1.25000004787145,10.6000003382916)); #57834=CARTESIAN_POINT('Origin',(-2.0000000638286,1.25000004787145,11.6000003382916)); #57835=CARTESIAN_POINT('',(-2.0000000638286,1.25000004787145,11.6000003382916)); #57836=CARTESIAN_POINT('',(-2.0000000638286,1.25000004787145,11.6000003382916)); #57837=CARTESIAN_POINT('',(-2.0000000638286,1.50000004787145,11.6000003382916)); #57838=CARTESIAN_POINT('',(-2.0000000638286,1.50000004787145,11.6000003382916)); #57839=CARTESIAN_POINT('',(-2.0000000638286,1.25000004787145,11.6000003382916)); #57840=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25000004787145,11.6000003382916)); #57841=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,11.6000003382916)); #57842=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,11.6000003382916)); #57843=CARTESIAN_POINT('Origin',(-1.2500000638286,1.25000004787145,10.6000003382916)); #57844=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,10.6000003382916)); #57845=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57846=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57847=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,10.6000003382916)); #57848=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57849=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,10.6000003382916)); #57850=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,10.6000003382916)); #57851=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,10.6000003382916)); #57852=CARTESIAN_POINT('Origin',(-1.2500000638286,1.25000004787145,11.6000003702059)); #57853=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,11.6000003702059)); #57854=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,10.6000003382916)); #57855=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,11.6000003702059)); #57856=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,10.6000003382916)); #57857=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,11.6000003702059)); #57858=CARTESIAN_POINT('Origin',(-1.0000000638286,1.25000004787145,11.6000003702059)); #57859=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,11.6000003702059)); #57860=CARTESIAN_POINT('',(-1.2500000638286,1.25000004787145,11.6000003702059)); #57861=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,11.6000003702059)); #57862=CARTESIAN_POINT('',(-1.2500000638286,1.50000004787145,11.6000003702059)); #57863=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,11.6000003702059)); #57864=CARTESIAN_POINT('Origin',(-1.0000000638286,1.25000004787145,10.6000003382916)); #57865=CARTESIAN_POINT('',(-1.0000000638286,1.25000004787145,11.6000003702059)); #57866=CARTESIAN_POINT('',(-1.0000000638286,1.50000004787145,11.6000003702059)); #57867=CARTESIAN_POINT('Origin',(-0.750000055850021,1.25000004787145,11.1500003271216)); #57868=CARTESIAN_POINT('',(-0.750000055850022,1.25000004787145,10.6500003271216)); #57869=CARTESIAN_POINT('',(-0.750000055850021,1.25000004787145,11.1500003271216)); #57870=CARTESIAN_POINT('',(-0.750000055850022,1.25000004787145,10.6500003271216)); #57871=CARTESIAN_POINT('',(-0.750000055850022,1.50000004787145,10.6500003271216)); #57872=CARTESIAN_POINT('',(-0.750000055850022,1.25000004787145,10.6500003271216)); #57873=CARTESIAN_POINT('',(-0.750000055850021,1.50000004787145,11.1500003271216)); #57874=CARTESIAN_POINT('',(-0.750000055850022,1.50000004787145,10.6500003271216)); #57875=CARTESIAN_POINT('',(-0.750000055850021,1.25000004787145,11.1500003271216)); #57876=CARTESIAN_POINT('Origin',(-0.650000055850022,1.25000004787145,11.1500003271216)); #57877=CARTESIAN_POINT('',(-0.650000055850021,1.25000004787145,11.2500003271216)); #57878=CARTESIAN_POINT('Origin',(-0.650000055850022,1.25000004787145,11.1500003271216)); #57879=CARTESIAN_POINT('',(-0.650000055850021,1.50000004787145,11.2500003271216)); #57880=CARTESIAN_POINT('Origin',(-0.650000055850022,1.50000004787145,11.1500003271216)); #57881=CARTESIAN_POINT('',(-0.650000055850021,1.25000004787145,11.2500003271216)); #57882=CARTESIAN_POINT('Origin',(0.649999944149978,1.25000004787145,11.2500003271216)); #57883=CARTESIAN_POINT('',(0.649999944149978,1.25000004787145,11.2500003271216)); #57884=CARTESIAN_POINT('',(-0.650000055850021,1.25000004787145,11.2500003271216)); #57885=CARTESIAN_POINT('',(0.649999944149978,1.50000004787145,11.2500003271216)); #57886=CARTESIAN_POINT('',(-0.650000055850021,1.50000004787145,11.2500003271216)); #57887=CARTESIAN_POINT('',(0.649999944149978,1.25000004787145,11.2500003271216)); #57888=CARTESIAN_POINT('Origin',(0.649999944149979,1.25000004787145,11.1500003271216)); #57889=CARTESIAN_POINT('',(0.749999944149978,1.25000004787145,11.1500003271216)); #57890=CARTESIAN_POINT('Origin',(0.649999944149979,1.25000004787145,11.1500003271216)); #57891=CARTESIAN_POINT('',(0.749999944149978,1.50000004787145,11.1500003271216)); #57892=CARTESIAN_POINT('Origin',(0.649999944149979,1.50000004787145,11.1500003271216)); #57893=CARTESIAN_POINT('',(0.749999944149978,1.25000004787145,11.1500003271216)); #57894=CARTESIAN_POINT('Origin',(0.749999944149979,1.25000004787145,10.6500003271216)); #57895=CARTESIAN_POINT('',(0.749999944149978,1.25000004787145,10.6500003271216)); #57896=CARTESIAN_POINT('',(0.749999944149978,1.25000004787145,11.1500003271216)); #57897=CARTESIAN_POINT('',(0.749999944149978,1.50000004787145,10.6500003271216)); #57898=CARTESIAN_POINT('',(0.749999944149978,1.50000004787145,11.1500003271216)); #57899=CARTESIAN_POINT('',(0.749999944149978,1.25000004787145,10.6500003271216)); #57900=CARTESIAN_POINT('Origin',(1.14999994414998,1.25000004787145,10.6500003271216)); #57901=CARTESIAN_POINT('',(1.14999994414998,1.25000004787145,10.2500003271216)); #57902=CARTESIAN_POINT('Origin',(1.14999994414998,1.25000004787145,10.6500003271216)); #57903=CARTESIAN_POINT('',(1.14999994414998,1.50000004787145,10.2500003271216)); #57904=CARTESIAN_POINT('Origin',(1.14999994414998,1.50000004787145,10.6500003271216)); #57905=CARTESIAN_POINT('',(1.14999994414998,1.25000004787145,10.2500003271216)); #57906=CARTESIAN_POINT('Origin',(1.74999994414998,1.25000004787145,10.2500003271216)); #57907=CARTESIAN_POINT('',(1.74999994414998,1.25000004787145,10.2500003271216)); #57908=CARTESIAN_POINT('',(1.14999994414998,1.25000004787145,10.2500003271216)); #57909=CARTESIAN_POINT('',(1.74999994414998,1.50000004787145,10.2500003271216)); #57910=CARTESIAN_POINT('',(1.14999994414998,1.50000004787145,10.2500003271216)); #57911=CARTESIAN_POINT('',(1.74999994414998,1.25000004787145,10.2500003271216)); #57912=CARTESIAN_POINT('Origin',(1.74999994414998,1.25000004787145,10.5000003351001)); #57913=CARTESIAN_POINT('',(1.74999994414998,1.25000004787145,10.5000003351001)); #57914=CARTESIAN_POINT('',(1.74999994414998,1.25000004787145,10.2500003271216)); #57915=CARTESIAN_POINT('',(1.74999994414998,1.50000004787145,10.5000003351001)); #57916=CARTESIAN_POINT('',(1.74999994414998,1.50000004787145,10.2500003271216)); #57917=CARTESIAN_POINT('',(1.74999994414998,1.25000004787145,10.5000003351001)); #57918=CARTESIAN_POINT('Origin',(2.249597179732,1.25000004787145,10.5000003351001)); #57919=CARTESIAN_POINT('',(2.249597179732,1.25000004787145,10.5000003351001)); #57920=CARTESIAN_POINT('',(1.74999994414998,1.25000004787145,10.5000003351001)); #57921=CARTESIAN_POINT('',(2.249597179732,1.50000004787145,10.5000003351001)); #57922=CARTESIAN_POINT('',(1.74999994414998,1.50000004787145,10.5000003351001)); #57923=CARTESIAN_POINT('',(2.249597179732,1.25000004787145,10.5000003351001)); #57924=CARTESIAN_POINT('Origin',(2.249597179732,1.25000004787145,11.8500003351001)); #57925=CARTESIAN_POINT('',(2.249597179732,1.25000004787145,11.8500003351001)); #57926=CARTESIAN_POINT('',(2.249597179732,1.25000004787145,10.5000003351001)); #57927=CARTESIAN_POINT('',(2.249597179732,1.50000004787145,11.8500003351001)); #57928=CARTESIAN_POINT('',(2.249597179732,1.50000004787145,10.5000003351001)); #57929=CARTESIAN_POINT('',(2.249597179732,1.25000004787145,11.8500003351001)); #57930=CARTESIAN_POINT('Origin',(1.849597179732,1.25000004787145,11.8500003351001)); #57931=CARTESIAN_POINT('',(1.849597179732,1.25000004787145,12.2500003351001)); #57932=CARTESIAN_POINT('Origin',(1.849597179732,1.25000004787145,11.8500003351001)); #57933=CARTESIAN_POINT('',(1.849597179732,1.50000004787145,12.2500003351001)); #57934=CARTESIAN_POINT('Origin',(1.849597179732,1.50000004787145,11.8500003351001)); #57935=CARTESIAN_POINT('',(1.849597179732,1.25000004787145,12.2500003351001)); #57936=CARTESIAN_POINT('Origin',(-1.85000007180717,1.25000004787145,12.2500003351001)); #57937=CARTESIAN_POINT('',(-1.85000007180717,1.25000004787145,12.2500003351001)); #57938=CARTESIAN_POINT('',(1.849597179732,1.25000004787145,12.2500003351001)); #57939=CARTESIAN_POINT('',(-1.85000007180717,1.50000004787145,12.2500003351001)); #57940=CARTESIAN_POINT('',(1.849597179732,1.50000004787145,12.2500003351001)); #57941=CARTESIAN_POINT('',(-1.85000007180717,1.25000004787145,12.2500003351001)); #57942=CARTESIAN_POINT('Origin',(-1.85000007180717,1.25000004787145,11.8500003351001)); #57943=CARTESIAN_POINT('',(-2.25000007180717,1.25000004787145,11.8500003351001)); #57944=CARTESIAN_POINT('Origin',(-1.85000007180717,1.25000004787145,11.8500003351001)); #57945=CARTESIAN_POINT('',(-2.25000007180717,1.50000004787145,11.8500003351001)); #57946=CARTESIAN_POINT('Origin',(-1.85000007180717,1.50000004787145,11.8500003351001)); #57947=CARTESIAN_POINT('',(-2.25000007180717,1.25000004787145,11.8500003351001)); #57948=CARTESIAN_POINT('Origin',(-2.25000007180717,1.25000004787145,10.5000003351001)); #57949=CARTESIAN_POINT('',(-2.25000007180717,1.25000004787145,10.5000003351001)); #57950=CARTESIAN_POINT('',(-2.25000007180717,1.25000004787145,11.8500003351001)); #57951=CARTESIAN_POINT('',(-2.25000007180717,1.50000004787145,10.5000003351001)); #57952=CARTESIAN_POINT('',(-2.25000007180717,1.50000004787145,11.8500003351001)); #57953=CARTESIAN_POINT('',(-2.25000007180717,1.25000004787145,10.5000003351001)); #57954=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25000004787145,10.5000003351001)); #57955=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.5000003351001)); #57956=CARTESIAN_POINT('',(-2.25000007180717,1.25000004787145,10.5000003351001)); #57957=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.5000003351001)); #57958=CARTESIAN_POINT('',(-2.25000007180717,1.50000004787145,10.5000003351001)); #57959=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.5000003351001)); #57960=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25000004787145,10.2500003271216)); #57961=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.2500003271216)); #57962=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.5000003351001)); #57963=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.2500003271216)); #57964=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.5000003351001)); #57965=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.2500003271216)); #57966=CARTESIAN_POINT('Origin',(-1.15000005585002,1.25000004787145,10.2500003271216)); #57967=CARTESIAN_POINT('',(-1.15000005585002,1.25000004787145,10.2500003271216)); #57968=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.2500003271216)); #57969=CARTESIAN_POINT('',(-1.15000005585002,1.50000004787145,10.2500003271216)); #57970=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.2500003271216)); #57971=CARTESIAN_POINT('',(-1.15000005585002,1.25000004787145,10.2500003271216)); #57972=CARTESIAN_POINT('Origin',(-1.15000005585002,1.25000004787145,10.6500003271216)); #57973=CARTESIAN_POINT('Origin',(-1.15000005585002,1.25000004787145,10.6500003271216)); #57974=CARTESIAN_POINT('Origin',(-1.15000005585002,1.50000004787145,10.6500003271216)); #57975=CARTESIAN_POINT('Origin',(-0.000201446037583969,1.50000004787145, 11.2500003311108)); #57976=CARTESIAN_POINT('Origin',(-0.000201446037583969,1.25000004787145, 11.2500003311108)); #57977=CARTESIAN_POINT('Origin',(-1.75000005585002,0.0745546920900775,11.2394389196316)); #57978=CARTESIAN_POINT('',(-2.00000005585002,0.719554692090077,11.2394389196316)); #57979=CARTESIAN_POINT('Origin',(-2.00000005585002,0.0745546920900775,11.2394389196316)); #57980=CARTESIAN_POINT('',(-1.75000005585002,0.719554692090077,11.2394389196316)); #57981=CARTESIAN_POINT('',(-1.75000005585002,0.719554692090077,11.2394389196316)); #57982=CARTESIAN_POINT('Origin',(-1.75000005585002,0.0745546920900775,11.2394389196316)); #57983=CARTESIAN_POINT('Origin',(-1.75000005585002,-0.749999952128553,12.2500003702059)); #57984=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,12.2500003702059)); #57985=CARTESIAN_POINT('',(-1.75000005585002,1.25018995566176,12.2500003702059)); #57986=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,12.2500003702059)); #57987=CARTESIAN_POINT('',(-2.00000005585002,1.25018995566176,12.2500003702059)); #57988=CARTESIAN_POINT('',(-1.75000005585002,1.25018995566176,12.2500003702059)); #57989=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,12.2500003702059)); #57990=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,12.2500003702059)); #57991=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,12.2500003702059)); #57992=CARTESIAN_POINT('Origin',(-1.75000005585002,-0.749999952128553,11.6000003702059)); #57993=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,11.6000003702059)); #57994=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,11.6000003702059)); #57995=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,11.6000003702059)); #57996=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,11.6000003702059)); #57997=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,11.6000003702059)); #57998=CARTESIAN_POINT('Origin',(-1.75000005585002,-1.0000000319143,11.6000003702059)); #57999=CARTESIAN_POINT('',(-1.75000005585002,-1.0000000319143,11.6000003702059)); #58000=CARTESIAN_POINT('',(-1.75000005585002,-1.0000000319143,11.6000003702059)); #58001=CARTESIAN_POINT('',(-2.00000005585002,-1.0000000319143,11.6000003702059)); #58002=CARTESIAN_POINT('',(-2.00000005585002,-1.0000000319143,11.6000003702059)); #58003=CARTESIAN_POINT('',(-1.75000005585002,-1.0000000319143,11.6000003702059)); #58004=CARTESIAN_POINT('Origin',(-1.75000005585002,-1.0000000319143,10.6000003382916)); #58005=CARTESIAN_POINT('',(-1.75000005585002,-1.0000000319143,10.6000003382916)); #58006=CARTESIAN_POINT('',(-1.75000005585002,-1.0000000319143,10.6000003382916)); #58007=CARTESIAN_POINT('',(-2.00000005585002,-1.0000000319143,10.6000003382916)); #58008=CARTESIAN_POINT('',(-2.00000005585002,-1.0000000319143,10.6000003382916)); #58009=CARTESIAN_POINT('',(-1.75000005585002,-1.0000000319143,10.6000003382916)); #58010=CARTESIAN_POINT('Origin',(-1.75000005585002,-0.749999952128553,10.6000003382916)); #58011=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,10.6000003382916)); #58012=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,10.6000003382916)); #58013=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,10.6000003382916)); #58014=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,10.6000003382916)); #58015=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,10.6000003382916)); #58016=CARTESIAN_POINT('Origin',(-1.75000005585002,-0.749999952128553,10.5000003351001)); #58017=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,10.5000003351001)); #58018=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,10.5000003351001)); #58019=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,10.5000003351001)); #58020=CARTESIAN_POINT('',(-2.00000005585002,-0.749999952128553,10.5000003351001)); #58021=CARTESIAN_POINT('',(-1.75000005585002,-0.749999952128553,10.5000003351001)); #58022=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25000004787145,10.5000003351001)); #58023=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.5000003351001)); #58024=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.5000003351001)); #58025=CARTESIAN_POINT('',(-2.00000005585002,1.25000004787145,10.5000003351001)); #58026=CARTESIAN_POINT('',(-2.00000005585002,1.25000004787145,10.5000003351001)); #58027=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.5000003351001)); #58028=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25000004787145,10.6000003382916)); #58029=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.6000003382916)); #58030=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.6000003382916)); #58031=CARTESIAN_POINT('',(-2.00000005585002,1.25000004787145,10.6000003382916)); #58032=CARTESIAN_POINT('',(-2.00000005585002,1.25000004787145,10.6000003382916)); #58033=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,10.6000003382916)); #58034=CARTESIAN_POINT('Origin',(-1.75000005585002,1.50000004787145,10.6000003382916)); #58035=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.6000003382916)); #58036=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.6000003382916)); #58037=CARTESIAN_POINT('',(-2.00000005585002,1.50000004787145,10.6000003382916)); #58038=CARTESIAN_POINT('',(-2.00000005585002,1.50000004787145,10.6000003382916)); #58039=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,10.6000003382916)); #58040=CARTESIAN_POINT('Origin',(-1.75000005585002,1.50000004787145,11.6000003382916)); #58041=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,11.6000003382916)); #58042=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,11.6000003382916)); #58043=CARTESIAN_POINT('',(-2.00000005585002,1.50000004787145,11.6000003382916)); #58044=CARTESIAN_POINT('',(-2.00000005585002,1.50000004787145,11.6000003382916)); #58045=CARTESIAN_POINT('',(-1.75000005585002,1.50000004787145,11.6000003382916)); #58046=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25000004787145,11.6000003382916)); #58047=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,11.6000003382916)); #58048=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,11.6000003382916)); #58049=CARTESIAN_POINT('',(-2.00000005585002,1.25000004787145,11.6000003382916)); #58050=CARTESIAN_POINT('',(-2.00000005585002,1.25000004787145,11.6000003382916)); #58051=CARTESIAN_POINT('',(-1.75000005585002,1.25000004787145,11.6000003382916)); #58052=CARTESIAN_POINT('Origin',(-1.75000005585002,1.25018995566176,12.2500003702059)); #58053=CARTESIAN_POINT('',(-1.75000005585002,1.25018995566176,12.2500003702059)); #58054=CARTESIAN_POINT('',(-2.00000005585002,1.25018995566176,12.2500003702059)); #58055=CARTESIAN_POINT('Origin',(-2.00000005585002,0.250000007978574,11.375000352653)); #58056=CARTESIAN_POINT('Origin',(-1.75000005585002,0.250000007978574,11.375000352653)); #58057=CARTESIAN_POINT('',(-1.50183371926395E-8,-4.57139066865696,10.9049217963968)); #58058=CARTESIAN_POINT('',(-1.50184071078542E-8,-3.41241090223172,10.4366635755487)); #58059=CARTESIAN_POINT('',(0.,0.,0.)); #58060=CARTESIAN_POINT('',(0.,0.,0.)); #58061=CARTESIAN_POINT('',(0.,0.,0.)); #58062=CARTESIAN_POINT('',(0.,0.,0.)); #58063=CARTESIAN_POINT('',(0.,0.,0.)); #58064=CARTESIAN_POINT('',(0.,0.,0.)); #58065=CARTESIAN_POINT('',(0.,0.,0.)); #58066=CARTESIAN_POINT('',(0.,0.,0.)); #58067=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58068=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58069=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58070=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58071=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58072=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58073=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58074=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58075=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58076=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58077=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58078=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58079=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58080=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58081=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58082=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58083=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58084=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58085=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58086=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58087=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58088=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #58112,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #58089=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58067)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58090=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58068)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58091=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58069)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58092=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58070)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58093=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58071)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58094=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58072)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58095=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58073)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58096=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58074)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58097=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58075)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58098=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58076)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58099=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58077)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58100=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58078)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58101=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58079)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58102=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58080)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58103=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58081)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58104=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58082)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58105=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58083)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58106=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58084)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58107=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58085)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58108=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58086)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58109=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58087)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58110=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#58088)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#58112,#58116,#58117)) REPRESENTATION_CONTEXT('','3D') ); #58111=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); #58112=( CONVERSION_BASED_UNIT('inch',#58115) LENGTH_UNIT() NAMED_UNIT(#58111) ); #58113=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #58114=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT($,.METRE.) ); #58115=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#58113); #58116=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #58117=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #58118=SHAPE_DEFINITION_REPRESENTATION(#58139,#58180); #58119=SHAPE_DEFINITION_REPRESENTATION(#58140,#58181); #58120=SHAPE_DEFINITION_REPRESENTATION(#58141,#58182); #58121=SHAPE_DEFINITION_REPRESENTATION(#58142,#58183); #58122=SHAPE_DEFINITION_REPRESENTATION(#58143,#58184); #58123=SHAPE_DEFINITION_REPRESENTATION(#58144,#58185); #58124=SHAPE_DEFINITION_REPRESENTATION(#58148,#58186); #58125=SHAPE_DEFINITION_REPRESENTATION(#58149,#58187); #58126=SHAPE_DEFINITION_REPRESENTATION(#58150,#58188); #58127=SHAPE_DEFINITION_REPRESENTATION(#58153,#58189); #58128=SHAPE_DEFINITION_REPRESENTATION(#58154,#58190); #58129=SHAPE_DEFINITION_REPRESENTATION(#58156,#58191); #58130=SHAPE_DEFINITION_REPRESENTATION(#58157,#58192); #58131=SHAPE_DEFINITION_REPRESENTATION(#58163,#58193); #58132=SHAPE_DEFINITION_REPRESENTATION(#58164,#58194); #58133=SHAPE_DEFINITION_REPRESENTATION(#58165,#58195); #58134=SHAPE_DEFINITION_REPRESENTATION(#58166,#58196); #58135=SHAPE_DEFINITION_REPRESENTATION(#58167,#58197); #58136=SHAPE_DEFINITION_REPRESENTATION(#58168,#58198); #58137=SHAPE_DEFINITION_REPRESENTATION(#58169,#58199); #58138=SHAPE_DEFINITION_REPRESENTATION(#58176,#58200); #58139=PRODUCT_DEFINITION_SHAPE('',$,#58202); #58140=PRODUCT_DEFINITION_SHAPE('',$,#58203); #58141=PRODUCT_DEFINITION_SHAPE('',$,#58204); #58142=PRODUCT_DEFINITION_SHAPE('',$,#58205); #58143=PRODUCT_DEFINITION_SHAPE('',$,#58206); #58144=PRODUCT_DEFINITION_SHAPE('',$,#58207); #58145=PRODUCT_DEFINITION_SHAPE($,$,#103); #58146=PRODUCT_DEFINITION_SHAPE($,$,#104); #58147=PRODUCT_DEFINITION_SHAPE($,$,#105); #58148=PRODUCT_DEFINITION_SHAPE('',$,#58208); #58149=PRODUCT_DEFINITION_SHAPE('',$,#58209); #58150=PRODUCT_DEFINITION_SHAPE('',$,#58210); #58151=PRODUCT_DEFINITION_SHAPE($,$,#106); #58152=PRODUCT_DEFINITION_SHAPE($,$,#107); #58153=PRODUCT_DEFINITION_SHAPE('',$,#58211); #58154=PRODUCT_DEFINITION_SHAPE('',$,#58212); #58155=PRODUCT_DEFINITION_SHAPE($,$,#108); #58156=PRODUCT_DEFINITION_SHAPE('',$,#58213); #58157=PRODUCT_DEFINITION_SHAPE('',$,#58214); #58158=PRODUCT_DEFINITION_SHAPE($,$,#109); #58159=PRODUCT_DEFINITION_SHAPE($,$,#110); #58160=PRODUCT_DEFINITION_SHAPE($,$,#111); #58161=PRODUCT_DEFINITION_SHAPE($,$,#112); #58162=PRODUCT_DEFINITION_SHAPE($,$,#113); #58163=PRODUCT_DEFINITION_SHAPE('',$,#58215); #58164=PRODUCT_DEFINITION_SHAPE('',$,#58216); #58165=PRODUCT_DEFINITION_SHAPE('',$,#58217); #58166=PRODUCT_DEFINITION_SHAPE('',$,#58218); #58167=PRODUCT_DEFINITION_SHAPE('',$,#58219); #58168=PRODUCT_DEFINITION_SHAPE('',$,#58220); #58169=PRODUCT_DEFINITION_SHAPE('',$,#58221); #58170=PRODUCT_DEFINITION_SHAPE($,$,#114); #58171=PRODUCT_DEFINITION_SHAPE($,$,#115); #58172=PRODUCT_DEFINITION_SHAPE($,$,#116); #58173=PRODUCT_DEFINITION_SHAPE($,$,#117); #58174=PRODUCT_DEFINITION_SHAPE($,$,#118); #58175=PRODUCT_DEFINITION_SHAPE($,$,#119); #58176=PRODUCT_DEFINITION_SHAPE('',$,#58222); #58177=PRODUCT_DEFINITION_SHAPE($,$,#120); #58178=PRODUCT_DEFINITION_SHAPE($,$,#121); #58179=PRODUCT_DEFINITION_SHAPE($,$,#122); #58180=SHAPE_REPRESENTATION('',(#35407,#38307,#38308,#38309),#58089); #58181=SHAPE_REPRESENTATION('',(#35408,#36945,#36946,#36947,#36948,#36949), #58090); #58182=SHAPE_REPRESENTATION('',(#35409,#35743,#35744,#35745),#58091); #58183=SHAPE_REPRESENTATION('',(#35410),#58092); #58184=SHAPE_REPRESENTATION('',(#35556),#58093); #58185=SHAPE_REPRESENTATION('',(#35597),#58094); #58186=SHAPE_REPRESENTATION('',(#35746,#35786,#35787),#58095); #58187=SHAPE_REPRESENTATION('',(#35747),#58096); #58188=SHAPE_REPRESENTATION('',(#35783),#58097); #58189=SHAPE_REPRESENTATION('',(#35788,#36190),#58098); #58190=SHAPE_REPRESENTATION('',(#35789),#58099); #58191=SHAPE_REPRESENTATION('',(#36191),#58100); #58192=SHAPE_REPRESENTATION('',(#36312),#58101); #58193=SHAPE_REPRESENTATION('',(#36950,#38304,#38305),#58102); #58194=SHAPE_REPRESENTATION('',(#36951),#58103); #58195=SHAPE_REPRESENTATION('',(#37396,#38300,#38301,#38302,#38303),#58104); #58196=SHAPE_REPRESENTATION('',(#37397),#58105); #58197=SHAPE_REPRESENTATION('',(#37557),#58106); #58198=SHAPE_REPRESENTATION('',(#37860),#58107); #58199=SHAPE_REPRESENTATION('',(#37861),#58108); #58200=SHAPE_REPRESENTATION('',(#38306),#58109); #58201=PRODUCT_DEFINITION_CONTEXT('part definition',#58266,'design'); #58202=PRODUCT_DEFINITION('ME218B V2','ME218B V2 v35',#58223,#58201); #58203=PRODUCT_DEFINITION('First Level','First Level',#58224,#58201); #58204=PRODUCT_DEFINITION('Drivetrain','Drivetrain',#58225,#58201); #58205=PRODUCT_DEFINITION('Left Wheel','Left Wheel',#58226,#58201); #58206=PRODUCT_DEFINITION('Supports','Supports',#58227,#58201); #58207=PRODUCT_DEFINITION('Right Wheel','Right Wheel',#58228,#58201); #58208=PRODUCT_DEFINITION('Caster','Caster',#58229,#58201); #58209=PRODUCT_DEFINITION('Case','Case',#58230,#58201); #58210=PRODUCT_DEFINITION('Ball','Ball',#58231,#58201); #58211=PRODUCT_DEFINITION('Base','Base',#58232,#58201); #58212=PRODUCT_DEFINITION('Wheel Mounts','Wheel Mounts',#58233,#58201); #58213=PRODUCT_DEFINITION('Bottom Stands','Bottom Stands',#58234,#58201); #58214=PRODUCT_DEFINITION('Circuit Platforms','Circuit Platforms',#58235, #58201); #58215=PRODUCT_DEFINITION('Second Level','Second Level',#58236,#58201); #58216=PRODUCT_DEFINITION('Mid Platform','Mid Platform',#58237,#58201); #58217=PRODUCT_DEFINITION('Launcher','Launcher',#58238,#58201); #58218=PRODUCT_DEFINITION('Ball Holder','Ball Holder',#58239,#58201); #58219=PRODUCT_DEFINITION('Rack','Rack',#58240,#58201); #58220=PRODUCT_DEFINITION('Pinion','Pinion',#58241,#58201); #58221=PRODUCT_DEFINITION('Middle Stands','Middle Stands',#58242,#58201); #58222=PRODUCT_DEFINITION('Third Level','Third Level',#58243,#58201); #58223=PRODUCT_DEFINITION_FORMATION('',$,#58268); #58224=PRODUCT_DEFINITION_FORMATION('',$,#58269); #58225=PRODUCT_DEFINITION_FORMATION('',$,#58270); #58226=PRODUCT_DEFINITION_FORMATION('',$,#58271); #58227=PRODUCT_DEFINITION_FORMATION('',$,#58272); #58228=PRODUCT_DEFINITION_FORMATION('',$,#58273); #58229=PRODUCT_DEFINITION_FORMATION('',$,#58274); #58230=PRODUCT_DEFINITION_FORMATION('',$,#58275); #58231=PRODUCT_DEFINITION_FORMATION('',$,#58276); #58232=PRODUCT_DEFINITION_FORMATION('',$,#58277); #58233=PRODUCT_DEFINITION_FORMATION('',$,#58278); #58234=PRODUCT_DEFINITION_FORMATION('',$,#58279); #58235=PRODUCT_DEFINITION_FORMATION('',$,#58280); #58236=PRODUCT_DEFINITION_FORMATION('',$,#58281); #58237=PRODUCT_DEFINITION_FORMATION('',$,#58282); #58238=PRODUCT_DEFINITION_FORMATION('',$,#58283); #58239=PRODUCT_DEFINITION_FORMATION('',$,#58284); #58240=PRODUCT_DEFINITION_FORMATION('',$,#58285); #58241=PRODUCT_DEFINITION_FORMATION('',$,#58286); #58242=PRODUCT_DEFINITION_FORMATION('',$,#58287); #58243=PRODUCT_DEFINITION_FORMATION('',$,#58288); #58244=PRODUCT_RELATED_PRODUCT_CATEGORY('ME218B V2 v35','ME218B V2 v35', (#58268)); #58245=PRODUCT_RELATED_PRODUCT_CATEGORY('First Level','First Level',(#58269)); #58246=PRODUCT_RELATED_PRODUCT_CATEGORY('Drivetrain','Drivetrain',(#58270)); #58247=PRODUCT_RELATED_PRODUCT_CATEGORY('Left Wheel','Left Wheel',(#58271)); #58248=PRODUCT_RELATED_PRODUCT_CATEGORY('Supports','Supports',(#58272)); #58249=PRODUCT_RELATED_PRODUCT_CATEGORY('Right Wheel','Right Wheel',(#58273)); #58250=PRODUCT_RELATED_PRODUCT_CATEGORY('Caster','Caster',(#58274)); #58251=PRODUCT_RELATED_PRODUCT_CATEGORY('Case','Case',(#58275)); #58252=PRODUCT_RELATED_PRODUCT_CATEGORY('Ball','Ball',(#58276)); #58253=PRODUCT_RELATED_PRODUCT_CATEGORY('Base','Base',(#58277)); #58254=PRODUCT_RELATED_PRODUCT_CATEGORY('Wheel Mounts','Wheel Mounts',(#58278)); #58255=PRODUCT_RELATED_PRODUCT_CATEGORY('Bottom Stands','Bottom Stands', (#58279)); #58256=PRODUCT_RELATED_PRODUCT_CATEGORY('Circuit Platforms', 'Circuit Platforms',(#58280)); #58257=PRODUCT_RELATED_PRODUCT_CATEGORY('Second Level','Second Level',(#58281)); #58258=PRODUCT_RELATED_PRODUCT_CATEGORY('Mid Platform','Mid Platform',(#58282)); #58259=PRODUCT_RELATED_PRODUCT_CATEGORY('Launcher','Launcher',(#58283)); #58260=PRODUCT_RELATED_PRODUCT_CATEGORY('Ball Holder','Ball Holder',(#58284)); #58261=PRODUCT_RELATED_PRODUCT_CATEGORY('Rack','Rack',(#58285)); #58262=PRODUCT_RELATED_PRODUCT_CATEGORY('Pinion','Pinion',(#58286)); #58263=PRODUCT_RELATED_PRODUCT_CATEGORY('Middle Stands','Middle Stands', (#58287)); #58264=PRODUCT_RELATED_PRODUCT_CATEGORY('Third Level','Third Level',(#58288)); #58265=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#58266); #58266=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #58267=PRODUCT_CONTEXT('part definition',#58266,'mechanical'); #58268=PRODUCT('ME218B V2','ME218B V2 v35',$,(#58267)); #58269=PRODUCT('First Level','First Level',$,(#58267)); #58270=PRODUCT('Drivetrain','Drivetrain',$,(#58267)); #58271=PRODUCT('Left Wheel','Left Wheel',$,(#58267)); #58272=PRODUCT('Supports','Supports',$,(#58267)); #58273=PRODUCT('Right Wheel','Right Wheel',$,(#58267)); #58274=PRODUCT('Caster','Caster',$,(#58267)); #58275=PRODUCT('Case','Case',$,(#58267)); #58276=PRODUCT('Ball','Ball',$,(#58267)); #58277=PRODUCT('Base','Base',$,(#58267)); #58278=PRODUCT('Wheel Mounts','Wheel Mounts',$,(#58267)); #58279=PRODUCT('Bottom Stands','Bottom Stands',$,(#58267)); #58280=PRODUCT('Circuit Platforms','Circuit Platforms',$,(#58267)); #58281=PRODUCT('Second Level','Second Level',$,(#58267)); #58282=PRODUCT('Mid Platform','Mid Platform',$,(#58267)); #58283=PRODUCT('Launcher','Launcher',$,(#58267)); #58284=PRODUCT('Ball Holder','Ball Holder',$,(#58267)); #58285=PRODUCT('Rack','Rack',$,(#58267)); #58286=PRODUCT('Pinion','Pinion',$,(#58267)); #58287=PRODUCT('Middle Stands','Middle Stands',$,(#58267)); #58288=PRODUCT('Third Level','Third Level',$,(#58267)); #58289=PRESENTATION_STYLE_ASSIGNMENT((#58296)); #58290=PRESENTATION_STYLE_ASSIGNMENT((#58297)); #58291=PRESENTATION_STYLE_ASSIGNMENT((#58298)); #58292=PRESENTATION_STYLE_ASSIGNMENT((#58299)); #58293=PRESENTATION_STYLE_ASSIGNMENT((#58300)); #58294=PRESENTATION_STYLE_ASSIGNMENT((#58301)); #58295=PRESENTATION_STYLE_ASSIGNMENT((#58302)); #58296=SURFACE_STYLE_USAGE(.BOTH.,#58303); #58297=SURFACE_STYLE_USAGE(.BOTH.,#58304); #58298=SURFACE_STYLE_USAGE(.BOTH.,#58305); #58299=SURFACE_STYLE_USAGE(.BOTH.,#58306); #58300=SURFACE_STYLE_USAGE(.BOTH.,#58307); #58301=SURFACE_STYLE_USAGE(.BOTH.,#58308); #58302=SURFACE_STYLE_USAGE(.BOTH.,#58309); #58303=SURFACE_SIDE_STYLE('',(#58310)); #58304=SURFACE_SIDE_STYLE('',(#58311)); #58305=SURFACE_SIDE_STYLE('',(#58312)); #58306=SURFACE_SIDE_STYLE('',(#58313)); #58307=SURFACE_SIDE_STYLE('',(#58314)); #58308=SURFACE_SIDE_STYLE('',(#58315)); #58309=SURFACE_SIDE_STYLE('',(#58316)); #58310=SURFACE_STYLE_FILL_AREA(#58317); #58311=SURFACE_STYLE_FILL_AREA(#58318); #58312=SURFACE_STYLE_FILL_AREA(#58319); #58313=SURFACE_STYLE_FILL_AREA(#58320); #58314=SURFACE_STYLE_FILL_AREA(#58321); #58315=SURFACE_STYLE_FILL_AREA(#58322); #58316=SURFACE_STYLE_FILL_AREA(#58323); #58317=FILL_AREA_STYLE('Steel - Satin',(#58324)); #58318=FILL_AREA_STYLE('Plastic - Glossy (Yellow)',(#58325)); #58319=FILL_AREA_STYLE('Oak',(#58326)); #58320=FILL_AREA_STYLE('Walnut',(#58327)); #58321=FILL_AREA_STYLE('Mahogany',(#58328)); #58322=FILL_AREA_STYLE('Aluminum - Anodized Rough (Red)',(#58329)); #58323=FILL_AREA_STYLE('Aluminum - Anodized Rough (Blue)',(#58330)); #58324=FILL_AREA_STYLE_COLOUR('Steel - Satin',#58331); #58325=FILL_AREA_STYLE_COLOUR('Plastic - Glossy (Yellow)',#58332); #58326=FILL_AREA_STYLE_COLOUR('Oak',#58333); #58327=FILL_AREA_STYLE_COLOUR('Walnut',#58334); #58328=FILL_AREA_STYLE_COLOUR('Mahogany',#58335); #58329=FILL_AREA_STYLE_COLOUR('Aluminum - Anodized Rough (Red)',#58336); #58330=FILL_AREA_STYLE_COLOUR('Aluminum - Anodized Rough (Blue)',#58337); #58331=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157); #58332=COLOUR_RGB('Plastic - Glossy (Yellow)',0.909803921568627,0.67843137254902, 0.137254901960784); #58333=COLOUR_RGB('Oak',0.,0.,0.); #58334=COLOUR_RGB('Walnut',0.,0.,0.); #58335=COLOUR_RGB('Mahogany',0.,0.,0.); #58336=COLOUR_RGB('Aluminum - Anodized Rough (Red)',0.854901960784314,0.349019607843137, 0.349019607843137); #58337=COLOUR_RGB('Aluminum - Anodized Rough (Blue)',0.349019607843137, 0.584313725490196,0.854901960784314); ENDSEC; END-ISO-10303-21;